IEEE Transactions on Microwave Theory and Techniques  – March 2018.

  • Author / Uploaded
  • coll.

Table of contents :
001 - MTT-066-V003 (2018-03) - COVER_A......Page 1
002 - [email protected] - A Linear Model for Microwave Imaging of Highly Conductive Scatterers......Page 4
003 - [email protected] - Temporal Coupled-Mode Theory of Electromagnetic Components Described by Magnetic Groups of Symmetry......Page 20
004 - [email protected] - A Short–Open Calibration Method for Accurate De-Embedding of 3-D Nonplanar Microstrip Line Structures......Page 27
005 - [email protected] - Modal Analysis and Propagation Characteristics of Leaky Waves on a 2-D Periodic Leaky-Wave Antenna......Page 36
006 - [email protected] - A Scalable Multiharmonic Surface-Potential Model of AlGaN-GaN HEMTs......Page 47
007 - [email protected] - A Passive PEEC-Based Micromodeling Circuit for High-Speed Interconnection Problems......Page 56
008 - [email protected] - Single-Band and Switchable Dual-Single-Band Tunable BPFs With Predefined Tuning Range, Bandwidth, and Selectivity......Page 70
009 - [email protected] - A New Class of K-Band High-Q Frequency-Tunable Circular Cavity Filter......Page 83
010 - [email protected] - Tunable SIW Cavity-Based Dual-Mode Diplexers With Various Single-Ended and Balanced Ports......Page 93
011 - [email protected] - Design Methodologies of Compact Orthomode Transducers Based on Mechanism of Polarization Selectivity......Page 104
012 - [email protected] - Compact Design of Planar Quadrature Coupler With Improved Phase Responses and Wide Tunable Coupling Ratios [Feng Lin]......Page 116
028 - [email protected] - Homodyne Digitally Assisted and Spurious-Free Mixerless Direct Carrier Modulator With High Carrier Leakage Suppression......Page 126
029 - [email protected] - Direct Error-Searching SPSA-Based Model Extraction for Digital Predistortion of RF Power Amplifiers......Page 140
030 - [email protected] - A System-on-Chip Crystal-Less Wireless Sub-GHz Transmitter......Page 152
040 - [email protected] - Digital Predistortion for Multi-Antenna Transmitters Affected by Antenna Crosstalk......Page 161
050 - [email protected] - Exposure Evaluation of an Actual Wireless Power Transfer System for an Electric Vehicle With Near-Field Measurement......Page 173
060 - [email protected] - A Planar Dipole Array Surface for Electromagnetic Energy Harvesting and Wireless Power Transfer......Page 183
070 - [email protected] - A 40-nm CMOS Complex Permittivity Sensing Pixel for Material Characterization at Microwave Frequencies......Page 191
080 - [email protected] - High Power Integrated Photonic W-Band Emitter......Page 207
090 - [email protected] - SensorAntenna Interface IC for Implantable Biomedical Monitoring System......Page 217
100 - [email protected] - Highly Linear and Reconfigurable Three-Way Amplitude Modulation-Based Mixerless Wireless Transmitter......Page 225
110 - [email protected] - A Standing-Wave Architecture for Scalable and Wideband Millimeter-Wave and Terahertz Coherent Radiator Arrays......Page 233
120 - [email protected] - A Fully Parallel Architecture for Designing Frequency-Agile and Real-Time Reconfigurable FPGA-Based RF Digital Transmitters......Page 246
130 - [email protected] - 0.3-THz SiGe-Based High-Efficiency Push–Push VCOs With 1-mW Peak Output Power Employing......Page 257
140 - [email protected] - Inkjet Printing of Epidermal RFID Antennas by Self-Sintering Conductive Ink......Page 272
150 - [email protected] - Polyphase-Basis Discrete Cosine Transform for Real-Time Measurement of Heart Rate With CW Doppler Radar......Page 281
160 - [email protected] - 15 GHz Doherty Power Amplifier With RF Predistortion Linearizer in CMOS SOI......Page 297
170 - [email protected] - Digital Suppression of Transmitter Leakage in FDD RF Transceivers Aliasing Elimination and Model Selection......Page 307
190 - [email protected] - Broadband High-Power W-Band Amplifier MMICs Based on Stacked-HEMT Unit Cells......Page 319
200 - [email protected] - An Extended 4 × 4 Butler Matrix With Enhanced Beam Controllability and Widened Spatial Coverage......Page 326
220 - [email protected] - A 2.33-GHz, −133-dBcHz, and Eight-Phase Oscillator With Dual Tanks and Adaptive Feedback......Page 337
230 - [email protected] - Theory and Experiment of Two-Section Two-Resistor Wilkinson Power Divider With Two Arbitrary Frequency Bands......Page 349
240 - [email protected] - A Novel Method for 3-D Millimeter-Wave Holographic Reconstruction Based on Frequency Interferometry Techniques......Page 359
250 - [email protected] - A CMOS Real-Time Spectrum Sensor Based on Phasers for Cognitive Radios [Paria Sepidband]......Page 377
260 - [email protected] - Quasi-Optical Input Mode Coupler for a Ka-Band Multimegawatt Gyroklystron......Page 389
270 - [email protected] - Simultaneous Imaging, Sensor Tag Localization, and Backscatter Uplink via Synthetic Aperture Radar......Page 395
280 - [email protected] - Integrated Quasi-Circulator With RF Leakage Cancellation for Full-Duplex Wireless Transceivers......Page 404
290 - [email protected] - Analytical Approach for SiGe HBT Static Frequency Divider Design for Millimeter-Wave Frequency Operation......Page 414
350 - [email protected] - An Injection- and Frequency-Locked Loop for Reducing Phase Noise of Wideband Oscillators......Page 421
400 - [email protected] - Design of an 87% Fractional Bandwidth Doherty Power Amplifier Supported by a Simplified Bandwidth Estimation Method......Page 431
410 - [email protected] - A Load Modulated Balanced Amplifier for Telecom Applications [Roberto Quaglia]......Page 440
420 - [email protected] - Compression Point Enhancement by Controlling the Expansion Inherently......Page 451
430 - [email protected] - A Fully Parallel Architecture for Designing Frequency-Agile and Real-Time Reconfigurable FPGA-Based RF Digital Transmitters......Page 461
440 - [email protected] - Stepped-Carrier OFDM-Radar Processing Scheme to Retrieve High-Resolution Range-Velocity Profile at Low Sampling Rate......Page 472
450 - MTT-066-V003 (2018-03) - INSIDE......Page 481
460 - MTT-066-V003 (2018-03) - COVER_B......Page 482
810 - [email protected] - 60-GHz CMOS Doppler Radar Sensor With Integrated V-Band Power Detector for Clutter Monitoring......Page 484
810 - [email protected] - Design Methodology for Six-Port EqualUnequal Quadrature and Rat-Race Couplers......Page 493

Citation preview

IEEE TRANSACTIONS ON

MICROWAVE THEORY AND TECHNIQUES A PUBLICATION OF THE IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY

JR MTT-5

MARCH 2018

VOLUME 66

NUMBER 3

IETMAB

(ISSN 0018-9480)

THIS ISSUE INCLUDES THE JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS REGULAR PAPERS OF THE TRANSACTIONS ON MICRO\\'AVE THEORY AND TECHNIQUES

EM Theory and Analysis Techniques A Linear Model for Microwave Imag ing or Highly Conductive Scatterers . .......................... . ...... ... ............ . . .... . .. . . .. . . .. .. . ........ . ... .. .. ... . . .. .............. ..... ... . .... . ............. .. . ... S. Sun, B . .!. Kooij. and J\. G. Ycum·o,· Temporal Coupled-Mode Theory or E lectromagnetic Components Described by Mag netic Groups o r Symmetry . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . V D111itrie1', G. Portela, and L. Ma rtins A Short- Open Calibration Method for Accurate De-Embedding of 3-D Nonplanar Microstrip Line Structures in Finite-Element Method .. ... ... . . ....... . .. . . . ....... . . .. . . ....... . .... ... .. ... ... .... . . ..... . .. ..... ... . . . . . . Y Li and L. Zhu Modal Analysis and Propagation Characteristics of Leaky Waves on a 2-D Periodic Leaky-Wave Antenna .......... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Srng upta, n. R. Jackson, and S. J\. Long

1149 11 65

11 72 I 181

Devices and Modeling A Scalable Multiharmonic Surface-Potential Model of A IGaN/GaN HEMTs .... . ..... ... . . .......................... . .... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Q. Wu, Y Xu, Y Chen, Y Wan g, W Fu. B. Yan. and R. Xu A Passive PEEC-Bascd Micromodcling Circuit fo r High-Speed fnterconnccti on Problems .. ... Y. Dou and K. -L. Wu

11 92 120 I

Passive Circuits Single-Band and Switchable Dual-/Single-Band Tunable BPFs With Predefined Tuning Range, Bandwidth. and Selectivity . .... . .. . .... . ... . ..... . ...... . . . ... . ... . ....... .. ... .. ... . ........... .. D. Lu. X. Tang, N. S. Barka and Y Feng A New Class of K-Band High-Q Frequency-Tunab le Circular Cavity Filler .... . . .... .... . ......... . . ................. ... . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Nam, B. Lee, C. K1l'ak, and .!. Lee Tunable SIW Cavity-Based Dual -Mode Diplexers With Various Single-Ended and Balanced Ports ....... . ............ . . . . . . . . . . . . .. . . . . .. . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . M. F Ha?,ag, M. !\Im Khate 1; M. D. Hickle, and D. Peroufis Design Methodology !'or Six-Port Eq ual/Unequal Quadrature and Rat-Race Couplers With Balanced and Unbalanced Ports Terminated by Arbitrary Resistances .. .. ...... .. . .. ...... .. .. ...... ...... . ....... . . ... ... . . . .... . . .. .. .. .. .. . . ... ... .. .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. .liao, Y. Wu, W. Zhan g. M. Li, Y. Liu, Q. Xue, and Z. Ghassemlooy

1215 1228 1238

1249

(Contents Continued on Page 11-17)

+IEEE

(Contents Continued from Front Cover) Compact Design of Planar Quadrature Coupler With Improved Phase Responses and Wide Tunable Coupling Ratios ........................................................................................................................ F. Lin Quasi-Optical Input Mode Coupler for a Ka-Band Multimegawatt Gyroklystron ........................................... ............. E. B. Abubakirov, Y. M. Guznov, S. V. Kuzikov, A. S. Shevchenko, A. A. Vikharev, and S. A. Zapevalov Design Methodologies of Compact Orthomode Transducers Based on Mechanism of Polarization Selectivity ......... ................................................................................................. A. A. Sakr, W. Dyab, and K. Wu Theory and Experiment of Two-Section Two-Resistor Wilkinson Power Divider With Two Arbitrary Frequency Bands .................................................................................................. X. Wang, Z. Ma, and M. Ohira An Extended 4 × 4 Butler Matrix With Enhanced Beam Controllability and Widened Spatial Coverage ............... .......................................................................................................... H. N. Chu and T.-G. Ma Hybrid and Monolithic RF Integrated Circuits Broadband High-Power W-Band Amplifier MMICs Based on Stacked-HEMT Unit Cells ................................ ................................................................... F. Thome, A. Leuther, M. Schlechtweg, and O. Ambacher Design of an 87% Fractional Bandwidth Doherty Power Amplifier Supported by a Simplified Bandwidth Estimation Method ................................................... J. J. Moreno Rubio, V. Camarchia, M. Pirola, and R. Quaglia A Load Modulated Balanced Amplifier for Telecom Applications ............................ R. Quaglia and S. Cripps 15 GHz Doherty Power Amplifier With RF Predistortion Linearizer in CMOS SOI ....................................... ............................................................................. N. Rostomyan, J. A. Jayamon, and P. M. Asbeck Compression Point Enhancement by Controlling the Expansion Inherently .................................................. ................................................................ E. Sobotta, U. Jörges, R. Wolf, D. Fritsche, and F. Ellinger Design of a D-Band CMOS Amplifier Utilizing Coupled Slow-Wave Coplanar Waveguides ............................. ................................... D. Parveg, M. Varonen, D. Karaca, A. Vahdati, M. Kantanen, and K. A. I. Halonen An Injection- and Frequency-Locked Loop for Reducing Phase Noise of Wideband Oscillators ......................... ......................................................... K.-C. Peng, C.-H. Lee, D.-G. Wong, F.-K. Wang, and T.-S. Horng 0.3-THz SiGe-Based High-Efficiency Push–Push VCOs With >1-mW Peak Output Power Employing Common-Mode Impedance Enhancement ......................................... F. Ahmed, M. Furqan, B. Heinemann, and A. Stelzer A 2.33-GHz, −133-dBc/Hz, and Eight-Phase Oscillator With Dual Tanks and Adaptive Feedback ...................... ............................................................................................... R. Jiang, H. Noori, and F. F. Dai Analytical Approach for SiGe HBT Static Frequency Divider Design for Millimeter-Wave Frequency Operation .... ......................................................................................................... A. Dyskin and I. Kallfass

1263 1273 1279 1291 1301

1312 1319 1328 1339 1349 1359 1374 1384 1399 1411

JOURNAL WITHIN A JOURNAL ON MICROWAVE SYSTEMS AND APPLICATIONS JOURNAL WITHIN A JOURNAL PAPERS

Wireless Communication Systems Integrated Quasi-Circulator With RF Leakage Cancellation for Full-Duplex Wireless Transceivers ...................... ......................................................................... S. A. Ayati, D. Mandal, B. Bakkaloglu, and S. Kiaei A System-on-Chip Crystal-Less Wireless Sub-GHz Transmitter ............................................................... ........................... P. Greiner, J. Grosinger, J. Schweighofer, C. Steffan, S. Wilfling, G. Holweg, and W. Bösch A CMOS Real-Time Spectrum Sensor Based on Phasers for Cognitive Radios ........ P. Sepidband and K. Entesari Millimeter-Wave Multifunction Multiport Interferometric Receiver for Future Wireless Systems ........................ ........................................................................................................ J. Moghaddasi and K. Wu Highly Linear and Reconfigurable Three-Way Amplitude Modulation-Based Mixerless Wireless Transmitter ......... .................................................................................................. S. Illath Veetil and M. Helaoui Homodyne Digitally Assisted and Spurious-Free Mixerless Direct Carrier Modulator With High Carrier Leakage Suppression ........................ W. Zhang, A. Hasan, F. M. Ghannouchi, M. Helaoui, Y. Wu, L. Jiao, and Y. Liu A Fully Parallel Architecture for Designing Frequency-Agile and Real-Time Reconfigurable FPGA-Based RF Digital Transmitters ................................. D. C. Dinis, R. F. Cordeiro, A. S. R. Oliveira, J. Vieira, and T. O. Silva Digital Suppression of Transmitter Leakage in FDD RF Transceivers: Aliasing Elimination and Model Selection .... ........................................................................................................ W. Cao, Y. Li, and A. Zhu Direct Error-Searching SPSA-Based Model Extraction for Digital Predistortion of RF Power Amplifiers .............. ............................................................................................................... N. Kelly and A. Zhu Digital Predistortion for Multi-Antenna Transmitters Affected by Antenna Crosstalk ...................................... .................................................... K. Hausmair, P. N. Landin, U. Gustavsson, C. Fager, and T. Eriksson

1421 1431 1440 1452 1467 1475 1489 1500 1512 1524

(Contents Continued on Page 1148)

(Contents Continued from Page 1147) Wireless Power Transfer and RFID Systems Coupling Matrix Synthesis and Impedance-Matching Optimization Method for Magnetic Resonance Coupling Systems ................................................................................... K. A. Thackston, H. Mei, and P. P. Irazoqui Exposure Evaluation of an Actual Wireless Power Transfer System for an Electric Vehicle With Near-Field Measurement ............................................. J. Chakarothai, K. Wake, T. Arima, S. Watanabe, and T. Uno A Planar Dipole Array Surface for Electromagnetic Energy Harvesting and Wireless Power Transfer .................. ............................................................................. A. Z. Ashoor, T. S. Almoneef, and O. M. Ramahi Inkjet Printing of Epidermal RFID Antennas by Self-Sintering Conductive Ink ............................................ ................................................................................... S. Amendola, A. Palombi, and G. Marrocco Simultaneous Imaging, Sensor Tag Localization, and Backscatter Uplink via Synthetic Aperture Radar ................ ...................................... X. Fu, A. Pedross-Engel, D. Arnitz, C. M. Watts, A. Sharma, and M. S. Reynolds Microwave Imaging and Radar Applications A Novel Method for 3-D Millimeter-Wave Holographic Reconstruction Based on Frequency Interferometry Techniques ................................................................................. J. Gao, Y. Qin, B. Deng, H. Wang, and X. Li A Standing-Wave Architecture for Scalable and Wideband Millimeter-Wave and Terahertz Coherent Radiator Arrays .......................................................................................................... H. Jalili and O. Momeni Stepped-Carrier OFDM-Radar Processing Scheme to Retrieve High-Resolution Range-Velocity Profile at Low Sampling Rate ................................................ B. Schweizer, C. Knill, D. Schindler, and C. Waldschmidt Microwave Sensors and Biomedical Applications A 40-nm CMOS Complex Permittivity Sensing Pixel for Material Characterization at Microwave Frequencies ....... ................................................ G. Vlachogiannakis, M. A. P. Pertijs, M. Spirito, and L. C. N. de Vreede 60-GHz CMOS Doppler Radar Sensor With Integrated V-Band Power Detector for Clutter Monitoring and Automatic Clutter-Cancellation in Noncontact Vital-Signs Sensing ..................................................................... ..................................................................... C.-C. Chou, W.-C. Lai, Y.-K. Hsiao, and H.-R. Chuang Polyphase-Basis Discrete Cosine Transform for Real-Time Measurement of Heart Rate With CW Doppler Radar ... ........................................... J. Park, J.-W. Ham, S. Park, D.-H. Kim, S.-J. Park, H. Kang, and S.-O. Park Sensor/Antenna Interface IC for Implantable Biomedical Monitoring System ............................................... ............................................................................................ J.-Y. Lin, H.-C. Chen, and M.-Y. Yen Microwave Photonics High Power Integrated Photonic W-Band Emitter .............. K. Sun, J. Moody, Q. Li, S. M. Bowers, and A. Beling

1536 1543 1553 1561 1570

1579 1597 1610

1619 1635 1644 1660 1668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1149

A Linear Model for Microwave Imaging of Highly Conductive Scatterers Shilong Sun , Bert Jan Kooij, and Alexander G. Yarovoy, Fellow, IEEE Abstract— In this paper, a linear model based on multiple measurement vectors’ model is proposed to formulate the inverse scattering problem of highly conductive objects at one single frequency. Considering the induced currents that are mostly distributed on the boundaries of the scatterers, joint sparse structure is enforced by a sum-of-norm regularization. Since no a priori information is required and no approximation of the scattering model has been made, the proposed method is versatile. Imaging results with transverse magnetic and transverse electric polarized synthetic data and Fresnel data demonstrate its higher resolving ability than both linear sampling method and its improved version with higher, but acceptable, computational complexity. Index Terms— Inverse scattering problem, joint sparse structure, multiple measurement vectors (MMVs), sum-of-norm regularization constraint, transverse electric (TE), transverse magnetic (TM).

I. I NTRODUCTION

I

NVERSE scattering is a procedure of recovering the characteristics of the objects from the scattered fields. It is of great importance because of its wide applications in different areas, such as seismic detection, medical imaging, sonar, remote sensing, and so forth. Most of the studies on the inverse scattering problems are focused on the frequencies of the resonant region, i.e., the wavelength is comparable with the dimension of the object. Challenges mainly lie in the nonlinearity and ill-posedness in the Hadamard sense [1]. There is a large variety of possible inverse scattering problems, for example, find the shape of the scatterer with the boundary condition already known, or find the space dependent coefficients of the object without any a priori information at all. The inverse scattering problem discussed in this paper is to determine the shape of the highly conductive scatterers with the scattered electromagnetic (EM) field for one or several incident fields at one single frequency of the resonant region. Basically, there are two families of methods for solving this problem: the volume-based methods and the surfacebased methods. The volume-based methods indicate the shape with space-dependent coefficients. Kleinman and den Berg [2] proposed to retrieve the boundary of the highly conductive scatterer by doing the same with the iterative method of reconstructing the conductivity of an EM penetrable object. Manuscript received July 17, 2017; revised September 25, 2017; accepted November 1, 2017. Date of publication November 28, 2017; date of current version March 5, 2018. (Corresponding author: Shilong Sun.) The authors are with the Group of Microwave Sensing, Signals and Systems, Delft University of Technology, 2628 Delft, The Netherlands (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772795

This idea was further extended to the mixed dielectric and highly conductive objects combined with contrast source inversion (CSI) method [3] (see [4], [5]). Classical design of the cost functional consists of the data error and the state error without considering the cross-correlated mismatch of both errors. Recently, a so-called cross-correlated error function and a novel inversion method, referred to as cross-correlated CSI, have been proposed to enhance the inversion ability [6]. The idea of solving the nonlinear inverse scattering problem with linear algebra can also be found in [7]. An algorithmically efficient algorithm, the time-reversal multiple signal classification (TR-MUSIC) method [8], [9], is also of interest since it is capable to break through the diffraction limit. Linear sampling method (LSM) [10]–[12] is another typical volume-based method, which finds an indicator function for each voxel in the region of interest by first defining a far-field mapping operator (or a near-field mapping operator [13]) and then solving a linear system of equations. The norm of the indicator function approaches to zero when the position of the corresponding voxel approaches the highly conductive scatterer. Although LSM has been proved to be effective for highly conductive scatterer, and also applicable for dielectric scatterer in some cases [14], this method needs sufficient amount of measurements to guarantee the inversion performance [15]. Besides, it is very time consuming to compute the dyadic Green function for an irregular inhomogeneous background [16], for instance, in the case of ground penetrating radar [17]. The surfacebased methods first parameterize the shape of the scatterer mathematically with several parameters, and then optimize the parameters by minimizing a cost function iteratively [18]. The drawback of this method is obvious. First, this type of method requires a priori information on the position and quantity of the scatterers; more research on this point can be found in [19] and [20]. Second, it is intractable to deal with the complicated nonconvex objects. Apart from that, each iteration involves finding a solution to a forward scattering problem, which is extremely time consuming for the large-scale inverse problems with an irregular background. As a matter of fact, this is a general drawback of the iterative inversion methods. In cases where the dimension of the solution space is not so huge, global optimization techniques [21]–[23] are good candidates to search for the global optimal solution. We also refer to [24] for a compressive sensing CSI method that solves the contrast source two-step formulation for detecting the nonradiating part of the equivalent currents. Recently, we have proposed a linear model to address the nonlinear highly conductive inverse scattering problem with transverse magnetic (TM) polarized incident fields [25].

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

The basic idea is to transfer the problem to a set of linear inverse source problems, formulate the set of problems with the multiple measurement vector (MMV) model [26], and finally solve the problem with the sum-of-norm regularization constraint. We have also considered a cascade of the linear inverse source model and a linear optimization model for solving the 3-D inverse scattering problem with half-space configurations [27]. Although the feasibility of this idea has been demonstrated numerically, a theoretical framework has not been established yet. The extension in solving the inverse scattering problem of vectorial fields is not straightforward and therefore has to be proved theoretically. Moreover, the feasibility needs to be further demonstrated with experimental measurement data. In this paper, we first presented the theoretical framework in solving the highly conductive inverse scattering problem with TM-polarized incident fields. Based on the convex optimization theory and the spectral projected gradient (SPG) method (SPGL1 ) [28], [29], we extended the theoretical framework to solve the transverse electric (TE) polarized (vectorial field) inverse scattering problems. Consequently, the extension in 3-D problems can be derived straightforwardly. Cross-validation (CV) technique [30], [31] is used to terminate the iteration such that the estimation of noise level is well circumvented. Both 2-D synthetic data generated by a MATLAB-based “MaxwellFDFD” package [32] and the experimental TM- and TE-polarized data sets of the Institut Fresnel, Marseille, France [33], are processed for demonstrating the validity of the proposed model. What is more, we have also presented an analysis on the computational complexity and the effect of transmitter/receiver number on the imaging quality of the proposed approach, which sheds a light on the design of the imaging system. For the case of penetrable objects, the contrast sources are distributed everywhere in the interior of the object. Since the linear model is regularized with sum-of-norm constraint, the reconstruction algorithm tends to seek for a solution of the minimum sum of norm. According to the field equivalence principle [34], for penetrable object, there would more likely be several sparse solutions that not only generate the same scattered field pattern (satisfying the data equation) but also have the same nonzero structure (possessing smaller sum of norm than the real solutions). Since correct recovery cannot be guaranteed for penetrable objects, we restricted the discussion in this paper to highly conductive objects. In a nutshell, major differences of the proposed approach in comparison with other existing methods are as follows. 1) In comparison with linear methods with linear model of weak scattering assumptions (such as Born/Rytov approximations), the proposed model is more applicable since no weak scattering approximation has been made. 2) In comparison with linear methods with linear model of no weak scattering approximations (such as LSM), the joint sparse structure of the contrast sources is enforced in the proposed approach by the use of sum-of-norm regularization constraint, resulting in higher resolving ability. 3) In comparison with linear iterative algebra of multiple levels with nonlinear model (such as CSI), calculation of

Fig. 1. Configuration of the inverse scattering problem with respect to highly conductive scatterers.

the total fields for the proposed approach is not needed, resulting in higher imaging efficiency. 4) In comparison with super resolution methods based on pseudospectrum analysis (such as TR-MUSIC), the proposed approach does not need to estimate the scatterer number nor does it need to care about how the imaging domain is discretized. Since the proposed approach is also based on a linear model of no weak scattering approximation, LSM and an improved version are selected in this paper for comparison. The remainder of this paper is organized as follows. In Section II-A, the problem statement is given. In Section II-B, the formulation of the linear model is presented. In Section II-C, the SPGL1 method for solving the single measurement vector (SMV) model in TM case is introduced. In Sections II-D and II-E, we derived the sumof-norm optimization method for solving the MMV model of TM case and TE case, respectively. In Section II-F, a CV-based modified SPGL1 method is introduced. In Section III, LSM and its improved version are introduced. The inverted results with synthetic data and experimental data are given in Sections IV and V, respectively. Finally, Section VI ends this paper with our conclusions. II. MMV L INEAR I NVERSION M ODEL A. Problem Statement We consider a scattering configuration as depicted in Fig. 1, which consists of a bounded simply connected inhomogeneous background domain D. The domain D contains a highly conductive cylinder , whose surface is represented by ∂. The dielectric properties of the background are known beforehand. The domain S contains the sources and receivers. The sources are denoted by the subscript p (where p ∈ {1, 2, 3 . . . , P}), and the receivers are denoted by the subscript q (where q ∈ {1, 2, 3, . . . , Q}). Sources and receivers that have equal subscripts are located at the same position. We use a righthanded coordinate system in which the unit vector in the invariant direction points out of this paper. In our notation for the vectorial quantities, we use a bold notation that represents a vector with three components.

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

The general mathematical representations presented are consistent with any 3-D configuration, in which the 2-D TE and TM excitations are a special case, resulting in vectors containing zero elements. In this paper, we consider the time factor exp(i ωt). Here, i represents the imaginary unit, and then the vectorial Maxwell’s equations in the frequency domain can be written as      −i ωε − σ ∇× E J = (1) ∇× i ωμ H −M where E and H are the electric and magnetic fields, respectively; J and M are the electric and magnetic current source densities, respectively; and σ , ε, and μ are the electric conductivity, electric permittivity, and magnetic permeability, respectively. For most of the real problems, μ can be reasonably assumed to be the permeability of free space, μ0 , while σ and ε are functions of both the position vector x = [x 1 , x 2 , x 3 ]T and the angular frequency ω. Since the solution of the E-field is two orders of magnitude larger than the H -field, it is for better numerical accuracy (see [32]) to eliminate either the E-field or the H -field from (1). In this paper, we assume the electric field is measured, so we rewrite our equations in terms of the electric field E according to ∇ × μ−1 ∇ × E − ω2  E = −i ω J − ∇ × μ−1 M

(2)

where  is the complex permittivity given by  = ε − i σ /ω.

(3)

The total electric field, E p , and the incident electric field, E inc p , are excited by the pth external source, and the scattered electric field E sct p is then found by inc E sct p = Ep − Ep ,

p = 1, 2, . . . , P.

(4)

Here, the subscript p corresponds to the pth source. According to the above relation and the electric field equation (2), it is easy to obtain the basic equation of the inverse scattering problem, which is sct 2 sct 2 ∇ × μ−1 0 ∇ × E p − ω b E p = ω χ E p ,

p = 1, 2, . . . , P (5)

where the contrast χ is the difference of the complex permittivity of the test domain, , and that of the background, b , i.e., χ =  − b . The problem we are going to resolve is to find the shape of the highly conductive scatterer ∂ from the measurement of the scattered electric fields E sct p . Since the total electric field E p is a function of the contrast χ , this is obviously a nonlinear problem.

1151

where A is the stiffness matrix, and ⎡ sct ⎤ ⎡ ⎤ e p,x1 e p,x1 ⎥ ⎢ sct e p = ⎣e p,x2 ⎦ esct p = ⎣ e p,x 2 ⎦ e p,x3 esct p,x 3

(7)

and χ e p is the component-wise multiplication of the two −1 2 vectors, χ and e p . The scattered fields esct p = A ω χ ep are probed and the measurements are used to estimate the unknown χ . Now let us use a measurement operator, MS , to select the field values at the receiver positions, and then we can formulate the data equations as y p = MS A−1 ω2 χ e p , where y p is the measurement vector of the pth scattered fields. Let us further define the contrast source as j p := χ e p , and then we have yp =  j p ,

p = 1, 2, 3, . . . , P

(8)

where  is the sensing matrix defined by  = MS A−1 ω2 .

(9)

In TM case,  ∈ C Q×N , while in TE case,  ∈ C2Q×2N . Here, N is the grid number of the discretized inversion domain. Since the contrast source j p shows sparsity due to the fact that the induced current exists only on the surface of the highly conductive objects, the ill-posedness of the inverse scattering problem can be overcome by exploiting the sparsity of the contrast sources. Further, although the contrast sources j p excited by the illumination of the incident fields einc p are of different values, the nonzero elements are located at the same positions—the boundary of the highly conductive scatterers. This inspired us to improve the inversion performance by enhancing the joint sparse structure, so the linear data model (8) is further formulated as an MMV model. In the following section, a linear model is constructed and a sum-of-norm optimization problem is derived for TM and TE cases, respectively. In doing so, the nonlinear inverse scattering problem can be simplified and addressed by a linear optimization scheme without considering the state equations (i.e., the calculation of the total fields is circumvented) −1 2 e p = esct p + A ω jp,

p = 1, 2, . . . , P.

(10)

Specifically, (8) is rewritten as follows: Y = J + U

(11)

where  is the joint sensing matrix J = [ j1 , j2 , . . . , j P ]

B. Formulation

is the contrast source matrix, and

First, let us formulate the inverse problem following the vector form of the FDFD scheme in [32], and rewrite (5) as follows: 2 Aesct p = ω χ e p,

p = 1, 2, 3, . . . , P

(6)

Y = [ y1 , y2 , . . . , yP ] is the measurement data matrix, and U represents the additive complex measurement noise matrix.

1152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

C. Solving the SMV Model: TM Case First, consider the single source configuration illuminated by TM-polarized wave. The inverse scattering problem is formulated as a basis pursuit denoise (BP

σ ) problem [35] σ (SMVTM BP

σ ) : min  j p 1 s.t.  j p − y p 2 ≤

(12)

where

σ represents the noise level, and the contrast source is regularized with the 1 -norm constraint. Solving this problem means searching for a solution j p that is of the smallest 1 -norm and meanwhile satisfies the inequality condition. Although the BP

σ problem is straightforward for understanding the inverse problem, it is not easy to solve directly even if we exactly know the value of

σ . An equivalent problem that is much simpler to solve is the Lasso (LSτ ) problem [36], which is formulated as

Fig. 2.

Probing the Pareto curve: the update of parameter τ .

(SMVTM LSτ ) : min  j p − y p 2 s.t.  j p 1 ≤ τ. (13) The LSτ problem can be solved using an SPG method that is proposed based on convex optimization theory [37]–[39]. Details of the SPG method for solving the (LSτ ) problem (13) are given in [28, Algorithm 1], in which Pτ [·] is a projection operator defined as (14) Pτ [ j p ] := arg min  j p − s2 s.t. s1 ≤ τ . s

Pτ [·] gives the projection of a vector j p onto the one-norm ball with radius τ . In practice, τ is usually not available. For solving this problem, a Pareto curve is defined in SPGL1 algorithm [28] by φSMVTM (τ ) =  j p,τ − y p 2

(15)

where j p,τ is the optimal solution to the LSτ problem. It is easy to find that the (BP

σ ) problem is equivalent to the (LSτ ) problem when φSMVTM (τ ) =

σ is satisfied. The Pareto curve is proved to be differentiable under some conditions, and the σ can be reached root of the nonlinear equation φSMVTM (τ ) =

by Newton iterations [28] τh+1 = τh +

σ − φSMVTM (τh )  φSMV (τh ) TM

(16)

where  φSMV (τh+1 ) = − TM

 H r

p,τh ∞

r p,τ 2

(17)

H

where is the conjugate transpose of matrix  and r p,τh =  j p,τh − y p is the residual vector. The update of τ by probing the Pareto curve is illustrated in Fig. 2. This procedure requires computing successively more accurate solutions of LSτ . The Newton root-finding framework for solving the (SMVTM BP

σ) problem is given in [29, Algorithm 1]. D. Solving the MMV Model: TM Case Let us now first consider the 2-D multisource configuration with TM-polarized illumination. As all the contrast sources are focused on the boundary of the scatterers, the contrast source matrix J shows row sparsity. Therefore, the inverse scattering

problem with multisource configurations can be formulated as an (MMVTM BP

σ ) problem regularized by a sum-of-norm constraint (MMVTM BP

σ σ ) min κ( J ) s.t.  J − Y  F ≤

where κ( J ) is the mixed (α, β)-norm defined as 1/α

N   α T J   J α,β :=

(18)

(19)

i,: β

i=1

with Ji,: denoting the i th row of J , and ·β the conventional β-norm.  ·  F is the Frobenius norm that is equivalent to the mixed (2, 2)-norm  · 2,2 . In this problem, we consider α = 1 and β = 2, which is a sum-of-norm constraint. Accordingly, the MMVTM LSτ problem is reformulated as (MMVTM LSτ ) min  J − Y  F s.t.  J 1,2 ≤ τ and the Pareto defined as

curve

for

the

MMV

φMMVTM (τ ) =  Jτ − Y  F

model

(20) is (21)

where Jτ is the optimal solution to the LSτ problem (20). According to [29, Th. 2.2] and [40, Ch. 5], φMMVTM (τ ) is continuously differentiable and  φMMV (τh ) = − TM

 H ( Jτh − Y )∞,2  Jτh − Y  F

(22)

where  · ∞,2 is the dual norm of  · 1,2 [29, Corollary 6.2]. σ Similarly, the root of the nonlinear equation φMMVTM (τ ) =

can also be reached by Newton iterations τh+1 = τh +

σ − φMMVTM (τh ) .  φMMV (τh ) TM

(23)

The projection operator Pτ [·] is replaced by an orthogonal projection onto  · 1,2 balls, Pτ,MMVTM [·], which is defined as follows: Pτ,MMVTM [ J ] := arg min  J − S F s.t. S1,2 ≤ τ . (24) S

We refer to [29, Th. 6.3] for the implementation of the projection operator. The (MMVTM BP

σ ) problem is solved by

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

Algorithm 1 SPG for (MMVTM LSτ ) Problem Input : , Y , J , τ Output: Jτ , Rτ 1 Set minimum and maximum step lengths 0 < αmin < αmax ; 2 Set initial step length α0 ∈ [αmin , αmax ] and sufficient descent parameter γ ∈ (0, 0.5); 3 Set an integer line search history length M ≥ 1; H 4 J0 = Pτ,MMVTM [ J ], R0 = Y −  J0 , G 0 = − R0 ,  = 0; 5 begin      Tr Y H R −τ G  ∞,2  

compute 6 δ ← R  F −   R  F duality gap; 7 If δ ≤ , then break; 8 α ← α

initial step length; 9 begin 10 J ← Pτ,MMVTM [ J − αG  ]

projection; 11 R ← Y −  J update the corresponding residual;  2 R−h 2F + 12 if  R F ≤ max h∈[0,min{,M−1}]    H γ Tr J − J G p, then 13 break; 14 else 15 α ← α/2; 16 end 17 end 18 J+1 ← J , R+1 ← R, G +1 ← − H R+1 update iterates; 19 J ← G ← G +1 − G  ;  J+1 − J ,  20 if Tr  J H G ≤ 0 then 21 α+1 ← αmax update the Barzilai-Borwein step length; 22 else   

23 24 25 26 27

Tr  J H  J



α+1 ← min αmax , max αmin , {Tr{ J H G }}

;

end  ←  + 1; end return Jτ ← J , Rτ ← R ;

Algorithms 1 and 2 with the Pareto curve, φMMVTM (τ ), its  (τ ), and the projecderivative with respective to τ , φMMV TM tion operator, Pτ,MMVTM [·], defined by (21), (22), and (24), respectively.

E. Solving the MMV Model: TE Case For the TE polarization case, the electric field is not a scalar anymore. Therefore, care must be given to the formulation of the (MMVTEBP

σ ) problem. Considering the two components of electric field, E x and E y , the inverse scattering problem for the TE case can be formulated as (MMVTE BP

σ (25) σ ) min κTE ( J ) s.t. ρ( J − Y ) ≤

1153

Algorithm 2 Newton Root-Finding Framework Input : , Y ,

σ Output: J

σ 1 J0 ← 0, R0 ← Y , τ0 ← 0, h ← 0; 2 begin 3 If |Rh  F −

σ | ≤ , then break; 4 Solve the (MMVTM LSτ ) problem for τh using Algorithm 1; 5 Rh ←  Jh − Y ;

σ −φ (τ ) 6 τh+1 ← τh + φ  MMVTM(τ )h

Newton update; 7 8 9

MMVTM

h ← h + 1; end return J

σ ← Jh ;

h

where κTE ( J ) :=

N 

[ J2n−1,: J2n,: ]T 2

(26)

n=1

and ρ(·) :=  ·  F

(27)

are gauge functions [41]. The MMVTE LSτ problem is formulated accordingly as (MMVTE LSτ ) min ρ( J − Y ) s.t. κTE ( J ) ≤ τ. (28) 1) Derivation of the Dual: Let us rewrite (28) in terms of J and an explicit residual term R min ρ(R) s.t.  J + R = Y , κTE ( J ) ≤ τ. J ,R

(29)

The dual to this equivalent problem is given by [42, Ch. 5] max G(Z, λ) s.t. λ ≥ 0 Z,λ

(30)

where Z ∈ C(2M)×P and λ ∈ C are dual variables, and G is the Lagrange dual function, given by G(Z, λ) := inf {ρ(R) − Tr{Z H ( J + R − Y )} J ,R

+ λ(κTE ( J ) − τ )} (31)

where Tr represents the trace of a matrix. By separability of the infimum over J and R, we can rewrite G in terms of two separate suprema G(Z, λ) = Tr{Y H Z} − τ λ − sup{Tr{Z H R} − ρ(R)} R

− sup{Tr{Z H ( J )} − λκTE ( J )}. (32) J

It is easy to see that the first supremum is the conjugate function of ρ and the second supremum is the conjugate function of κTE [42, Ch. 3.3], by noting that Tr{Z H R} = vec{Z} H vec{R} ρ(R) = ρ(vec{R})

(33)

and Tr{Z H ( J )} = vec{

Z} H vec{ J } κTE ( J ) = κTE (vec{ J })

(34)

1154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

respectively. Here, vec{·} is the vectorization of a matrix,

Z=  H Z ∈ C(2N)×P , and κTE (vec{ J }) is defined equivalently as κTE ( J ) in (26). Therefore, we have  0, ρ o (Z) ≤ 1 H Tr{Z R} − ρ(R) = (35) ∞, otherwise and

 Tr{Z ( J )} − λκTE ( J ) = H

o (

Z) ≤ λ 0, κTE ∞, otherwise

(36)

With a simple matrix transformation of J n,: = [ J2n−1,: J2n,: ] and X n,: = [X 2n−1,: X 2n,: ], we can rewrite (44) as follows:   arg min  J − X F s.t. X1,2 ≤ τ

= Pτ,MMVTM [ J]. (45)

X

In doing so, the projection operator in TE case satisfies [29, Th. 6.3]. The (MMVTE BP

σ ) problem is solved by Algorithms 1 and 2 with the Pareto curve, its derivative with respect to τ , and the projection operator replaced by  (τ ), and Pτ,MMVTE [·], respectively. φMMVTE (τ ), φMMV TE

where the polar of ρ and the polar of κTE are defined by ρ o (Z) := sup {Tr{Z H R}|ρ(R) ≤ 1}

(37)

R

and o

( Z) := sup {Tr{Z H ( J )}|κTE( J ) ≤ λ} κTE

(38)

J

respectively. If the gauge function is a norm, the polar reduces to the dual norm [42, Sec. 3.3.1], i.e., ρ o (Z) = Z F and

N 1/∞  ∞ o

[

Z2n−1,:

κ ( Z) = Z2n,: ] TE

2

n=1

   = max [

Z2n−1,:

(39) Z2n,: ]2 |n = 1, 2, . . . , N (for more details, see [29, Corollary 6.2]). Substitution of (35) and (36) into (32) yields o

( Z) ≤ λ. (40) max Tr{Y H Z} − τ λ s.t. ρ o (Z) ≤ 1, κTE Z,λ

In the case ρ(·) =  ·  F , the dual variable Z can be easily derived from sup Tr{Z H R} − R F = 0, if Z F ≤ 1

(41)

R

which is Z = (R/R F ). To derive the optimal λ, we can observe from (40) that as long as τ > 0, λ must be at its o

( Z); otherwise, one can increase the objective lower bound κTE H Tr{Y Z} − τ λ. Therefore, we obtain λ=

(42)

According to [40, Th. 5.2], we know that, on the open interval τ ∈ (0, τ0 ), where τ0 = min {τ ≥ 0|φMMVTE (τ ) = min ρ(R)} J

the Pareto curve φMMVTE (τ ) = ρ(R) is strictly decreasing, and continuously differentiable with o ( H R) κTE . R F

(43)

The projection operator Pτ,MMVTM [·] is replaced by an orthogonal projection onto κTE (·) balls, Pτ,MMVTE [·], which is defined as follows: Pτ,MMVTE [ J ] := arg min  J − S F s.t. κTE (S) ≤ τ . (44) S

In real applications, the noise level, i.e., the parameter

σ, is generally unknown, which means the termination condition, σ , does not work anymore. In order to deal with φMMV (τ ) =

this problem, we modified the SPGL1 method based on the CV technique [30], [31], in which

σ is set zero and the iteration is terminated using CV technique. In doing so, the problem of estimating the noise level, i.e., the parameter

σ , can be well circumvented. CV is a statistical technique that separates a data set into a training (estimation) set and a testing (CV) set. The training set is used to construct the model and the testing set is used to adjust the model order so that the noise is not overfitted. The basic idea behind this technique is to sacrifice a small number of measurements in exchange of prior knowledge. Specifically, when CV is utilized in the SPGL1 method, we separate the original scattering matrix to a reconstruction matrix  p,r ∈ C Q r ×N and a CV matrix  p,C V ∈ C Q CV ×N with Q = Q r + Q C V . The measurement vector y p is also separated accordingly, to a reconstruction measurement vector y p,r ∈ C Q r and a CV measurement vector y p,C V ∈ C Q CV . The reconstruction residual and the CV residual are defined as ⎛ ⎞1/2 P   y p,r −  p,r j p 22 ⎠ (46) rrec = ⎝ p=1

and

o ( H R) κTE . R F

 φMMV (τ ) = −λ = − TE

F. CV-Based Modified SPGL1

⎛ rCV = ⎝

P 

⎞1/2  y p,C V −  p,C V j p 22 ⎠

(47)

p=1

respectively. In doing so, every iteration can be viewed as two separate parts: reconstructing the contrast sources by SPGL1 and evaluating the outcome by the CV technique. The trend of CV residual in iteration behaves abruptly different (turns from decreasing to increasing) comparing with that of reconstruction residual, as soon as the reconstructed signal starts to overfit the noise. The reconstructed contrast sources are selected as the output on the criterion that its CV residual is the smallest one. In order to find the smallest CV residual, a maximum number, Nmax , is needed and set a large value to guarantee the smallest CV residual occurs in the range of the Nmax iterations. In this case, a large number of iterations are performed in vain,

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

which decreases the efficiency of the algorithm. Therefore, we consider an alternative termination condition given by NIter > Nopt + N

γMMVTM [n] =

P 

| j p,n |2

x j -axis, which are given by 1 (1) ωμ0 H0 (−k R) 4

(1)  H1 (−k R) kx 22 (1) −k + 2 H2 (−k R) = 4ωε0 R R

E 3,3 =

(53a)

E 1,1

(53b)

(48)

where NIter is the current iteration number, Nopt is the iteration index corresponding to the smallest CV residual—the optimal solution. The idea behind this criterion is that the CV residual is identified as the smallest one if the CV residual keeps monotonously increasing for N times of iteration. In the following experimental examples, this termination condition works well with N = 30. Once the normalized contrast sources are obtained, one can achieve the shape of the scatterers defined as

1155

k 2 x 1 x 2 (1) H (−k R) 4ωε0 R 2 2 k 2 x 1 x 2 (1) = H (−k R) 4ωε0 R 2 2

(1)  H1 (−k R) kx 12 (1) −k + 2 H2 (−k R) = 4ωε0 R R

E 1,2 =

(53c)

E 2,1

(53d)

E 2,2

where R = xs − xr 2 . Equations (51) and (52) can be reformulated as a set of linear systems of equations

(49)

Y g xs = f xs

p=1

or γMMVTE [n] =

P 

(| j p,2n−1 |2 + | j p,2n |2 )

(50)

p=1

with n = 1, 2, . . . , N, where j p,n , γMMVTM [n], and γMMVTE [n] represent the nth element of vector j p , γMMVTM , and γMMVTE , respectively. In the end of this section, we remark that as the regularized solution corresponds to the least sum of norm, the nonmeasurable equivalent contrast sources [43] tend to be ignored.

In this section, the proposed method is tested with both synthetic data and experimental data. In the meanwhile, we have also processed the same data using LSM for comparison. Since the background of the experiments is free space, the LSM method consists in solving the integral equation of the indicator function  (51) E 3 (xr , xt )g3 (xs , xt )d xt = E 3,3 (xs , xr ) and  

E1 0

  0 g (xr , xt ) 1,1 g2,1 E2

 g1,2 (xs , xt )d xt g2,2   E 1,1 E 1,2 (xs , xr ) (52) = E 2,1 E 2,2

for the TM and TE cases, respectively, where E 1 (xr , xt ), E 2 (xr , xt ), and E 3 (xr , xt ) represent x 1 , x 2 , and x 3 components of the scattered field probed at xr corresponding to the transmitter at xt , respectively; xs is the sampling point in the inversion domain; and E i, j (xs , xr ) is i th component of the electric field at xr generated by an ideal electric dipole located at xs with the polarization vector parallel to the

(54)

where Y is the measurement data matrix, g xs is the indicator function of the sampling point xs in the form of a column vector, and f xs is the right side of (51) in the form of a column vector. Following the same approach in [44] and [45] for solving (54), the shape of the scatterers is defined by: γLSM (xs ) = where g xs 2 is given by g xs 2 =

D  d=1

III. L INEAR S AMPLING M ETHOD AND I TS I MPROVED V ERSION

(53e)

1 g xs 2

sd sd2 + a 2

2

 H 2 u f x  s d

(55)

(56)

where sd represents the singular value of matrix Y corresponding to the singular vector ud , D = min{P, Q}, and a = 0.01 × maxd {sd }. We have also considered, in the TM cases, the improved LSM proposed in [12] in the comparison of the proposed method and LSM. The indicator function of improved LSM is defined as ⎛ ⎞1   2I y I  x 2  gi,xs gi,xs 2 ⎠ , I = ka (57) γLSM,I (xs ) = ⎝  2  g xs  g xs 2 i=1 where a is the radius of a smallest ball that covers the x targets, the power (1/2I ) is the normalization factor, and gi,x s y and gi,xs are obtained by replacing E 3,3 (xs , xr ) in (51) with y ϕix (xs , xr ) and ϕi (xs , xr ), respectively 1 ωμ0 Hi(1)(−k R) cos(i (φr − φs )) (58a) 4 1 y (58b) ϕi = ωμ0 Hi(1)(−k R) sin(i (φr − φs )) 4 where φr and φs are the angular components of xs and xr in polar coordinate system, respectively. We refer to [12] for more details of this indicator function. It is worth mentioning that both the contrast source j p and the indicator function g x are proportional to the amplitude of the electric field. According to the definition in (49), (50), and (55), γMMV and γLSM are proportional and inversely ϕix =

1156

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Measurement configuration of Simulations 1 and 2.

proportional to the power of the electric field, respectively. Therefore, the decibel scaling shown in the following examples is defined as: ! γ . (59) γdB = 10 × log10 max{γ } IV. S YNTHETIC DATA I MAGING In this section, the proposed method is tested with synthetic data. The transmitting antenna is simulated for simplicity with an ideal electric dipole (TM-polarization case) and an ideal magnetic dipole (TE-polarization case). Coordinate system is established such that the dielectric parameters are variable along the x and y axes, but invariable along the z-axis. The transmitting antenna rotates on a circular orbit of 3 m radius centering at the origin (0, 0). The receiving positions are taken on the same orbit without any position close than 30° from the transmitting antenna. The measurement configuration of Simulations 1 and 2 is shown in Fig. 3, in which the selection of CV measurements and reconstruction measurements is illustrated. Empirically, an arc length ≥ λ/3 is a good selection. The number of the CV receivers on each arc depends on how dense the receiver positions are, and the total CV receiver number is around 20% of the total measurement number [31]. The operating frequency is 500 MHz. Two configurations of different objects are considered. One is combined with two circular metallic cylinders and the other one is a metallic cylinder with a “crescent-shaped” cross section. The radius of the circular cross section is 0.2 m (= λ/3), and the centers of the two circles are (−0.45, 0.6) and (0.45, 0.6), respectively. The crescent is the subtraction of two circles of radius 0.6 m (= λ) centering at (0, 0) and (0.4, 0) [see Figs. 6(a) and 10(a) for their true geometry]. The forward EM scattering problem is solved by a MATLABbased 3-D FDFD package “MaxwellFDFD” [32]. The technique of nonuniform staggered grids is used to reduce the computational burden, while for inverting the measurement data, we consider uniform discretization such that an inverse crime is circumvented. In the forward solver, we consider √ a fine grid size of λ/(45 r ). The data for inversion are

Fig. 4. Correlation coefficient curves in terms of transmitter number in Simulations 1 and 2. Receiver number is fixed to 151; 10- and 30-dB Gaussian random additive noises are considered, respectively. (a) TM-polarized data. (b) TE-polarized data.

obtained by subtracting the incident field from the total field. Periodic boundary conditions are imposed on the design of the FDFD stiffness matrix in order to simulate the 2-D configuration. Perfect matching layer is used to simulate an anechoic chamber environment. A. Determine the Measurement Configuration To determine the measurement configuration, we need to investigate the relationship between the transceiver numbers and the imaging quality. Let us first consider 120 transmitters and 151 receivers, i.e., the transmitter rotates on the circular orbit with a step of 3°, and the receiver rotates on the measurement arc of 300° with a step of 2°. The CV receivers are selected in the same way as shown in Fig. 3, but there are four continuous CV receiver positions in each CV arc (equivalent to 8°). Now let us disturb the measurement data

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

1157

Fig. 6. Scatterer geometry and its reconstructed shapes in Simulation 1; 30-dB Gaussian noise is added to the measurement data. (a) Scatterer geometry. The scatterer shape (the value of the indicator function in decibels) reconstructed by processing the TM-polarized data with (b) MMV, (c) LSM, and (d) improved LSM with I = 7, respectively.

Fig. 5. Correlation coefficient curves in terms of receiver number in Simulations 1 and 2. Transmitter number is fixed to 18 and 120, respectively; 10- and 30-dB Gaussian random additive noises are considered, respectively. (a) TM-polarized data. (b) TE-polarized data.

(the scattered fields) with Gaussian additive random noise of 30 dB signal-to-noise ratio (SNR), and then process the data by the proposed method. If we use the reconstructed image as the reference image, denoted by γref , then a correlation coefficient can be defined as "N (γref [n] − γref )(γ [n] − γ ) rcorr := #" n=1 (60) "N N 2 2 (γ [n] − γ ) (γ [n] − γ ) ref ref n=1 n=1 where γ denotes the MMV image with different measurement configurations and noise levels, and γref and γ are the mean values of γref and γ , respectively. The correlation coefficient reflects the similarity degree of two images. The minor negative correlation coefficients are forced to zeros, as negative correlation does not make any sense for two amplitude images. Now we first fix the receiver number to 151, and calculate the correlation coefficients of Simulations 1 and 2 with different transmitter numbers. Fig. 4(a) and (b) shows the correlation coefficient curves in terms of transmitter number

by processing the TM-polarized data and TE-polarized data, respectively. Two SNRs, 10 and 30 dB, are considered. From Fig. 4, we observe that an obvious decrease in correlation coefficient occurs at 18 transmitters, indicating that the image quality gets worse when the transmitter number is less than 18. The correlation coefficient curves of 10 and 30 dB maintain the same trend, and the correlation coefficients of 10 dB maintains above 0.95 when more than 18 transmitters are used, indicating the proposed method is robust against the Gaussian additive random noise. Then we fix the transmitter number to 18 and 120, respectively, and image the targets in Simulations 1 and 2 with different receiver numbers. Since CV technique needs enough amount of measurements, the noise level is assumed exactly known when the receiver number is less than or equal to 31. Fig. 5(a) and (b) shows the correlation coefficient curves in terms of receiver number by processing the TM-polarized data and TE-polarized data, respectively. Two SNRs, 10 and 30 dB, are considered. From Fig. 5, we observe that the smallest receiver number to ensure a stable imaging quality is 16. The correlation coefficient of 18 transmitters and 10 dB SNR maintains rcorr ≥ 0.90 when the receiver number ≥16. Since the reference image in the definition of the correlation coefficient is not the real shape of the targets, it is actually an

1158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 7. Scatterer geometry and its reconstructed shapes in Simulation 1. 10 dB Gaussian noise is added to the measurement data. The scatterer shape (the value of the indicator function in dB) reconstructed by processing the TM-polarized data with MMV (a), LSM (b), and improved LSM with I = 7 (c), respectively.

asymptotic measure of the imaging quality. Discussion of the imaging results is given in the next section in comparison with the LSM images to further investigate the imaging performance. In Sections IV-B and V, we select 18 transmitters (equivalent to an interval of 20°) for two reasons: 1) the proposed method works well in the numerical simulations with 18 transmitters and 2) more experiments and targets are required to demonstrate the good imaging performance when 18 transmitters are used. Note that the noise level is not available in real applications; 61 receivers (equivalent to an interval of 5°) are selected in the numerical experiments for the use of CV technique. B. Imaging Results 1) Simulation 1: To reduce the computational cost, we restrict the inversion domain to [−1.0, 1.0] × [−0.4, 1.6] m2 . The inversion domain is discretized with an equal grid size of 0.01 m (= λ/60). Let us first consider the TM-polarized data disturbed with Gaussian additive random noise of 30 dB SNR. The residual curves are shown in Fig. 8(a). The trend of the residual curves is like staircases, and each step corresponds to one update of the parameter τ . The CV residual starts to increase after 80 iterations, and N = 30 more iterations are

Fig. 8. Reconstruction residual and CV residual curves by processing the TM-polarized data of Simulation 1. (a) SNR = 30 dB. (b) SNR = 10 dB.

performed before termination. The solution of the minimum CV residual is selected as the optimal solution. The scatterer shape reconstructed by MMV, LSM, and improved LSM with I = 7 is shown in Fig. 6(b)–(d), respectively. By comparison of Fig. 6(c) and (d), it is observed that the artifacts between the two circular cylinders are suppressed by improved LSM. However, the average amplitude of the sidelobes in the region of no targets increases from −15 to −10 dB. From Fig. 6(b), we observe that the proposed method shows higher resolution and lower sidelobes in comparison with Fig. 6(c) and (d), indicating that the resolving ability of the proposed method is better than LSM. To study the imaging performance with different SNRs, let us decrease the SNR to 10 dB, and the images results are shown in Fig. 7. By comparing Figs. 6 and 7, we can observe obvious degradation in the LSM images, while there is no obvious degradation in the MMV images. Fig. 8(b) shows the residual curves, from which we can see the reconstruction residual of the optimal solution, rrec ≈ 0.105, is higher than that of Fig. 8(a), rrec ≈ 0.025. Now let us process the TE-polarized data of different SNRs, 30 and 10 dB. Fig. 9 shows the scatterer shape reconstructed by MMV and LSM, respectively. The imaging results demonstrate again that, in the perspective of resolving ability, the proposed method outperforms the LSM. In addition, the proposed method maintains good imaging performance for different SNRs.

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

Fig. 9. Scatterer shape (the value of the indicator function in dB) reconstructed by processing the TE-polarized data of Simulation 1. (a), (c): MMV images; (b), (d): LSM images; (a), (b): SNR= 30 dB; (c), (d): SNR= 10 dB.

1159

Fig. 10. Scatterer geometry and its reconstructed shapes in Simulation 2; 30-dB Gaussian noise is added to the measurement data. (a) Scatterer geometry. The scatterer shape (the value of the indicator function in decibels) reconstructed by processing the TM-polarized data with (b) MMV, (c) LSM, and (d) improved LSM with I = 6, respectively.

C. Analysis of Computational Complexity 2) Simulation 2: In the second simulation, we restrict the inversion domain to [−1.0, 1.0]×[−1.0, 1.0] m2 , in which the target is fully covered. The inversion domain is discretized with an equal grid size of 0.01 m (= λ/60). First, we process the TM-polarized data of 30-SNR by MMV and LSM, respectively. Fig. 10(b)–(d) shows the reconstructed shape by MMV, LSM, and improved LSM, respectively. We can see from the results that the boundary at the left side is well reconstructed by the three methods, while the arch at the right side shows more artifacts in Fig. 10(b) and (c), because the arch at the right side is concave and multipath scattering is more severe than the left side that is convex. Comparison of Fig. 10(c) and (d) shows minor suppression of artifacts in the interior of the cylinder by improved LSM. The imaging results of 10-dB SNR data are shown in Fig. 11. Apart from some minor artifacts, no obvious degradation occurs in MMV image, while we can observe severe degradation of image resolution in LSM images. The MMV image and LSM image obtained by processing the TE-polarized data of 30 and 10 dB SNR are shown in Fig. 12. From the results, we can observe that the proposed method is able to reconstruct the scatterer’s shape with some artifacts occurred at the concave side, while LSM fails to give the basic profile of the target. Considering the length of this paper, the residual curves in this simulation are not given.

The sensing matrix  can be computed (or analytically given for the experiments in homogeneous background) and stored beforehand. It is easy to see from Algorithms 1 and 2 that the computational complexity of the GMMV-based linear method primarily depends on the number of matrix–vector multiplications,  J , and  H R. Empirically, each iteration involves maximally two times of  J and one time of  H R. In order to study the computational complexity of the proposed algorithms, we use one complex data multiplication as the measurement unit. The computational complexity for computing  J and  H R is Q N for the TM case and 4Q N for the TE case. Let us use L to denote the iteration number, then the computational complexity of the proposed method in the TM and TE case is CTM = 3L Q N

(61a)

CTE = 12L Q N

(61b)

respectively. When the mesh gets finer or the inversion domain gets larger, the iteration number, L, almost keeps unchanged, and the running time therefore linearly increases with the grid number. In our experiments, the imaging algorithms are implemented with MATLAB language. We ran the program on a desktop with one Intel Core i5-3470 CPU @ 3.20 GHz, and we did not use parallel computing. Table I lists the running times of

1160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 11. Scatterer geometry and its reconstructed shapes in Simulation 2. 10 dB Gaussian noise is added to the measurement data. The scatterer shape (the value of the indicator function in dB) reconstructed by processing the TM-polarized data with MMV (a), LSM (b), and improved LSM with I = 7 (c), respectively.

the proposed method, LSM, and improved LSM in the two simulations. As one can see that the computation time of the proposed method is hundreds of times longer than that of LSM and tens of times longer than improved LSM. The most timeconsuming part of the proposed method is the matrix–vector multiplication in each iteration, while LSM calls only singular value decomposition to the measurement data matrix for once. However, the running times of the proposed method are still acceptable in view of the gain of resolving ability. V. E XPERIMENTAL DATA I MAGING In this section, we applied our method to the experimental data provided by the Remote Sensing and Microwave Experiments Team at the Institut Fresnel, France, using an HP8530 network analyzer [33]. The experimental setup consists of a large anechoic chamber, 14.50 m long, 6.50 m wide, and 6.50 m high, with a set of three positioners to adjust antennas or target positions. A 2-D bistatic measurement system is considered, with an emitter placed at a fixed position on the circular rail, while a receiver is rotating with the arm around a vertical cylindrical target. The targets rotated from 0° to 350° in steps of 10° with a radius of 720 ± 3 mm, and the receiver rotated from 60° to 300° in steps of 5° with a radius of 760 ± 3 mm. In TE polarization, only

Fig. 12. Scatterer shape (the value of the indicator function in dB) reconstructed by processing the TE-polarized data of Simulation 2. (a), (c): MMV images; (b), (d): LSM images; (a), (b): SNR= 30 dB; (c), (d): SNR= 10 dB.

the component orthogonal to both the invariance axis of the cylinder and the direction of illumination is measured. Fig. 13 gives the measurement configuration, in which the selection of CV measurements and reconstruction measurements is illustrated. The time dependence in this experiment is exp(i ωt). Therefore, after subtracting the incident field from the total field, the measurement data can be directly used for inversion. The targets we consider here are a rectangular metallic cylinder and a “U-shaped” metallic cylinder, which have been shown in Fig. 14(a) and (b). Three data sets are processed: rectTM_cent at 16 GHz, uTM_shaped at 8 GHz, and rectTE_8f at 16 GHz. The selected frequencies correspond to wavelengths that are comparable with the dimension of the targets, i.e., the resonance frequency range. As we have discussed in Section IV-A, 18 transmitters of 20° arc interval are enough for the proposed method to give good resolution. Therefore, in the following experiments, only 18 transmitter positions (half of the measurement data) are used for imaging. First, let us process the TM-polarized data set: rectTM_cent at 16 GHz. The inversion domain for imaging the rectangular metallic cylinder is restricted to [−50, 50] × [−50, 50] mm2 , and the inversion domain is discretized with equal grid size of 0.5 mm (= λ/37.5). Fig. 15(a)–(c) shows the scatterer shape reconstructed by MMV, LSM, and improved LSM, respectively. The residual curves are shown in Fig. 18(a).

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

1161

TABLE I RUNNING T IMES OF THE T WO N UMERICAL E XAMPLES

Fig. 13. Measurement configuration of the Fresnel data sets: rectTM_cent, uTM_shaped, and rectTE_8f.

Fig. 15. Scatterer shape (the value of the indicator function in decibels) reconstructed by processing the TM-polarized data set: rectTM_cent at 16 GHz with (a) MMV, (b) LSM, and (c) improved LSM with I = 9, respectively. A total of 18 transmitter positions and 49 receiver positions for each transmitter are selected for imaging.

TABLE II RUNNING T IMES OF THE E XPERIMENTAL E XAMPLES

Fig. 14. Geometry of the scatterers. (a) Rectangular metallic cylinder. (b) “U-shaped” metallic cylinder.

From the imaging results, we observe that Fig. 15(a) shows higher resolution and less artifacts than Fig. 15(b) and (c). And we also observe that there is no big difference between Fig. 15(b) and (c). Now let us consider the “U-shaped” metallic cylinder. The inversion domain is restricted to [−100, 100] × [−100, 100] mm2 , and the inversion domain is discretized with an equal grid size of 1 mm (= λ/37.5). Fig. 16(a)–(c) gives the scatterer shape reconstructed by MMV, LSM, and improved LSM, respectively. The residual curves are shown in Fig. 18(b). Severe artifacts can be observed in LSM image and improved LSM image. Furthermore, the suppression to the artifacts is not obvious in the improved LSM image. In the contrary, the “U-shaped” cylinder is reconstructed by

the proposed method with the boundary well distinguished. Some artifacts can be observed vertically aligned in the interior and below the opening, which are caused by the complicated scattering in the opening area. Finally, let us process the TE-polarized data set: rectTE_8f at 16 GHz. The scatterer shape reconstructed by MMV and LSM is shown in Fig. 17(a) and (b), respectively. The residual curves are shown in Fig. 18(c). It can be observed that the boundary of the rectangular metallic cylinder is not distinguishable in Fig. 17(b), while in Fig. 17(a), the rectangular boundary can be clearly distinguished. The data processing is performed on the same computing platform, and the running times of all the methods are listed in Table II. In the end, we summarize this section as follows: 1) the proposed method is

1162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 16. Scatterer shape (the value of the indicator function in decibels) reconstructed by processing the TM-polarized data set: uTM_shaped at 8 GHz with (a) MMV, (b) LSM, and (c) improved LSM with I = 8, respectively. A total of 18 transmitter positions and 49 receiver positions for each transmitter are selected for imaging.

Fig. 18. Reconstruction residual curve and CV residual curve of the Fresnel data sets. (a) rectTM_cent at 16 GHz. (b) uTM_shaped at 8 GHz. (c) rectTE_8f at 16 GHz.

VI. C ONCLUSION Fig. 17. Scatterer shape (the value of the indicator function in decibels) reconstructed by processing the TE-polarized data set: rectTE_8f at 16 GHz with (a) MMV and (b) LSM. A total of 18 transmitter positions and 49 receiver positions for each transmitter are selected for imaging.

able to obtain higher resolution than LSM and improved LSM; 2) the proposed method is more computationally expensive than LSM and improved LSM; and 3) the suppression to the artifacts by improved LSM is not obvious when less transmitters are used.

In this paper, we addressed the nonlinear inverse scattering problem of highly conductive objects with a linear model. MMV model is exploited and sum-of-norm constraint is used as a regularization approach. A CV-based modified SPGL1 method is proposed to invert the data without estimating the noise level. Numerical results and experimental results of both TM polarization and TE polarization demonstrate that the proposed method shows higher resolving ability than both LSM and improved LSM. In some cases where the latter two methods fail, the proposed method can still successfully recover the profile of the targets. Numerical experiments also

SUN et al.: LINEAR MODEL FOR MICROWAVE IMAGING OF HIGHLY CONDUCTIVE SCATTERERS

demonstrate that the MMV method maintains good imaging performance in the disturbance of 10-dB SNR Gaussian random noise. The running time of the proposed method is hundreds of times longer than LSM and tens of times longer than improved LSM. However, it is still promising in view of the gain of resolving performance and the linear relationship between the computational complexity and the size of the inversion domain. In addition, it might fail in the presence of not conductive scatterers, which is an obvious limitation of the proposed method. R EFERENCES [1] J. Hadamard, Lectures on Cauchy’s Problem in Linear Partial Differential Equations. Mineola, NY, USA: Dover, 2014. [2] R. Kleinman and P. M. Van den Berg, “Two-dimensional location and shape reconstruction,” Radio Sci., vol. 29, no. 4, pp. 1157–1169, 1994. [3] P. M. Van den Berg and R. E. Kleinman, “A contrast source inversion method,” Inverse problems, vol. 13, no. 6, pp. 1607–1620, 1997. [4] O. Féron, B. Duchêne, and A. Mohammad-Djafari, “Microwave imaging of inhomogeneous objects made of a finite number of dielectric and conductive materials from experimental data,” Inverse Problems, vol. 21, no. 6, pp. S95–S115, 2005. [5] C. Yu, L.-P. Song, and Q. H. Liu, “Inversion of multi-frequency experimental data for imaging complex objects by a DTA–CSI method,” Inverse Problems, vol. 21, no. 6, pp. S165–S178, 2005. [6] S. Sun, B. J. Kooij, T. Jin, and A. G. Yarovoy, “Cross-correlated contrast source inversion,” IEEE Trans. Antennas Propag., vol. 65, no. 5, pp. 2592–2603, May 2017. [7] F. Di Benedetto, C. Estatico, J. G. Nagy, and M. Pastorino, “Numerical linear algebra for nonlinear microwave imaging,” Electron. Trans. Numer. Anal., vol. 33, pp. 105–125, Oct. 2009. [8] A. J. Devaney, “Time reversal imaging of obscured targets from multistatic data,” IEEE Trans. Antennas Propag., vol. 53, no. 5, pp. 1600–1610, May 2005. [9] O. Lee, J. M. Kim, Y. Bresler, and J. C. Ye, “Compressive diffuse optical tomography: Noniterative exact reconstruction using joint sparsity,” IEEE Trans. Med. Imag., vol. 30, no. 5, pp. 1129–1142, May 2011. [10] D. Colton and A. Kirsch, “A simple method for solving inverse scattering problems in the resonance region,” Inverse problems, vol. 12, no. 4, pp. 383–393, 1996. [11] D. Colton, M. Piana, and R. Potthast, “A simple method using Morozov’s discrepancy principle for solving inverse scattering problems,” Inverse Problems, vol. 13, no. 6, pp. 1477–1493, 1997. [12] L. Crocco, L. Di Donato, I. Catapano, and T. Isernia, “An improved simple method for imaging the shape of complex targets,” IEEE Trans. Antennas Propag., vol. 61, no. 2, pp. 843–851, Feb. 2013. [13] S. N. Fata and B. B. Guzina, “A linear sampling method for near-field inverse problems in elastodynamics,” Inverse Problems, vol. 20, no. 3, pp. 713–736, 2004. [14] T. Arens, “Why linear sampling works,” Inverse Problems, vol. 20, no. 1, pp. 163–173, 2003. [15] D. Colton and R. Kress, Inverse Acoustic and Electromagnetic Scattering Theory, vol. 93, 3rd ed. New York, NY, USA: Springer, 2013. [16] M. R. Eskandari, R. Safian, and M. Dehmollaian, “Three-dimensional near-field microwave imaging using hybrid linear sampling and level set methods in a medium with compact support,” IEEE Trans. Antennas Propag., vol. 62, no. 10, pp. 5117–5125, Oct. 2014. [17] D. J. Daniels, Ground Penetrating Radar. Hoboken, NJ, USA: Wiley, 2005. [18] A. Roger, “Newton-Kantorovitch algorithm applied to an electromagnetic inverse problem,” IEEE Trans. Antennas Propag., vol. AP-29, no. 2, pp. 232–238, 1981, doi: 10.1109/TAP.1981.1142588. [19] A. Qing, “Electromagnetic inverse scattering of multiple twodimensional perfectly conducting objects by the differential evolution strategy,” IEEE Trans. Antennas Propag., vol. 51, no. 6, pp. 1251–1262, Jun. 2003. [20] A. Qing, “Electromagnetic inverse scattering of multiple perfectly conducting cylinders by differential evolution strategy with individuals in groups (GDES),” IEEE Trans. Antennas Propag., vol. 52, no. 5, pp. 1223–1229, May 2004.

1163

[21] S. Caorsi, A. Massa, and M. Pastorino, “A crack identification microwave procedure based on a genetic algorithm for nondestructive testing,” IEEE Trans. Antennas Propag., vol. 49, no. 12, pp. 1812–1820, Dec. 2001. [22] P. Rocca, M. Benedetti, M. Donelli, D. Franceschini, and A. Massa, “Evolutionary optimization as applied to inverse scattering problems,” Inverse Problems, vol. 25, no. 12, pp. 123003-1–123003-41, 2009. [23] M. Salucci, L. Poli, N. Anselmi, and A. Massa, “Multifrequency particle swarm optimization for enhanced multiresolution GPR microwave imaging,” IEEE Trans. Geosci. Remote Sens., vol. 55, no. 3, pp. 1305–1317, 2017. [24] L. Poli, G. Oliveri, F. Viani, and A. Massa, “MT–BCS-based microwave imaging approach through minimum-norm current expansion,” IEEE Trans. Antennas Propag., vol. 61, no. 9, pp. 4722–4732, Sep. 2013. [25] S. Sun, B. J. Kooij, and A. G. Yarovoy, “Solving the PEC inverse scattering problem with a linear model,” in Proc. URSI Int. Symp. Electromagn. Theory (EMTS), Aug. 2016, pp. 144–147. [26] E. Van Den Berg and M. P. Friedlander, “Theoretical and empirical results for recovery from multiple measurements,” IEEE Trans. Inf. Theory, vol. 56, no. 5, pp. 2516–2527, May 2010. [27] S. Sun, B. J. Kooij, and A. Yarovoy, “Linearized three-dimensional electromagnetic contrast source inversion and its applications to halfspace configurations,” IEEE Trans. Geosci. Remote Sens., vol. 55, no. 6, pp. 3475–3487, Jun. 2017. [28] E. van den Berg and M. P. Friedlander, “Probing the Pareto frontier for basis pursuit solutions,” SIAM J. Sci. Comput., vol. 31, no. 2, pp. 890–912, 2008. [29] E. Van den Berg and M. P. Friedlander, “Sparse optimization with leastsquares constraints,” SIAM J. Optim., vol. 21, no. 4, pp. 1201–1229, 2011. [30] R. Ward, “Compressed sensing with cross validation,” IEEE Trans. Inf. Theory, vol. 55, no. 12, pp. 5773–5782, Dec. 2009. [31] J. Zhang, L. Chen, P. T. Boufounos, and Y. Gu. (2016). “Cross validation in compressive sensing and its application of OMP-CV algorithm.” [Online]. Available: https://arxiv.org/abs/1602.06373 [32] W. Shin, “3D finite-difference frequency-domain method for plasmonics and nanophotonics,” Ph.D. dissertation, Dept. Elect. Eng., Stanford Univ., Stanford, CA, USA, 2013. [33] K. Belkebir and M. Saillard, “Special section: Testing inversion algorithms against experimental data,” Inverse Problems, vol. 17, no. 6, pp. 1565–1571, 2001. [34] S. R. Rengarajan and Y. Rahmat-Samii, “The field equivalence principle: Illustration of the establishment of the non-intuitive null fields,” IEEE Antennas Propag. Mag., vol. 42, no. 4, pp. 122–128, Aug. 2000. [35] S. Sun, G. Zhu, and T. Jin, “Novel methods to accelerate CS radar imaging by NUFFT,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 1, pp. 557–566, Jan. 2015. [36] R. Tibshirani, “Regression shrinkage and selection via the lasso,” J. Roy. Stat. Soc. Ser. B, Methodol., vol. 58, no. 1, pp. 267–288, 1996. [37] E. G. Birgin, J. M. Martínez, and M. Raydan, “Nonmonotone spectral projected gradient methods on convex sets,” SIAM J. Optim., vol. 10, no. 4, pp. 1196–1211, 2000. [38] E. G. Birgin, J. M. Martínez, and M. Raydan, “Inexact spectral projected gradient methods on convex sets,” IMA J. Numer. Anal., vol. 23, no. 4, pp. 539–559, 2003. [39] Y.-H. Dai and R. Fletcher, “Projected Barzilai-Borwein methods for large-scale box-constrained quadratic programming,” Numer. Math., vol. 100, no. 1, pp. 21–47, 2005. [40] E. Van den Berg, “Convex optimization for generalized sparse recovery,” Ph.D. dissertation, Dept. Comput. Sci., Univ. British Columbia, Vancouver, BC, Canada, 2009. [41] R. T. Rockafellar, Convex Analysis (Princeton Mathematics Series), vol. 28. Princeton, NJ, USA: Princeton Univ. Press, 1970. [42] S. Boyd and L. Vandenberghe, Convex Optimization. New York, NY, USA: Cambridge Univ. Press, 2004. [43] S. Caorsi and G. L. Gragnani, “Inverse-scattering method for dielectric objects based on the reconstruction of the nonmeasurable equivalent current density,” Radio Sci., vol. 34, no. 1, pp. 1–8, 1999. [44] I. Catapano, L. Crocco, and T. Isernia, “On simple methods for shape reconstruction of unknown scatterers,” IEEE Trans. Antennas Propag., vol. 55, no. 5, pp. 1431–1436, May 2007. [45] L. Crocco, I. Catapano, L. Di Donato, and T. Isernia, “The linear sampling method as a way to quantitative inverse scattering,” IEEE Trans. Antennas Propag., vol. 60, no. 4, pp. 1844–1853, Apr. 2012.

1164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Shilong Sun received the B.S. and M.S. degrees in information and communication engineering from the National University of Defense Technology, Changsha, China, in 2011 and 2013, respectively. He is currently pursuing the Ph.D. degree at the Microwave Sensing, Signals and Systems Group, Delft University of Technology, Delft, The Netherlands. His current research interests include inverse scattering problems and radar imaging.

Bert Jan Kooij was born in Amersfoort, The Netherlands, in 1959. He received the B.Sc. and M.Sc. degrees in electrical engineering and Ph.D. degree in technical sciences from the Delft University of Technology, Delft, The Netherlands, in 1984, 1986, and 1994, respectively. Since 1987, he has been a Scientific Staff Member with the Electromagnetic Research Group, Delft University of Technology, where he has carried out research and taught classes in the area of electromagnetics, as well as acoustics, wave propagation, and scattering problems. During a three-month period in 1996, he was a Visiting Scientist with the Ecole Supérieure dâ Electricité, Gif-sur-Yvette, France. He was involved in transient wave propagation problems in the field of elastodynamics and electromagnetics. Since 2010, he has been a member of the Microwave Sensing, Signals and Systems Group, Delft University of Technology. His current research interests include the computation of inverse wave-field problems employing iterative techniques based on error minimization and space time-domain wave-field modeling.

Alexander G. Yarovoy (F’15) received the Diploma degree (Hons.) in radiophysics and electronics, Candidate Phys. and Math. Sci. degree in radiophysics, and Doctor Phys. and Math. Sci. degree in radiophysics from Kharkov State University, Kharkov, Ukraine, in 1984, 1987, and 1994, respectively. In 1987, he joined the Department of Radiophysics, Kharkov State University, as a Researcher, where he became a Professor in 1997. From 1994 to 1996, he was with the Technical University of Ilmenau, Ilmenau, Germany, as a Visiting Researcher. Since 1999, he has been with the Delft University of Technology, Delft, The Netherlands. Since 2009, he has been the Chair of Microwave Sensing, Signals, and Systems. He has authored or co-authored over 250 scientific or technical papers and 14 book chapters, and holds 4 patents. His current research interests include ultrawideband microwave technology and its applications (particularly radars) and applied electromagnetics (particularly UWB antennas). Prof. Yarovoy was a co-recipient of the European Microwave Week Radar Award for the paper that best advances the state of the art in radar technology in 2001 (together with L. P. Ligthart and P. van Genderen) and in 2012 (together with T. Savelyev) and was also a co-recipient of the Best Paper Award of the Applied Computational Electromagnetic Society in 2010 (together with D. Caratelli). He has served as a Guest Editor of five Special Issues for IEEE T RANSACTIONS and other journals. Since 2008, he has been the Director of the European Microwave Association. Since 2011, he has been an Associate Editor of the International Journal of Microwave and Wireless Technologies. He has served as the Chair and TPC Chair of the fifth European Radar Conference, Amsterdam, The Netherlands, as well as the Secretary of the first European Radar Conference, Amsterdam. He has also served as the Co-Chair and TPC Chair of the 10th International Conference on Ground Penetrating Radar, Delft.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1165

Temporal Coupled-Mode Theory of Electromagnetic Components Described by Magnetic Groups of Symmetry Victor Dmitriev, Gianni Portela , and Leno Martins

Abstract— We consider peculiarities of application of the temporal coupled-mode theory to the electromagnetic components with magnetic symmetry. The coupled-mode theory is widely used for analysis of reciprocal devices described by symmetrical scattering matrices and by unitary elements of symmetry, such as for example, a plane of symmetry. However, nonreciprocity and low symmetry of components described by antiunitary elements lead to a necessity of modification of the method. Using as an example a photonic crystal-based W-circulator described by an antiplane of symmetry, we show applicability of the method to the components with antiunitary elements. The circulator characteristics are calculated and compared with those obtained by a numerical method, demonstrating good agreement between the two methods. Index Terms— Circulators, magnetic group theory, photonic crystals (PhCs), temporal coupled-mode theory (TCMT).

I. I NTRODUCTION ANY nonreciprocal and control components based on magnetooptical (MO) materials in millimeter wave, terahertz, and optical regions have been suggested during the last 15 years. Among them are circulators of different types [1]–[3], switches [4], dividers [5], and multifunctional devices [6]. Circulators protecting sources of electromagnetic waves from undesirable reflections in circuits at microwaves are fulfilled in the metal waveguide and the microstrip technology [7]. In millimeter wave, terahertz, and optical regions, circulators can be implemented by using 2-D photonic crystals (PhCs) with ferrites or magnetoplasma materials [1], [3], [8]. Analysis of such structures is usually based on numerical calculations. Analytical methods are difficult to implement because of the structure complexity. Perhaps a unique example of the analytical approach to a circulator design is given in [9], where the authors used the temporal coupled-mode theory (TCMT) for the analysis of Y-circulator in PhC waveguide with an MO resonator. The threefold rotational symmetry

M

Manuscript received April 27, 2017; revised July 24, 2017 and October 4, 2017; accepted November 1, 2017. Date of publication December 13, 2017; date of current version March 5, 2018. This work was supported by the Brazilian agencies National Counsel of Technological and Scientific Development (CNPq) and the Coordination for the Improvement of Higher Education Personnel (CAPES). (Corresponding author: Gianni Portela.) The authors are with the Faculty of Electrical Engineering, Federal University of Pará, Pará, Belém 66075-110, Brazil (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2777981

Fig. 1. Three-port circulators with different types of magnetic symmetry and their elements of symmetry. Rectangles are waveguides, dotted circles denote MO resonators, H0 is dc magnetic field, and T σ is antiplane of symmetry. Circulators with threefold rotational symmetry are (a) Y-circulator with symmetry C3v (C3 ) [11] and (b) circulator with symmetry C3 [9]. Circulators described by magnetic group Cs (C1 ) are (c) T-circulator [2] and (d) W-circulator [1].

of the structure simplified greatly the analysis. The TCMT description of this circulator is based on the usual geometrical symmetry elements of rotation by 2π/3 and −2π/3 [see Fig. 1(a) and (b)]. In magnetic symmetry, they are called unitary elements. Some of the recently suggested three-port circulators are based on low-symmetry 2-D PhC junctions. These circulators can be of W- or T-format [1], [2] [see Fig. 1(c) and (d)] and also octopus- or fork-types [3]. All of them do not possess threefold rotational symmetry. However, they are characterized by another symmetry, namely, by an element known as the antiplane of symmetry [10]. In magnetic symmetry, it is called antiunitary element and presents a combination of the geometrical plane of symmetry and the time reversal operator. Notice that some electromagnetic devices can have symmetry described by both unitary and antiunitary elements.

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

These PhC circulators with low symmetry have been analyzed by using matrix methods and by numerical computer programs. In comparison with analytical methods, matrix methods do not give much insight into the physics of the device as well as the numerical methods. Besides, the numerical methods have another drawback, namely, for the analysis of a given structure in a wide range of parameters and frequencies, these methods require much time and memory, i.e., they are costly. This is aggravated in optimization processes. The TCMT is one of the popular approximate analytical methods in the theory of resonance systems with weak decay rates [12]. It is based on some general physical principles, such as conservation of energy, time-reversal symmetry, and geometrical symmetry. In this paper, we shall remove the restriction of the time reversal symmetry. In order to simplify our discussion, we have chosen a W-circulator based on 2-D PhC as an example [Fig. 1(d)]. This circulator has a rather complex structure consisting of three waveguides and two resonator modes and, therefore, the theory presented in Sections II and III can be easily adapted to the structures with another number of ports and modes. Besides, this analysis allows one to see some resemblances and differences of the TCMT for the components described by only unitary elements of symmetry [9] with our case, where the circulator is defined only by an antiunitary element of magnetic symmetry, and to compare the results. We start with the related scattering matrix eigenvalue problem from the point of view of space–time reversal symmetry. The properties of the eigenvalues and eigenvectors of physical structures, which are described by magnetic groups, are closely related to the special properties of the time reversal operator. Then, we compare our analytical results with those obtained by a numerical method and discuss the application of a general TCMT method to some other devices and to the devices described by other magnetic symmetries. II. E IGENSOLUTIONS FOR I DEALLY M ATCHED L OSSLESS C IRCULATOR W ITH T σ S YMMETRY The three-port circulator under consideration [Fig. 1(d)] is magnetized by a dc magnetic field H0 oriented along the z-axis. The circulator is described by the magnetic group Cs (C1 ) (in Schoenflies notations [10]) with the elements e (unit element) and the combined element T σ , where T is the time reversal operator and σ defines the plane of symmetry. Notice that we use in this paper the so-called restricted time reversal operator [10], which preserves the passive or active nature of media. The 3-D representation of the operator σ , which interchanges ports 2 and 3, is ⎛ ⎞ 1 0 0 Rσ = ⎝ 0 0 1 ⎠. (1) 0 1 0 To calculate the structure of the scattering matrix S, one can use the commutation relation for the antiunitary element T σ , namely, Rσ S = S T Rσ [10], where T denotes transposition. As a result, the antiplane T σ defines the following structure

of this matrix:



S11 S = ⎝ S13 S12

S12 S22 S32

⎞ S13 S23 ⎠ S22

(2)

where S33 = S22 , S21 = S13 , and S31 = S12 . Using the unitary constraint SS † = S † S = I , where I is 3 × 3 unit matrix and † denotes Hermitian adjoint, the scattering matrix S, the eigenvalues s0 , s+ , and s− , and the corresponding normalized eigenvectors V0 , V+ , and V− for the ideally matched lossless three-port circulator with antiplane of symmetry can be easily calculated. Given the eigenvalue problem SV = sV and the solution of the characteristic equation det(S − s I ) = 0, one can define the aforementioned quantities for the direction of circulation (1 → 3 → 2 → 1) as follows: ⎞ ⎛ 0 1 0 S = ⎝ 0 0 eiϕ ⎠ (3) 1 0 0 s = ei(ϕ+2π)/3 s− = ei(ϕ−2π)/3 (4) s0 = eiϕ/3 ⎞ ⎛ + ⎞ ⎛ 1 1 1 1 V+ = √ ⎝ ei(ϕ+2π)/3 ⎠ V0 = √ ⎝ eiϕ/3 ⎠ 3 e−iϕ/3 3 e−i(ϕ+2π)/3 ⎞ ⎛ 1 1 ⎝ i(ϕ−2π)/3 ⎠ e V− = √ (5) 3 e−i(ϕ−2π)/3 where ϕ = ϕ23 − ϕ12 , ϕ23 , and ϕ12 are phases of the elements S23 and S12 , respectively. The eigensolutions (5) and (5) with the subindexes + and − correspond to the counter-rotating excitations of the junction. In formulas (3)–(5), the phase angle ϕ is defined not only by the geometrical angle ψ (see Fig. 1) but it depends also on the physical parameters of the three ports, in particular, on the parameters of the MO resonator. With ϕ = 0, solutions (3)–(5) are transformed into corresponding equations for Y-circulator with threefold rotational symmetry [11]. In the case of threefold symmetry C3v (C3 ) [Fig. 1(a)] or C3 [Fig. 1(b)], the element C3 strictly defines the ψ = 120° geometrical angle between the two ports and, as a consequence, ϕ = 0. In this case, the phase shifts between all the three ports are equal. However, in general symmetry description of three-port junctions with the magnetic symmetry T σ , the geometrical angle ψ between ports 2 and 3 is not defined [and it does not enter in the equations of TCMT as well (see in the following]. It may be, for example, 180° as in T-circulator [2] or 240° as in W-circulator [1] [see Fig. 1(d)]. It may also be 0° and 360° as in the fork- and octopus-type, respectively [3]. Therefore, the phase angle ϕ in the abovementioned equations, considering only symmetry arguments, is also not defined. Notice that the condition ϕ = 0 in (3) can be, in principle, fulfilled in a circulator without threefold rotational symmetry by choosing physical and geometrical parameters of the junction. However, this condition, which is not related to a geometrical symmetry, is rather difficult to achieve and, besides, characteristics of the component in this case are much sensitive to frequency changes. The above-mentioned discussion is closely related with the theory of irreducible representations (IRREPs). For the

DMITRIEV et al.: TCMT OF ELECTROMAGNETIC COMPONENTS

1167

common plane of symmetry σ , there exist two IRREPs, namely, IRREP A and IRREP B, corresponding to even and odd solutions (see Table I of the Appendix). However, for the antiplane of symmetry (see Table II of the Appendix), there are corepresentations for the T σ element, namely, eiθ and −eiθ (which are equivalent) where the angle θ is not defined by symmetry constraints. Thus, in this case, one cannot use a general method of separation of the possible solutions in the even and odd functions. The arbitrariness of the angle ϕ in the above description is related with that of θ in the corepresentations of T σ . After diagonalization of the matrix S by using the eigenvectors (5), one comes to the following relations: S11 = S22 = S33

1 = (s0 + s+ + s− ) 3

1 −iϕ/3 e (s0 + s+ e−i2π/3 + s− ei2π/3 ) 3 1 = eiϕ/3 (s0 + s+ ei2π/3 + s− e−i2π/3 ) 3

S12 = S31 = S13 = S21

1 i2ϕ/3 e (s0 + s+ e−i2π/3 + s− ei2π/3 ) 3 1 (6) S32 = e−i2ϕ/3 (s0 + s+ ei2π/3 + s− e−i2π/3 ). 3 With ϕ = 0, these relations are reduced to the known ones for the Y-circulator [11]. The equality of the reflection coefficients in (6), S11 = S22 = S33 [in (1) one has only S33 = S22 ], follows from our approach based on the eigensolutions calculated for the case of ideal matching (S11 = S22 = S33 = 0). Notice that Y-circulators with threefold rotational symmetry can also possess the antiplane of symmetry T σ [see Fig. 1(a), where in fact the circulator has the three antiplanes T σi , i = 1, 2, 3]. However, in this case, it is sufficient to use only the unitary element C3 because from the point of view of scattering matrix, T σi does not give any additional information. S23 =

III. T EMPORAL C OUPLED -M ODE T HEORY A. General Description A general approach in TCMT for reciprocal structures is discussed in [13].In the following, we shall develop TCMT for the devices with T σ symmetry, describing the necessary modifications due to specific symmetry of the component and its nonreciprocity. The discussed circulators can usually work in two different regimes. First, the traditional regime based on a sum of two counter-rotating modes in the MO resonator possessing different frequencies. In the second regime, the circulation is provided by only one of the counter-rotating modes. Here, we shall consider the first regime with two resonant modes. For definiteness, we apply to a W-circulator in PhC with triangle lattice [1]. In Dirac’s bracket notations, the TCMT equations for our system with two counter-rotating orthogonal modes and three ports can be written as follows [13]: da = (i  − )a + K T |sin  dt |sout  = C|sin  + Da

(7) (8)

where a is the vector of cavity resonances, |sin  is the incoming wave, and |sout  is outgoing wave. They are, respectively, ⎛ ⎛ ⎞ ⎞   sin1 sout1 a+ a= |sin  = ⎝ sin2 ⎠ |sout  = ⎝ sout2 ⎠. (9) a− sin3 sout3 In (7),  and  are 2 × 2 Hermitian matrices, with  defining resonant frequencies and  describing the decay rates due to coupling of the resonances with the waveguides. These matrices can be written as     ω+ 0 γ+ 0 = = . (10) 0 ω− 0 γ− The 2 × 3 matrices K and D describe, respectively, coupling of three incoming waves with two resonant modes in (7), and two resonant modes with three outgoing waves in (8). On the other hand, the 3 × 3 matrix C in (8) is related to the direct coupling that takes place between the incoming and outgoing waves [13]. The entries of matrix C will be defined in Section III-B. Now, we apply to the scattering matrix S of the circulator in the steady state, which is defined by the relation |sout  = S|sin . For the incident wave with frequency ω, it follows from (7) and (8): S = [C + D F −1 K T ]

(11)

where F = (i ωI − i  + ) is a 2 × 2 matrix and I is a 2 × 2 unit matrix. In the following discussion of the circulator properties, we suppose, in the same way as in [9], that the nonreciprocity of the device is defined only by the effect of the frequency splitting of the counter-rotating modes of the resonator and the coupling coefficients are reciprocal. B. Matrices C, D, and K There is no pure time reversal operator T in the magnetic group of symmetry of our system (as well as in any other type of circulators). Therefore, application of the time reversal changes the scenario in the TCMT. As a consequence, the usual TCMT approach for reciprocal structures [13] where the time reversal operator is used should be modified. In the time-reversed regime, the external dc magnetic field H0 changes its sign, the sense of rotations in  is changed and, as a result, the direction of circulation (1 → 3 → 2 → 1) is switched to the reverse one, i.e., to (1 → 2 → 3 → 1). The matrices K and D are defined by using energy conservation principle and by time reversal changes as follows: D † D = 2

(12a)

K∗ = D C D ∗ = −D ∗

(12b) (12c)

where ∗ denotes complex conjugation and the specific TCMT scattering matrix C in (8) follows from (12c): ⎛ ⎞ −1 0 0 C = ⎝ 0 −1 0 ⎠. (13) 0 0 −1

1168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

S12 = S31   e−i2π/3 2 ei2π/3 = e−iϕ/3 + 3 1 + i (ω − ω+ )/γ+ 1 + i (ω − ω− )/γ− (16) S13 = S21   ei2π/3 2 iϕ/3 e−i2π/3 = e + 3 1 + i (ω − ω+ )/γ+ 1 + i (ω − ω− )/γ− (17)   −i2π/3 i2π/3 e 2 e S23 = ei2ϕ/3 + 3 1 + i (ω − ω+ )/γ+ 1 + i (ω − ω− )/γ− (18)   i2π/3 −i2π/3 e 2 e S32 = e−i2ϕ/3 . + 3 1 + i (ω − ω+ )/γ+ 1 + i (ω − ω− )/γ− (19) With ϕ = 0, the above-mentioned formulas are reduced to those for the Y-circulator with threefold rotational symmetry [9]. D. T σ Symmetry

Fig. 2. Excitation of W-circulator by (a) eigenvector V+ with reflection coefficient s+ and (b) eigenvector V− with reflection coefficient s− .

Relation (12a) is proved in [13], and equality (12b) is written in [9]. However, (12c) differs from the corresponding relation presented in [13] for reciprocal components because its proof depends on the relationship between K and D matrices. The operators D and K describe the processes, which can be considered as going on in opposite directions in time. As a consequence, for reciprocal devices, one should consider K = D, which in turn results in the relation C D ∗ = −D presented in [13]. On the other hand, (12c) for nonreciprocal components follows from the fact that, in this case, the relation K ∗ = D is valid, since the operator T fulfills complex conjugation. Matrix D is defined by the eigenvectors V+ and V− (5) and also by . Using the schemes of excitation of the junction by the eigenvectors V+ and V− with the known reflection coefficients s+ and s− corresponding to SV± = s± V± (see Fig. 2) and the equation for the TCMT scattering matrix (11), one can show that at the frequency ω =  − i  ⎞ √ √  ⎛ γ+ γ− 2⎝ √ √ γ+ e i(ϕ+2π)/3 γ e i(ϕ−2π)/3 ⎠. (14) D= √ − −i(ϕ−2π)/3 3 √ −i(ϕ+2π)/3 γ+ e γ− e C. Scattering Matrix Elements From (11), one can obtain the S matrix elements S11 = S22 = S33 2 = −1 + 3



 1 1 + 1 + i (ω − ω+ )/γ+ 1 + i (ω − ω− )/γ− (15)

Now we analyze the effect of the combined operator T σ on the quantities of our problem. The following quantities are invariant with respect to T σ : H0 , S, V0 , V+ , and V− . The matrix (operator) D (14) must also be invariant with respect to the operator T σ , that is T σ D = D where the operator T fulfills complex conjugation. Application of the operator σ leads to the junction with ports 2 and 3 (i.e., line 2 and line 3 of the matrix D) interchanged. As a result, applying T σ to (14), one comes to T σ D = Rσ D ∗ = D

(20)

where Rσ is given by (1). The same is true for the operator K , i.e., T σ K = K . Besides, T σ  =  because the operator σ changes the sense of rotation as well as T does and, as a result, T σ preserves the sense of the eigemodes ω+ and ω− rotation. The effect of the operator T σ on the incoming and outgoing ∗ , T σ |s  = |R s ∗ . waves is as follows: T σ |sout  = |Rσ sin in σ out Using this analysis and also (7) and (8), one can show that if there is a solution |sout  for the excitation |sin , there exists ∗  with |R s ∗  as the excitation. another valid solution |Rσ sin σ out IV. I LLUSTRATION AND D ISCUSSION For illustration of the theory application, we have chosen the W-circulator shown in Fig. 3 [1]. It consists of a 2-D PhC formed by a triangle lattice of holes, with radius r = 0.3a, filled with air and etched in an MO material. The lattice constant a of the 2-D PhC can be adjusted according to the desired operating frequency band. For operation around the free-space wavelength λ = 1.55 μm, the lattice constant of the PhC is a = 480 nm. Bismuth–Iron–Garnet [14], [15] is the employed MO material and it is characterized by the magnetic permeability μ = μ0 and the electric permittivity tensor ⎛ ⎞ r −ig 0 r 0⎠ (21) [ ] = 0 ⎝ ig 0 0 r

DMITRIEV et al.: TCMT OF ELECTROMAGNETIC COMPONENTS

Fig. 3.

1169

PhC-based W-circulator [1].

Fig. 5. (Color online) Magnetic field component Hz of waves for excitation of different ports in W-circulator at the central frequency ωa/2π c = 0.3057 [1]. H0 is the external dc magnetic field and 1, 2, and 3 are the input/output ports.

Fig. 4. Frequency response of W-circulator for excitation of port 1 calculated by COMSOL and TCMT equations.

with r = 6.25 and g = 0.3. It is worth noticing that the off-diagonal parameter g of tensor [ ] is proportional to the applied dc magnetic field H0 . As can be seen from Fig. 3, three waveguides are produced in the PhC structure, by means of the removal of some holes in a straight line. Besides, one resonant cavity is formed by adjustment of the positions and radii of some holes located in the center part of the device. More details regarding the device geometry are provided in [1]. Here, we are mainly concerned with the development of the TCMT-based description, but not with the device design. In order to validate the presented TCMT description of the device, we have performed some computational simulations using the software product COMSOL Multiphysics and compared the results. The theoretical results, obtained with formulas (15)–(19), and the simulation results are shown in Fig. 4. We are presenting only the results for the case in which excitation is applied at port 1, since similar results can be obtained for the excitation of ports 2 and 3. One can see in Fig. 4 good agreement between the computational simulations and the theoretical results provided by the TCMT equations. The parameters of the TCMT description ω+ , ω− , γ+ , and γ− have been obtained from computational simulations of the isolated cavity, i.e., with no waveguides connected to it. More specifically, we have determined their values from the calculation of the frequency

splitting of the counterrotating modes, excited in the isolated cavity, as a function of parameter g. Their values are: ω+ = 1.1988 × 1015 rads−1 , ω− = 1.2004 × 1015 rads−1 , and γ+ = γ− = 1.388 × 1012 rads−1 . Similar to Y-circulator [9], the extremum of the parameter |S31 |2 , i.e., the maximum of the transmission power from port 1 to port 3 occurs at a frequency ω0 lying between the resonant frequencies ω− and ω√ + and satisfying the condition √ ω0 = ω− −γ− / 3 = ω+ +γ+ / 3. At the same frequency ω0 , one can observe also the maximum of the isolation of port 2 from port 1. The magnetic field component Hz of the waves for excitation of different ports in W-circulator at the central frequency ωa/2πc = 0.3057 (c is the speed of light in free-space) is shown in Fig. 5. The standing wave dipoles in Fig. 5(a) and (b) are oriented by 60° with respect to that in Fig. 5(c). The frequency band of this type of circulators at the level of isolation −15 dB is defined by |ω+ − ω− | g

f = 0.65 = 0.02 . f0 ω0 r

(22)

For small values of the Voigt parameter g/ r , the frequency splitting |ω+ − ω− | is proportional to g/ r [3]. Thus, the frequency band can be defined in terms of the Voigt parameter. In our case, the bandwidth of the circulator is 0.0831% for excitation applied in ports 1 and 3 and 0.0985% for excitation applied in port 2. V. P HASE -F REQUENCY C HARACTERISTICS OF W-C IRCULATOR In phase-sensitive applications, such as, for example, phased array antennas, it is important to know phase-frequency

1170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

VI. C ONCLUSION We suggested a method of analysis of devices with MO resonators based on TCMT. The main peculiarity of our theory is the use of antiunitary elements of symmetry. The TCMT discussed in this paper can be applied to the structures with complex resonator systems (for example, with coupled resonators) and/or complex waveguides, where traditional analytical methods do not work. In these cases, one can consider separately the resonators and the waveguides theoretically or experimentally, because these separated problems are much easier to deal with and then combine the results. Notice that the operator T σ is occurred not only in different types of three-port circulators discussed here but also in some other nonreciprocal and control components with MO materials, such as switches, dividers, and multifunctional devices. In these cases, one can use the general theory developed in this paper. The described procedure can be used also for electromagnetic devices described by other antielements of symmetry, such as antirotation T Cn (n = 2, 4, 6, . . .) and anticenter of symmetry T i . A PPENDIX

Fig. 6. (a) Three-port W-circulator described by magnetic group Cs (C1 ). (b) Frequency dependence of phase angle ϕ = ϕ23 − ϕ12 .

responses of the components. In the case of Y-circulator, such analysis is fulfilled in [16]. Here, we discuss some peculiarities of these characteristics for W-circulator. First, one should note the principal difference in phasefrequency characteristics of W-circulator and Y-circulator. If the positions d of reference planes (2-2) and (3-3) in Fig. 6(a) are fixed symmetrically with respect to the antiplane T σ and the position c of reference plane (1-1) is chosen properly, one can provide ϕ = ϕ23 − ϕ12 = 0. However, the equality ϕ = 0 is fulfilled only at one fixed frequency. This is similar to the case which is called in the group-theoretical language “accidental degeneracy,” i.e., it is not defined by symmetry of the structure. In contrast to this, for the Y-circulator with the threefold rotational symmetry with symmetrical positions of the reference planes, the equality ϕ = 0 exists at any frequency. Besides, from Fig. 5(c), one can see that the phase shift ϕ23 for the transmission (3 → 2) defined on the circumference of the central hole is about π, while for the cases (1 → 3) [Fig. 5(a)] and (2 → 1) [Fig. 5(b)], it is approximately zero. Second, the TCMT described previously does not allow one to calculate the frequency dependence of ϕ. This should be done by another method. The characteristic ϕ(ω) for the discussed W-circulator calculated by COMSOL Multiphysics is presented in Fig. 6(b). One should note that, in the frequency range of the circulator ωa/2πc = [0.3056, 0.3058], defined at the isolation level −15 dB, the phase angle ϕ is a linear function of frequency, with the change of about 5° on this parameter.

For illustration and comparison purposes, we give a brief account of two simplest cases of groups in the following: one group describing a plane σ and the other one an antiplane T σ of symmetry. The former is frequently met in the reciprocal components analyzed by TCMT, and the latter is used in this paper. The group Cs contains the unit elements e and the plane σ . In fact, the full magnetic group of symmetry of an object without magnetic media contains also the time reversal operator T and the product of T with σ , i.e., T σ . Thus, it has four elements. But usually, the operator T is taken into account separately by imposing the requirements of symmetry of the scattering matrix and the material tensors of permittivity and permeability μ. The operator T σ is not considered at all, because it does not give any additional information. The IRREPs of the group Cs with two elements e and σ are given in Table I. These representations can be obtained from the multiplication scheme of the elements, i.e., σ 2 = e. In terms of IRREPs R (i.e., numbers), the eigenvalue equation for the element Rσ is Rσ2 = 1. Therefore, one has R A = 1 for representation A and R B = −1 for representation B (see the third column of Table I). These two IRREPs correspond, respectively, to the even and odd solutions with respect to the plane σ . On the other hand, the magnetic group Cs (C1 ), possessing the two elements e and T σ , can be considered as a subgroup of the group Cs with four elements described previously. The IRREPs (which are called corepresentations) of this group are written in Table II. The element T σ is antiunitary and antilinear one [17]. According to the basic properties of the group elements, the product of T σ with itself must be equal to the unit element, i.e., (T σ )(T σ ) = e. From Wigner’s theory of corepresentations [17] which defines, in particular, specific properties of the multiplication scheme for the antiunitary elements, one has RT σ RT∗ σ = 1,

DMITRIEV et al.: TCMT OF ELECTROMAGNETIC COMPONENTS

TABLE I IRREPs OF THE G ROUP Cs

TABLE II I RREDUCIBLE C OREPRESENTATIONS OF THE G ROUP Cs (C1 )

where the complex conjugation appears in the second term of the representation product (notice that the term corepresentation originates from the complex conjugation). Therefore, for the antiplane T σ , the two corepresentations c A and cB in Table II are Rc A = eiθ (i is the imaginary unit) and RcB = −eiθ , respectively, where θ is any real number. These two corepresentations are equivalent, because they are related by P −1 Rc A P ∗ = RcB , where P = i . This is very different from the case of the common symmetry plane σ . Here, in particular, it is impossible to describe a solution as a sum of two orthogonal members with even and odd symmetry with respect to the antiplane T σ . The theory of representations (reducible and irreducible) applied to electromagnetic structures is discussed, for example, in [18] and the theory of corepresentations in [10].

1171

[11] J. Helszajn, Nonreciprocal Microwave Junctions and Circulators. Hoboken, NJ, USA: Wiley, 1975. [12] H. Haus and W. P. Huang, “Coupled-mode theory,” Proc. IEEE, vol. 79, no. 10, pp. 1505–1518, Oct. 1991. [13] W. Suh, Z. Wang, and S. Fan, “Temporal coupled-mode theory and the presence of non-orthogonal modes in lossless multimode cavities,” IEEE J. Quantum Electron., vol. 40, no. 10, pp. 1511–1518, Oct. 2004. ´ [14] W. Smigaj, J. Romero-Vivas, B. Gralak, L. Magdenko, B. Dagens, and M. Vanwolleghem, “Magneto-optical circulator designed for operation in a uniform external magnetic field,” Opt. Lett., vol. 35, no. 4, pp. 568–570, 2010. [15] Z. Wang and S. Fan, “Suppressing the effect of disorders using time-reversal symmetry breaking in magneto-optical photonic crystals: An illustration with a four-port circulator,” Photon. Nanostruct.-Fundam. Appl., vol. 4, no. 3, pp. 132–140, 2006. [16] J. Helszajn, W. D’Orazio, and M. Caplin, “Insertion phase and phase slope parameter of microwave junction circulators,” Proc. IEE—Microw., Antennas Propag., vol. 151, no. 1, pp. 54–60, 2004. [17] E. P. Wigner, Group Theory and Its Applications to the Quantum Theory of Atomic Spectra. Orlando, FL, USA: Academic, 1959. [18] X. Zheng et al., “On the use of group theory in understanding the optical response of a nanoantenna,” IEEE Trans. Antennas Propag., vol. 63, no. 4, pp. 1589–1602, Apr. 2015.

Victor Dmitriev received the M.Eng. and Ph.D. degrees in electrical engineering from the Bauman Moscow State University, Moscow, Russia, in 1971 and 1977, respectively. He has authored or co-authored over 200 conference papers, 110 journal papers, 2 books, and 8 book chapters. His current research interests include group theoretical methods in electromagnetic theory, the propagation of electromagnetic waves in complex media, metamaterials, antennas and nanoantennas, photonic crystals, nanoelectronics, and nanophotonics.

R EFERENCES [1] V. Dmitriev, M. N. Kawakatsu, and F. J. M. de Souza, “Compact three-port optical two-dimensional photonic crystal-based circulator of W -format,” Opt. Lett., vol. 37, no. 15, pp. 3192–3194, 2012. [2] Q. Wang, Z. Ouyang, K. Tao, M. Lin, and S. Ruan, “T-shaped optical circulator based on coupled magneto-optical rods and a side-coupled cavity in a square-lattice photonic crystal,” Phys. Lett. A, vol. 376, no. 4, pp. 646–649, 2012. [3] V. Dmitriev, G. Portela, and L. Martins, “Three-port circulators with low symmetry based on photonic crystals and magneto-optical resonators,” Photon. Netw. Commun., vol. 31, no. 1, pp. 56–64, 2016. [4] Z. Wu, M. Levy, V. Fratello, and A. M. Merzlikin, “Gyrotropic photonic crystal waveguide switches,” Appl. Phys. Lett., vol. 96, no. 5, p. 051125, 2010. [5] A. Esmaieli and R. Ghayour, “Magneto-optical photonic crystal 1 × 3 switchable power divider,” Photon. Nanostruct.-Fundam. Appl., vol. 10, no. 1, pp. 131–139, 2012. [6] V. Dmitriev and G. Portela, “Multifunctional two-dimensional photonic crystal optical component based on magneto-optical resonator: Nonreciprocal two-way divider-switch, nonreciprocal 120 deg bendingswitch, and three-way divider,” Opt. Eng., vol. 53, no. 11, p. 115102, 2014. [7] J. Helszajn, The Stripline Circulators: Theory and Practice, vol. 206. Hoboken, NJ, USA: Wiley, 2008. [8] S. Fan and Z. Wang, “An ultra-compact circulator using two-dimensional magneto-optical photonic crystals,” J. Magn. Soc. Jpn., vol. 30, no. 6_2, pp. 641–645, 2006. [9] Z. Wang and S. Fan, “Magneto-optical defects in two-dimensional photonic crystals,” Appl. Phys. B, Lasers Opt., vol. 81, nos. 2–3, pp. 369–375, 2005. [10] A. Barybin and V. Dmitriev, Modern Electrodynamics and CoupledMode Theory: Application to Guided-Wave Optics. Princeton, NJ, USA: Rinton Press, 2002.

Gianni Portela received the B.S. degree in mathematics from Pará State University, Pará, Brazil, in 2006, and the B.S., M.Eng., and Ph.D. degrees in electrical engineering from the Federal University of Pará, Belém, Brazil, in 2007, 2008, and 2015, respectively. He is currently a Post-Doctoral Fellow with the Federal University of Pará. His current research interests include the development of photonic crystals-based devices, such as switches, dividers, circulators, isolators, and multifunctional components.

Leno Martins received the B.S. degree in computer engineering and M.Eng. degree in electrical engineering from the Federal University of Pará, Pará, Belém, Brazil, in 2013 and 2016, respectively, where he is currently pursuing the Ph.D. degree. His current research interests include the development of photonic crystal-based circulators.

1172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

A Short–Open Calibration Method for Accurate De-Embedding of 3-D Nonplanar Microstrip Line Structures in Finite-Element Method Yin Li , Student Member, IEEE, and Lei Zhu, Fellow, IEEE

Abstract— This paper presents the numerical short–open calibration (SOC) method in the full-wave finite-element method (FEM) algorithm for accurate de-embedding of equivalent circuit parameters of a variety of 3-D nonplanar periodic guided-wave and discontinuity structures. It holds its attractive features in high efficiency and good accuracy as demonstrated in the method of moments algorithm, and further allows itself to be capable of characterization of 3-D nonplanar structures. By setting the reference plane along each feeding line as the perfect electric and magnetic conductors, i.e., PEC and PMC, the entire error box involved in each feeding line and impressed source can be characterized by using these two calibration standards, namely, short- and open-ended circuits. Formulation of ABCD matrix of this error box is described under the introduction of an impressed voltage at each port. Then, ABCD matrix of the core circuit structure can be effectively de-embedded or extracted. Finally, a few numerical examples are given to demonstrate the efficiency and accuracy of our proposed full-wave 3-D FEM-SOC approach in numerical de-embedding of 3-D nonplaner structures. Index Terms— Finite-element method (FEM), numerical calibration, port discontinuity, short–open calibration (SOC), 3-D nonplanar structure.

I. I NTRODUCTION

T

HE development of integrated and multifunctional microwave circuits has been arousing the rapidly growing demand for high-accuracy and high-efficiency modeling of 3-D planar or nonplanar circuit structure, called as device-under-test (DUT) in microwave measurement. To do it, the impressed source or excitation models are indispensable to be introduced at all the ports of these DUT in numerical algorithms. Usually, these sources at ports can be divided into two distinctive types: wave port and impressed port. Ideally, the so-called port discontinuity does not exist if the field distribution at a port can be exactly expressed in

Manuscript received June 22, 2017; revised September 21, 2017 and October 25, 2017; accepted November 12, 2017. Date of publication December 11, 2017; date of current version March 5, 2018. This work was supported in part by the University of Macau under Grant MYRG201700007-FST, Grant MYRG2015-00010-FST, and Grant CPG2017-00028-FST, in part by the Macao Science and Technology Development Fund under Grant FDCT/051/2014/A1, and in part by the National Nature Science Foundation of China under Grant 61571468. (Corresponding author: Yin Li.) The authors are with the Department of Electrical and Computer Engineering, Faculty of Science and Technology, University of Macau, Macao, China (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2778111

mathematical modeling. However, it is theoretically impossible to derive the analytical or exactly mathematical expression of the introduced field distribution, especially when the incident wave or impressed source is introduced at a port of an irregularly shaped feeding line or waveguide. In this case, the field distribution in the transverse plane needs to be numerically derived by solving an eigenvalue problem [1], [2]. Meanwhile, the field distribution at port can be determined by solving a set of expansion modal functions. However, it is very challenging to accurately fit the port field using a sufficient number of these modal functions, resulting in unwanted field mismatching or discontinuity problem at the port. The impressed sources (voltage or current sources) have been widely employed to express the field distribution, and many previous works have verified that these impressed sources can approximately represent the field at port [3]–[6]. However, the field distribution in the transverse plane at the port is basically inconsistent with its actual one, thus causing the so-called port discontinuity at the port with impressed source. In microwave measurement, it has been well reported that the error at a port between the connecting cable and feeding line can be effectively eliminated by different calibration methods, such as short–open–load–thru, thru–reflect–line (TRL), and thru–reflect–match [7]. As such, a few numerical calibration techniques have been proposed to de-embed the port discontinuity in numerical algorithms, which is undesired and nonphysical. The so-called “double-delay de-embedding” was developed to de-embed the sole port discontinuity aroused by a delta-gap voltage source [8]–[10]. In this context, the port discontinuity is equivalently expressed as frequencydependent shunt capacitance and/or inductance. This port model needs to simply predefine the lumped-element model of the complex, unwanted, and nonphysical port discontinuity. Consequently, it is not effectively workable for all the cases, especially at high frequency, where the dielectric substrate is not electrically thin. Then, the short–open calibration (SOC) technique was first introduced in 1997, and this method can remove all the unwanted parasitic effect brought by the approximation of the impressed source [11]. It has been implemented with the full-wave method of moment (MoM) algorithm to extract the equivalent model parameters of planar circuit/discontinuity elements involved in a complicated layout [12]–[14].

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

LI AND ZHU: SOC METHOD FOR ACCURATE DE-EMBEDDING OF 3-D NONPLANAR MICROSTRIP LINE STRUCTURES

Meanwhile, it has been explored for parametric de-embedding of various nonuniform transmission lines with periodic configuration [15], [16]. Later on, an extended SOC method was utilized for modeling of multimode coplanar waveguide (CPW) [17], and a vector SOC method was developed for modeling of multiport circuits [18]. Due to its unique property in numerical de-embedding procedure, it has gained wide application in de-embedding or parametric extraction of various planar microwave circuits/discontinuities [19], [20], transmission line metamaterials on CPW [21], spoof surface plasmons [22], and so on. However, they were only focused on modeling of 2-D or 2.5-D planar structures and they are invalid for 3-D nonplanar structures. Thus, more calibration methods are needed to numerically handle more complicated 3-D nonplanar microwave circuits. Recently, the short–open–load (SOL) calibration method was proposed to extract the propagation constant and characteristic or wave impedance of the substrate-integrated waveguide (SIW) [23], [24] by virtue of the short, open, and load standards in the 3-D commercial full-wave simulator. However, the port impedance must be known or calculated in advance. In this context, a multimode thru–thru technique was proposed in [25] to extract the characteristic impedance of SIW operating at different modes. But, this method unfortunately preinquires the information of the wave port along its respective feed line [25]. The numerical TRL is another calibration method used to accurately extract the circuit discontinuity and structure, but it needs a prior knowledge of the characteristic impedance at the port or reference plane [25]–[27]. A similar numerical calibration method via a thru–line was proposed to derive the effective propagation constant of SIW with periodic vias in two sides by solving the eigenvalue problems [28], [29], but it cannot be applied to extract its effective characteristic impedance. As such, these problematic issues have restricted their applications in all of these numerical calibration techniques. The SOC method can proceed our de-embedding procedure without knowing such as port impedance, and can be applied to different feed networks with various sources models such as impressed voltage source, impressed current source, and wave port. This method can accurately extract all the effective per-unit-length transmission parameters of a periodic guidedwave structure, including the complex propagation constant and complex characteristic impedance. Moreover, it can be implemented in the 3-D volume integral equation [30] method for modeling of 3-D microelectromechanical systems. It is implemented by using the time-domain adaptive integral method for analysis of packaged geometries [31]. In this paper, the SOC method will be implemented in the 3-D finite-element method (FEM) algorithm for accurate modeling and de-embedding of 3-D nonplanar structures and circuits with complicated geometry. After the FEM algorithm is briefly described to model a generalized circuit structure with external ports, the two calibration standards, namely, short and open circuits, are formulated and characterized in the same FEM algorithm. As the port discontinuities are all known and further calibrated out, the core DUT portion can be effectively de-embedded. In final, a few examples are

1173

given to evidently validate the effectiveness of the proposed FEM-SOC approach in numerical de-embedding of 3-D nonplanar structures. II. FEM-SOC F ORMULATION A. Formulation of Edge-Based FEM and Port Model Based on the well-known Maxwell’s equations, the inhomogeneous vector wave equation can be obtained as [1]   (1) ∇ × μr−1 ∇ × E(r) − k0 r E(r) = − j k0η0 Jinc where Jinc is the electric current density, k0 the free-space wavenumber, η0 the free-space wave impedance, and r and μr the relative permeability and relative permittivity, respectively. According to the variation principle, a function F can be defined by an interproduct of Ea on the left-hand side of (1)    Ea · μr−1 (∇ × E) − k02 r E · d V F(Ea , E) = V  Ea · Jinc d V. (2) + j k0η0 V

In general, the FEM can be formulated and derived by using Galerkin’s method or the Ritz method [1], and it should be noted that the former one is chosen in our formulation to ensure the accuracy in numerical simulation. By choosing the expansion functions as the basis and weighting functions, the unknown electric field intensity in the whole 3-D volume can be in general expanded as E=

N 

Nie E ie = {E e }T {N e }

(3)

i=1

where Nie is the edge-based vector basis functions in the form of tetrahedral elements [1], {E e } is the unknown coefficients of the basis functions, and N is the number of the unknowns. By substituting (3) into (2), we can deduce  1  e T {E } [A]{E e } − k02 {E e }T [B]{E e } + j k0[b]{E e } . 2 N

F=

e=1

(4) Upon carrying out the summation and using the global notation, (4) can be written as a matrix system, such that   (5) [A] − k02 [B] {E} = j ωμ0 [b] where the matrix A, B, and b can be mathematically expressed as    ∇ × Nie (r) · μr−1 ∇ × Nej (r) d V (6) Ai j = 

Ve



Ve

Bi j = bi j =

Ve

  k02 r Nie (r) · ∇ × Nej (r) d V

(7)

Nie (r) · Jincd V .

(8)

Ideally, the current source model is composed of an infinite thin current inserted between two separated conductors, i.e., strip conductor and ground plane, at the feed position. This impressed current density Jimp can be mathematically

1174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 1. Schematic of the impressed current source model in the FEM algorithm.

expressed as a delta function in the vertical axis at the feeding point, as shown in Fig. 1, that is, Jimp(r) = yˆ I0 δ(x − x 0 , z)

(9)

where yˆ stands for to the direction of the impressed current source along the y-axis, and I0 represents its magnitude. This simplified current source in (9) can be applied to excite the dominant quasi-TEM mode and other higher order modes in the microstrip feeding line, especially at high frequency. As such, this port model inevitably brings out the unexpected error at the port and it should be calibrated out of the resultant matrix. B. Implementation of SOC Method in FEM Algorithm When the network parameters are numerically de-embedded by FEM method, the field matching between the external port and the interior feeding-line region should be guaranteed at first. It means that the incident wave, traveling longitudinally from infinite location, causes no scattered waves from the port to the outsides. To realize this condition, the perfect matched layer (PML) and absorbing boundary condition (ABC) need to be used to absorb the unexpected reflected wave. However, both of them cannot effectively absorb the evanescent waves at port over a wide frequency range. In this paper, such an error at each port, in other words, called as port discontinuity, is fully admitted by the reason of nonideal source. This effect has been entirely modeled in the same FEM algorithm and calibrated out of the resultant network matrix by virtue of two ideal calibration standards, i.e., short and open circuits. Fig. 2(a) shows the generalized two-port 3-D microwave structure with arbitrary shape and configuration. As indicated in Fig. 2(a), the entire structure can be decomposed into three distinctive parts: the two feeding lines with their impressed sources at two ports and the core 3-D nonplanar circuit block or DUT between the reference planes #1 and #2 . The two feeding lines are in general expressed as two respective error boxes, namely, [X 1 ] and [X 2 ], as shown in Fig. 2(b), and they include all the discontinuities caused by the nonideal lumped port model and numerical discretization of feedingline portion. By calibrating them out, the desired network parameters for the two-port DUT in Fig. 2 can be effectively de-embedded. In general, the i th error box can be expressed by the ABCD matrix with four terms ai , bi , ci , and di . For the i th port,

Fig. 2. Geometry and equivalent circuit representation of a generalized 3-D nonplanar microwave structure. (a) Physical geometry. (b) Entire equivalent model with three distinctive blocks, where two error boxes are relevant to two feed lines with external ports.

Fig. 3. Physical port models and equivalent circuit networks of SOC standards in SOC procedure. (a) Short standard. (b) Open standard.

the relationship between current/voltage and their respective ones at the reference plane is given by     Vi ai bi Vi = . (10) Ii ci d i Ii Herein, the ideal open and short ends are introduced at the reference plane of the i th feeding line, and the portion between the port and its respective reference plane is in general expressed as the error box [X i ] (i = 1, 2). As introduced in [12], the SOC technique defines a pair of calibration standards, i.e., ideal short and open circuits, and these two standards are formulated by placing an electric wall (E. W.) and magnetic wall (M. W.) at the reference plane of each feeding line. Meanwhile, the core DUT portion is defined between the reference planes #1 and #2 , as depicted in Fig. 3. According the electromagnetic theory, these short and open circuit standards can be exactly realized in the same FEM algorithm. In the open standard, the M. W. is realized with perfect magnetic conductors PMC boundary condition and the current at the reference plane is exactly equal to zero. Then, the port voltage (Vio ), port current (Iio ), and current at reference (Iis ) at the two terminals of the open standard should

LI AND ZHU: SOC METHOD FOR ACCURATE DE-EMBEDDING OF 3-D NONPLANAR MICROSTRIP LINE STRUCTURES

1175

satisfy the relationship as the following equation: ai Vio + bi Iio = Vio

(11)

ci Vio + di Iio = 0.

(12)

As for the short standard, the voltage at the reference plane is exactly equal to zero and it is realized with the perfect electric conductor (PEC) boundary condition in simulation. Accordingly, its port voltage (Vis ) and current (Iio ) leads to the following equation: ai Vis + bi Iis = 0.

(13)

Since the two-port error boxes are reciprocal networks, the four elements of their respective ABCD matrix are related as ai di − bi ci = 1.

(14)

In fact, (11)–(14) are independent of each other, so the ABCD matrix of each error box can be obtained by solving these four equations once the current and voltage are derived. To simplify our formulation, the two-port voltages of short and open circuits, and one extra voltage at the reference plane are all normalized by the corresponding port current, such that ⎧ ⎪ ⎨V io = Vio /Iio  (15) V io = Vio /Iio ⎪ ⎩ V is = Vis /Iis . In this way, the ABCD matrix of error box [X i ] can be expressed as ⎤ ⎡ V io V is V io V is − ⎥ ⎢ V io − V is ⎥ ⎢ V io − V is (16) [X i ] = ⎢ ⎥  V io ⎦ ⎣ 1 −  V io V io where all the elements of the error box [X i ] is only related to the three equivalent normalized voltages. Once the ABCD matrices of these two error boxes are numerically derived, the ABCD matrix of the core circuit [ ADUT ] can be obtained by calibrating out these two error boxes from the entire network [AEXT ], such that [ ADUT ] = [X 1 ]−1 [ AEXT][X 2 ]−1 .

(17)

In numerical FEM algorithm, the PMC boundary condition is introduced at the port position to establish the impressed current source model, as shown in Fig. 2(a). In general, the PEC and PMC boundary conditions have zero tangential electric and magnetic fields nˆ × E = 0 nˆ × (∇ × E) = 0.

(18) (19)

In this context, the network parameters of the error boxes [X 1 ] and [X 2 ] can be modeled by the numerical FEM by virtue of the two standard elements with known ABCD matrices. In parallel, the actual or effective propagation constant γ and characteristic/wave impedance Z 0 of a guided-wave structure with uniform or periodically varied configuration can be derived without any prior assumption if its ABCD matrix with

Fig. 4. Numerical de-embedding of a microstrip line with finite length of L, which is excited by two feeding lines with the impressed port sources.

finite length of L can be numerically de-embedded from the above-described SOC procedure, such that a+d (20) 2 b Z0 = (21) c where L stands for the length of the core guided-wave structure as chosen in numerical modeling. cosh(γ L) =

III. N UMERICAL R ESULTS AND VALIDATION In this section, the SOC technique integrated with FEM simulator, namely, FEM-SOC approach, will be applied to numerically de-embed the finite-length microstrip line and finite-length microstrip line electromagnetic bandgap (EBG) structure in terms of their effective characteristic impedance and propagation constant as well as the two-layered via-hole microstrip line discontinuity in terms of its two-port equivalent circuit model over a wide frequency range. A. Microstrip Line Fig. 4 illustrates the schematic for numerical modeling of a finite-length microstrip line on a 1.27-mm-thick dielectric substrate with a relative permittivity of 10.2. Herein, the strip width is set as W = 1.04 mm, the length of the core microstrip line portion is selected as L = 30 mm, the width of ground plane is set as d = 10 mm, and the length between the port and its respective reference plane is selected as L = 10 mm. Fig. 5 shows the comparison among the propagation constants γ /k0 of the microstrip line calculated by FEM with and without the SOC technique, as well as the closed-form equation [32]. The results from the FEM-SOC agree well with its respective analytical results [32]. The relative error between them is less than 5% over a wide frequency range of 1–4 GHz. However, compared with the results from the FEM without SOC technology, the relative error turns to be larger than 15%. It is mainly caused by the fact that the parasitic port error is not calibrated out as extensively discussed in [12] and [14]. Fig. 6 illustrates the characteristic impedance of the microstrip line simulated by the FEM with or without SOC method. Its real part from the FEM without SOC is decreased as the frequency increases. When SOC is integrated in the

1176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 5. De-embedded propagation constant of the microstrip line with finite length of L by using different methods.

Fig. 7. Layout of the microstrip line EBG structure and its equivalent circuit representation. (a) Physical model with the current source at the ports of two feeding lines. (b) Equivalent circuit model for de-embedding of complex propagation constant and characteristic impedance using FEM-SOC.

Fig. 8. Mesh information of unit cell of periodic structure. (a) Front view. (b) Bottom view.

Fig. 6. De-embedded characteristic impedance of the microstip line with finite length of L by using different methods.

FEM simulator, the relevant result can remain stable as frequency is changed from 1 to 4 GHz, and it matches well with the analytical result. As for the imaginary part, all the three sets of results are all nearly zero because the losses from the dielectric substrate, conductor, and radiation are neglible. B. Microstrip Line Electromagentic Bandgap Structure Fig. 7(a) depicts the geometry of the finite-length microstrip line with N cell periodic backside aperture, named as the microstrip EBG structure, where the periodicity is set as T . This periodic structure is fed by the two uniform feeding lines with impressed current sources at the port planes #1 and #2. Moreover, the entire network is classified as the two error boxes in two sides and the core DUT or EBG with respect to the reference planes #1 and 2 , as illustrated in Fig. 7(b). The periodic FBG is characterized as an effective transmission line with length of L = N × T , complex characteristic impedance

of Z 0 = Z r + j Z i , and complex propagation constant of γ = α + jβ. The two error boxes indicate the entire effect of each feed line and the port discontinuity, and they can be effectively evaluated and calibrated out by using the proposed FEM-SOC. As a result, the ABCD matrix of the two-port network, representing the core EBG portion in middle, can be accurately extracted. Using (20) and (21), its effective complex characteristic impedance and complex propagation constant can be eventually derived. As indicated in Figs. 8 and 9, two per-unit-length transmission parameters of this periodic EBG structure extracted by the FEM-SOC agree well with those from the MoM-SOC [33]. The mesh information of a periodic unit cell is shown in Fig. 8. Fig. 9 illustrates the extracted phase constant β and attenuation coefficient α normalized by the free-space wavenumber k0 . As the frequency increases from 2 to 8 GHz, β/k0 rises up. Afterward, it falls down until around 12 GHz, and then keeps an upward tendency. The attenuation constant α/k0 almost keeps as zero in the whole frequency range, except in the bandstop range, in which it goes up and then down. Meanwhile, the real part of characteristic impedance Z r keeps an exponential growth as the frequency increases, then suddenly drops to zero, and keeps stable in bandstop range in final. From the upper of the bandstop range, it increases again as shown in Fig. 10(a). Its imaginary part Z i

LI AND ZHU: SOC METHOD FOR ACCURATE DE-EMBEDDING OF 3-D NONPLANAR MICROSTRIP LINE STRUCTURES

1177

Fig. 9. De-embedded complex propagation constant of the microstrip line by using the FEM-SOC and MoM-SOC methods.

Fig. 10. De-embedded complex characteristic impedance of the microstrip line by using the FEM-SOC and MoM-SOC methods. (a) Real part. (b) Image part.

decreases quickly from a large value to zero over the bandstop range, as shown in Fig. 10(b). Fig. 11 shows the comparison of the results calculated by the FEM-SOC, and commercial software ANSYS high-frequency

Fig. 11. Comparison of the results of the microstrip line calculated by using the FEM-SOC and commercial software HFSS with two different port models. (a) Complex propagation constant. (b) Real part of complex characteristic impedance. (c) Imaginary part of complex characteristic impedance.

structural simulator (HFSS) with two different port models, called as wave port and lumped port, respectively. As shown in Fig. 11(a), the complex propagation constant from FEM-SOC is found to agree well with those from the wave port model in the HFSS. And the port discontinuity existing

1178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 12. Geometry of via-hole discontinuity in a two-layered substrate and its circuit model. (a) 3-D view. (b) Top view. (c) Equivalent circuit model.

Fig. 13. Frequency-dependent inductance L and capacitance C extracted from our FEM-SOC method under different geometrical dimensions. (a) Curves of L and C under different d1 . (b) Curves of L and C under different d2 .

based on a simple current source model without adding any PML ABC at all the ports. in current source used in FEM at each port needs to be fully removed. The lumped port model has been widely used in HFSS, and it is similar to the current source model in our proposed FEM-SOC method. Without removing this port discontinuity in FEM, the simulation results show unstable trend and incorrect value for the propagation constant, as shown in Fig. 11(a). Similarly, the derived complex characteristic impedance from the lumped port model in HFSS becomes unstable and incorrect again, as illustrated in Fig. 11(b) and (c). Therefore, the port discontinuity in the lumped port model needs to be fully solved and removed in all the FEM algorithms and commercial software. From this example, it has been confirmed that the proposed FEM-SOC method is powerful to efficiently and accurately extract the per-unit-length transmission parameters of the periodic guided-wave structures as realized in the MoM-SOC. Compared with these FEM algorithms or softwares under the lumped port model, the proposed FEM-SOC can directly and accurately obtain the results of a 2-D/3-D microwave circuit

C. Via-Hole Discontinuity in Two-Layered Substrate The via-hole is often used in the microwave multilayered structure such as the through-silicon via (TSV). It allows full transmission from one layer to another layer at low frequency and serves as a series inductive element at high frequency. A via-hole discontinuity across the two-layered substrate is depicted in Fig. 12(a) and (b). In the FEM-SOC modeling, its two feeding lines are excited by the impressed current sources at the two-port positions backed by the M.W. or PMC. The equivalent circuit model of the via-hole defined at the two reference planes #1 and #2 can be expressed as a π-network [34], and it is shown in Fig. 12(c). Fig. 13 depicts a few sets of frequency-dependent curves of the equivalent shunt capacitances and series inductances as extracted by our proposed FEM-SOC method. The extracted L and C values with respect to different geometrical parameters are illustrated in Fig. 12. In the frequency range from

LI AND ZHU: SOC METHOD FOR ACCURATE DE-EMBEDDING OF 3-D NONPLANAR MICROSTRIP LINE STRUCTURES

1179

IV. C ONCLUSION In this paper, the FEM-SOC method is presented for accurate modeling and de-embedding of 3-D nonplanar microwave circuits and structures. By implementing the SOC technique in the FEM algorithm, the error box of each feeding line with impressed source at the port is accurately modeled and effectively calibrated out by virtue ideal short and open standards. As such, it allows us to efficiently and accurately de-embed or extract the core 3-D nonplanar circuit or structure of our interest. In final, three numerical examples are given to demonstrate and validate that the proposed FEM-SOC method is a powerful approach in modeling of 3-D nonplanar structures with complicated geometry. R EFERENCES Fig. 14. Geometry of a two-port circuit constituted by two cascaded viaholes and its equivalent circuit model. (a) Top view of its physical layout. (b) Equivalent circuit model.

Fig. 15. Two-port S-parameters of the cascaded via-hole circuit in Fig. 12(a), derived from its equivalent circuit model in Fig. 12(b) and direct simulation via HFSS.

1 to 4 GHz, it is apparent that the extracted L is mainly dependent on the inner radius d1 of the hole, while receiving almost no influence from varied d2 in the whole frequency band. Similarly, the simulated capacitance C is primarily dependent on the d2 , and keeps a relatively stable increment with respect to the varied d2 , as shown in Fig. 13(b). The inductance L decreases and the capacitance C increases as the frequency increases. Next, the two cascaded via-hole circuit in Fig. 14 is characterized by using the equivalent circuit model in Fig. 12(b) and direct simulation via HFSS. Both two sets of results are shown in Fig. 15 for comparison, and they are found in good agreement with each other over the whole frequency range. As a consequence, equivalent circuit model parameters of this via-hole discontinuity in Fig. 15 have been evidently validated, thereby evidently providing the verification on the effectiveness of the proposed FEM-SOC method again.

[1] J.-M. Jin, The Finite Element Method in Electromagnetics, 3rd ed. Hoboken, NJ, USA: Wiley, 2014. [2] Z. J. Cendes and J.-F. Lee, “The transfinite element method for modeling MMIC devices,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 12, pp. 1639–1649, Dec. 1988. [3] G. P. Junker, A. A. Kishk, and A. W. Glisson, “A novel delta gap source model for center fed cylindrical dipoles,” IEEE Trans. Antennas Propag., vol. 43, no. 5, pp. 537–540, May 1995. [4] L. C. Trintinalia, “Simple excitation model for coaxial driven monopole antennas,” IEEE Trans. Antennas Propag., vol. 58, no. 6, pp. 1907–1912, Jun. 2010. [5] R. Maaskant and M. Arts, “Reconsidering the voltage-gap source model used in moment methods,” IEEE Antennas Propag. Mag., vol. 52, no. 2, pp. 120–125, Apr. 2010. [6] Y. H. Lo, L. J. Jiang, and W. C. Chew, “Finite-width feed and load models,” IEEE Trans. Antennas Propag., vol. 61, no. 1, pp. 281–289, Jan. 2013. [7] G. Crupi and D. M. M.-P. Schreurs, Eds., Microwave De-Embedding: From Theory to Applications. Oxford, U.K.: Academic, 2013. [8] J. C. Rautio, “A de-embedding algorithm for electromagnetics,” Int. J. RF Microw. Comput.-Aided Eng., vol. 1, no. 3, pp. 282–287, Jul. 1991. [9] J. C. Rautio, “Deembedding the effect of a local ground plane in electromagnetic analysis,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 770–776, Feb. 2005. [10] J. C. Rautio and V. I. Okhmatovski, “Unification of double-delay and SOC electromagnetic deembedding,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 9, pp. 2892–2898, Sep. 2005. [11] L. Zhu and K. Wu, “Line-to-ring coupling circuit model and its parametric effects for optimized design of microstrip ring circuits and antennas,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, pp. 289–292. [12] L. Zhu and K. Wu, “Unified equivalent-circuit model of planar discontinuities suitable for field theory-based CAD and optimization of M(H)MIC’s,” IEEE Trans. Microw. Theory Techn., vol. 47, no. 9, pp. 1589–1602, Sep. 1999. [13] L. Zhu and K. Wu, “Revisiting characteristic impedance and its definition of microstrip line with a self-calibrated 3-D MoM scheme,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 87–89, Feb. 1998. [14] L. Zhu and K. Wu, “Network equivalence of port discontinuity related to source plane in a deterministic 3-D method of moments,” IEEE Microw. Guided Wave Lett., vol. 8, no. 3, pp. 130–132, Mar. 1998. [15] S. Sun and L. Zhu, “Short-open calibration technique for field theorybased parametric extraction of planar discontinuities with nonuniform feed lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 273–276. [16] S. Sun and L. Zhu, “Numerical deembedding technique for planar discontinuities with periodically nonuniform feed lines,” Int. J. RF Microw. Comput.-Aided Eng., vol. 18, no. 5, pp. 496–504, Sep. 2008. [17] C.-H. Wang, H. Wang, and C.-H. Chen, “An extended short-open calibration technique for multimode analysis of asymmetric coplanarwaveguide discontinuities,” in Proc. Asia–Pacific Microw. Conf., Dec. 2001, pp. 437–440. [18] V. I. Okhmatovski, J. Morsey, and A. C. Cangellaris, “On deembedding of port discontinuities in full-wave CAD models of multiport circuits,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 12, pp. 2355–2365, Dec. 2003.

1180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

[19] L. Zhu and K. Wu, “Field-extracted lumped-element models of coplanar stripline circuits and discontinuities for accurate radiofrequency design and optimization,” IEEE Trans. Microw. Theory Techn., vol. 50, no. 4, pp. 1207–1215, Apr. 2002. [20] S. Sun and L. Zhu, “Guided-wave characteristics of periodically nonuniform coupled microstrip lines-even and odd modes,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 4, pp. 1221–1227, Apr. 2005. [21] J. Gao and L. Zhu, “Characterization of infinite- and finite-extent coplanar waveguide metamaterials with varied left- and right-handed passbands,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 805–807, Nov. 2005. [22] X. Liu, L. Zhu, Q. Wu, and Y. Feng, “Highly-confined and low-loss spoof surface plasmon polaritons structure with periodic loading of trapezoidal grooves,” AIP Adv., vol. 5, no. 7, p. 077123, 2015. [23] Q.-S. Wu and L. Zhu, “Numerical de-embedding of effective wave impedances of substrate integrated waveguide with varied via-to-via spacings,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 1, pp. 1–3, Jan. 2016. [24] L. Zhu, Q.-S. Wu, and S.-W. Wong, “Numerical SOC/SOL calibration technique for de-embedding of periodic guided-wave structures,” in Proc. IEEE Int. Conf. Comp. Electromag. (ICCEM), Feb. 2016, pp. 325–327. [25] F. Fesharaki, T. Djerafi, M. Chaker, and K. Wu, “S-parameter deembedding algorithm and its application to substrate integrated waveguide lumped circuit model extraction,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 4, pp. 1179–1190, Apr. 2016. [26] X.-P. Chen and K. Wu, “Accurate and efficient design approach of substrate integrated waveguide filter using numerical TRL calibration technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1231–1234. [27] L. Li, K. Wu, and L. Zhu, “Numerical TRL calibration technique for parameter extraction of planar integrated discontinuities in a deterministic MoM algorithm,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 12, pp. 485–487, Dec. 2002. [28] F. Xu, K. Wu, and W. Hong, “Domain decomposition FDTD algorithm combined with numerical TL calibration technique and its application in parameter extraction of substrate integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 329–338, Jan. 2006. [29] L. Han, K. Wu, X.-P. Chen, and F. He, “Accurate analysis of finite periodic substrate integrated waveguide structures and its applications,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 864–867. [30] M. Farina and T. Rozzi, “A 3-D integral equation-based approach to the analysis of real-life MMICs application to microelectromechanical systems,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2235–2240, Dec. 2001. [31] A. E. Yilmaz, J. M. Jin, and E. Michielssen, “Analysis of low-frequency electromagnetic transients by an extended time-domain adaptive integral method,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 301–312, May 2007. [32] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ, USA: Wiley, 2005, ch. 3, pp. 144–145. [33] L. Zhu, “Guided-wave characteristics of periodic microstrip lines with inductive loading: Slow-wave and bandstop behaviors,” Microw. Opt. Technol. Lett., vol. 41, no. 2, pp. 77–79, Apr. 2004. [34] T. Wang, R. F. Harrington, and J. R. Mautz, “Quasi-static analysis of a microstrip via through a hole in a ground plane,” IEEE Trans. Microw. Theory Techn., vol. 36, no. 6, pp. 1008–1013, Jun. 1988.

Yin Li (S’15) received the B.S. degree in applied physics from the China University of Petroleum, Dongying, China, in 2009, and the M.Eng. degree in electromagnetic field and microwave technology from the University of Electronic Science and Technology of China, Chengdu, China, in 2012. He is currently pursuing the Ph.D. degree at the University of Macau, Macau, China. From 2013 to 2015, he was a Research Assistant with the University of Hong Kong, Hong Kong. His current research interests include computational electromagnetic and microwave circuits.

Lei Zhu (S’91–M’93–SM’00–F’12) received the B.Eng. and M.Eng. degrees in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1985 and 1988, respectively, and the Ph.D. degree in electronic engineering from the University of ElectroCommunications, Tokyo, Japan, in 1993. From 1993 to 1996, he was a Research Engineer with Matsushita-Kotobuki Electronics Industries Ltd., Tokyo. From 1996 to 2000, he was a Research Fellow with the École Polytechnique de Montréal, Montréal, QC, Canada. From 2000 to 2013, he was an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. In 2013, he joined the Faculty of Science and Technology, University of Macau, Macau, China, as a Full Professor, where he served as the Head of Department of Electrical and Computer Engineering from 2014 to 2017 and has been a Distinguished Professor since 2016. He has authored or co-authored more than 395 papers in international journals and conference proceedings. His papers have been cited more than 4860 times with the H-index of 38 (source: ISI Web of Science). His current research interests include microwave circuits, guidedwave periodic structures, planar antennas, and computational electromagnetic techniques. Dr. Zhu was a recipient of the 1997 Asia–Pacific Microwave Prize Award, the 1996 Silver Award of Excellent Invention from Matsushita-Kotobuki Electronics Industries Ltd., and the 1993 First-Order Achievement Award in Science and Technology from the National Education Committee, China. He was an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES (during 2010–2013) and the IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS (during 2006–2012). He served as a General Chair of the 2008 IEEE MTT-S International Microwave Workshop Series on the Art of Miniaturizing RF and Microwave Passive Components, Chengdu, China, and a Technical Program Committee Co-Chair of the 2009 Asia–Pacific Microwave Conference, Singapore. He served as a member of the IEEE MTT-S Fellow Evaluation Committee (during 2013–2015), and has been serving as a member of the IEEE AP-S Fellows Committee (during 2015–2017).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Modal Analysis and Propagation Characteristics of Leaky Waves on a 2-D Periodic Leaky-Wave Antenna Sohini Sengupta , David R. Jackson, Fellow, IEEE, and Stuart A. Long, Life Fellow, IEEE

Abstract— A 2-D periodic leaky-wave antenna is studied to examine the mechanism of beam formation from leaky-wave modes and the properties of the leaky-wave modes. The structure consists of a grounded dielectric slab with a periodic arrangement of narrow rectangular patches of metal on the upper surface of the dielectric, excited by a slot in the ground plane. The dimensions of the unit cell and the size of the patches determine the phase constant and the attenuation (leakage) constant of the leaky modes supported by the structure. The narrow beam that is formed is found to be due to an aperture distribution mainly consisting of the radiating space harmonics (Floquet waves) of two different leaky modes, one determining the E-plane behavior and one determining the H-plane behavior. The beamwidth is larger in the H-plane than in the E-plane due to the larger leakage constant of the H-plane mode. It is found that the two leaky modes also have different field distributions, in addition to having very different leakage constants. Index Terms— 2-D periodic leaky-wave antenna, 2-D periodic structure, dispersion behavior, floquet harmonics, grating lobes, leaky plasmon waves.

I. I NTRODUCTION

T

HE initial work in the area of 2-D leaky-wave antennas (LWAs) that are based on a partially reflecting surface (PRS) was done by Von Trentini [1], followed by Alexopoulos and Jackson [2], [3], Jackson and Oliner [4] and Jackson et al. [5]. A 2-D LWA using a periodic PRS was further explored using different kinds of elements [6], and the radiation properties of structures involving metal patch PRS elements were given in [7]. The PRS LWA topic has been studied extensively in recent years, including diverse contributions such as advances in the analysis of the structures [8], broadening the pattern bandwidth [9]–[14], reducing the height of the structure [15]–[17], array thinning [18], incorporation of metamaterials [19]–[21], designs for the mmwave region [22]–[26], and applications in the near-infrared regime [27]. A summary of some of the basic properties of 2-D

Manuscript received June 27, 2017; revised September 21, 2017 and November 1, 2017; accepted November 12, 2017. (Corresponding author: Sohini Sengupta.) S. Sengupta was with the Department of Electrical and Computer Engineering and the Department of Mathematics, University of Houston, Houston, TX 77204 USA. She is now with the Energous Corporation, San Jose, CA 95134 USA (e-mail: [email protected]). D. R. Jackson and S. A. Long are with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX 77204-4005 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2783373

PRS LWA structures is given in [28] and [29]. The 2-D PRS type of LWA uses a PRS to confine the fields inside a radiating parallel-plate type of region, where the radiating wave is an outward propagating cylindrical wave that is fast with respect to free space, and hence radiates. If the PRS is periodic, there will be an infinite number of space harmonics (Floquet waves), but the fundamental space harmonic is the radiating one, and hence the 2-D LWA structure with a periodic PRS operates as a quasi-uniform type of LWA structure. Much less attention has been devoted to 2-D periodic LWAs, though some important works on 2-D periodic LWA structures of various forms have been presented [30]–[32]. A 2-D periodic LWA is defined here as one that has a 2-D periodic arrangement of elements on a surface (such as a grounded dielectric slab), and is excited with a simple source (such as a magnetic dipole) at the center. Fig. 1 shows the specific structure investigated here. The source excites a slow wave (slow with respect to free space) that radially propagates outward from the source. This wave, by itself, does not radiate. However, due to the periodicity, an infinite number of space harmonics (Floquet waves) are produced, and the structure is designed so that some of the space harmonics are fast waves, and hence radiate. The main purpose of this work is to study and characterize the nature of 2-D periodic LWAs for the first time. This paper has been motivated by the plasmonic phenomenon of directive beaming of light through a subwavelength aperture that is observed in the optics regime. In this case, an optical plane wave of light is incident on a subwavelength aperture that is surrounded by periodic corrugations on the exit face of a thin sheet of a plasmonic metal such as silver [33]–[36]. The field emerges on the exit side as a highly directive narrow beam of light. It has been shown that this phenomenon is due to leaky-wave radiation from a surface plasmon wave supported by the metal film [37], [38]. Although the motivation for the 2-D periodic structure is the optical directive-beaming phenomenon, the structure studied here is a microwave one. Instead of having a plasmon wave on a silver film as the slow wave that is launched from a source, a grounded dielectric slab is used, which supports the fundamental TM0 surface wave; this is used as the cylindrically propagating slow wave. However, the physics of the radiation from the space harmonics is the same, and hence an examination of the microwave structure also reveals the fundamental radiation physics in the plasmonic structure.

0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

In addition, the microwave structure may prove to be a useful antenna structure in the high microwave or millimeter wave bands, for applications that require a narrow elliptical beam using a very simple structure, where the beam is much wider in the H -plane compared to the E-plane (by roughly a factor of 10 for the case studied here). Preliminary work on a 2-D periodic LWA structure has been presented briefly in the conference papers [39]–[41], and this paper is a more detailed treatment of the work presented in [41]. This paper goes beyond [41], in that it provides an in-depth discussion of the current distribution on the patches, the optimization of the structure for broadside radiation, the mechanism for beam formation, and an understanding of the leaky modes from the field distribution. In addition to that, it further elucidates the dispersion behavior, grating lobes and radiation efficiency of the 2-D periodic LWA, which [41] briefly touched upon. This paper mainly focuses on the propagation aspects of the leaky modes on this type of structure, rather than the antenna characteristics, which will be explored further elsewhere. Since this paper is inspired by the plasmonic structure at optical frequencies, the results presented here provide insight into the mechanism of operation of the similar plasmonic structure as well. II. D ISCUSSION OF BASIC P RINCIPLES OF O PERATION The structure is shown in Fig. 1 and consists of a grounded dielectric substrate of thickness h. On the upper surface of the substrate there is a periodic arrangement of perfectly conducting patches with a uniform spacing in the x-direction given by a, and in the y-direction given by b. The dimensions a and b define the length and width of the unit cell. The narrow patches have length L (dimension along the x-direction) and width W (dimension along the y-direction). The structure is excited by a slot in the ground plane oriented along the y-direction that is modeled here as a magnetic dipole in the y-direction. It has been found that when the structure is optimized for maximum radiation at broadside, it produces a narrow and very directive beam at broadside that is much narrower in the E-plane (xz plane) than in the H -plane (yz plane). The magnetic dipole source launches a TM0 surface wave that propagates radially outward on the structure. If there were no metal patches, there would only be a pure TM0 surface wave. However, due to the presence of the patches, radiation from space harmonics occurs, and this forms the beam. In the E-plane radiation comes from the (−1, 0) space harmonic, and in the H -plane radiation comes from the (0, −1) space harmonic. In between these two planes the situation is more complicated, and this will be discussed later. The TM0 surface wave that is launched by the magnetic dipole when the patches are absent has an angular dependence of cosφ. The field of the surface wave by itself, in the absence of the patches, would have a magnetic vector potential in the air region that varies as (2)

A z = cos φ H1 (βTM0 ρ)e−αz0 z

(1)

where αz0 is the vertical decay constant, related to the phase constant of the surface wave βTM0 in the usual way [42]. It is noted that most of the energy in the surface wave is concentrated near the E-plane (φ = 0) due to the cosφ term, and this explains why the patches near the x-axis are the most important for the beamforming. An asymptotic expansion of the fields of the surface wave shows that the surface-wave field decays as 1/ρ 1/2 in the E-plane and as 1/ρ 3/2 in the H -plane. (Even though the cosφ term in (1) goes to zero in the H -plane, the fields are not exactly zero at φ = π/2, but are higherorder in nature, and decay algebraically faster.) Because the E-plane dimension is the most important, the periodic spacing a is the most important parameter in optimizing the structure for maximum radiation at broadside. Roughly speaking, the optimum periodic spacing a should be somewhat close to that predicted by ignoring the perturbation of the patches on the wavenumber of the leaky mode (i.e., using the wavenumber of the surface wave), and then requiring that the (−1, 0) Floquet wave radiate at broadside, which yields β−1,0 ≈ βTM0 −

2π = 0. a

(2)

The optimum spacing a ensures a main beam at broadside with maximum radiated power density at broadside. The size of the patches correlates with the attenuation constant of the leaky mode and hence with the beamwidth. The smaller the patches are, the narrower is the main beam (for patches that are much smaller than resonant length). Further details on the leaky modes and their role in the beam optimization are given in Section VI. For all of the results presented in this paper, the frequency of operation is 12 GHz, the substrate has a relative permittivity of 9.8 and a thickness of 1.27 mm, and is lossy unless stated otherwise. The only exception to this is for the truncated structure in Section IV, where simulation results for the truncated structure designed to operate at 24 GHz for a different substrate board have been presented. The dipole is located on the ground plane (z = −h), centered between two patches at x 0 = −a/2, y0 = 0. The design is always optimized by varying the unit cell dimension a, while maintaining the other dimension at b = a/1.2 (a somewhat arbitrary choice), in order to get the maximum power density radiated at broadside. With this method of optimization, we are able to get a very directive beam (main beam) at broadside. III. A NALYSIS M ETHODS FOR THE 2-D P ERIODIC S TRUCTURE For the theoretical analysis of the structure, we assume that the 2-D periodic leaky-wave antenna structure is infinite. For practical antenna fabrication purposes, the infinite structure can be truncated at the point where the current on the patches diminishes to the extent that it is negligible. All of the theoretical analysis for this structure is done using the periodic spectral domain immittance (SDI) method. The currents on the patches are modeled using basis functions that are sinusoidal along the length of the patch (in the x-direction) and vary as a “Maxwell function” along the width of the patch (in the y direction). Therefore, the surface current

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SENGUPTA et al.: MODAL ANALYSIS AND PROPAGATION CHARACTERISTICS OF LEAKY WAVES

C. Leaky Mode Wavenumber for a Given Direction φ

density distribution on the patches is given by JsxP (x, y) =

N  n=1

an Bn (x, y) =

N 

an f n (x)g(y)

3

(3)

n=1

where an is the expansion coefficient of the nth order basis function Bn (x, y), and    L 1/π nπ x+ g(y) =  . (4) f n (x) = sin L 2 (W/2)2 − y 2 The functions fn (x) and g(y) give the variation of the basis function along x and y, respectively. The theoretical methods used for analyzing the 2-D periodic leaky-wave antenna are described briefly as follows. A. Reciprocity for the Far-Field Radiation Pattern To find the far-field radiation from the 2-D periodic leakywave antenna, reciprocity is used [7], [42]. This relates the far field of the structure produced by the magnetic dipole to the H y field at the dipole location inside the substrate due to a plane wave that is incident on the structure. The transverse equivalent network (TEN) [28], [43] transmission line model is used to model the layer of substrate with free space above and PEC ground below, to find the field inside the structure due to the plane wave itself. The periodic spectral domain method [7], [44] has been used to find the field inside the structure due to currents on the patches (which are periodic with a phase shift, due to the incident plane wave), with the current on the patches modeled as given in (3). The electric field integral equation (EFIE) [45], [46] is enforced on the central (0, 0) patch in the periodic problem to solve for the coefficients of the basis functions for the surface current density on the patches. B. Array Scanning Method to Find the Patch Currents The array scanning method (ASM) can be used for finding the near-field quantities such as the electric field or the current on an infinite periodic structure when it is excited by a single (nonperiodic) source [47], [48]. The ASM method can therefore be used to find the currents on the patches due to the magnetic dipole source in Fig. 1. In the ASM, the single magnetic dipole source is first replaced by an infinite phased array of identical magnetic dipole sources, having a set of arbitrary phasing wavenumbers (k x0 , k y0 ). Then, the current density on the patches in this periodic problem is calculated using the periodic spectral domain method. In this solution, the EFIE is enforced on the central (0, 0) patch to find the coefficients of the basis ∞ (x, y) functions, from which the surface current density, Jsx can be calculated for the structure with an infinite array of magnetic dipole sources. Finally, the surface current density on the patches for the single magnetic dipole, Jsx (x, y), is calculated as  π/b  π/a ab J ∞ (x, y, k x0 , k y0 )dk x0 dk y0. Jsx (x, y) = (2π)2 −π/b −π/a sx (5)

In the ASM described in Section III-B, the EFIE is enforced on the central patch. This leads to a system of linear equations to solve for the coefficients of the basis functions for the surface current. Setting the determinant of the coefficient matrix to zero yields a transcendental equation for the wavenumber of the propagating mode(s). The wavenumber kρLW of the fundamental (0,0) Floquet harmonic of the radially propagating leaky mode in the 2-D periodic LWA structure is a function of the azimuthal angle φ. The x- and y-components of the wavenumber kρLW are given by k x0 = kρLW (φ) cos φ k y0 = kρLW (φ) sin φ.

(6)

In solving for the wavenumber of the leaky wave, the value of the vertical wavenumber k z0 in the air region is given by 2 = k 2 −k 2 −k 2 for the ( p,q) Floquet mode/harmonic, where k z0 x y 0 the x- and y-components of the wavenumbers are k x = k x p = k x0 + 2π p/a and k y = k yq = k y0 +2πq/b, respectively. The choice of the square root

1/2 (7) k z0 = k02 − k x2 − k 2y is chosen so that the wave is “physical.” This means that it may sometimes be proper (exponentially decreasing vertically) or improper (exponentially increasing vertically), depending on the situation [49]. For any given Floquet wave, the wavenumbers are denoted as k x = β x − j αx k y = β y − j α y k t = k x xˆ + k y yˆ = β − j α

(8) (9)

where k x = k x p , k y = k yq . The following rules are then used to choose the proper/improper nature of each Floquet wave. 1) If β ·α = 0 (there is no attenuation, αx = α y = 0), then: a) if |β| < k0 (fast wave), βz > 0 b) if |β| > k0 (slow wave), αz > 0. 2) If β ·α > 0 (the wave is a forward wave in the transverse direction), then: a) if |β|< k 0 (fast wave), αz < 0 (improper) b) if |β| > k0 (slow wave), αz > 0 (proper). 3) If β · α < 0 (the wave is a backward wave in the transverse direction), then: a) if |β|< k 0 (fast wave), αz > 0 (proper) b) if |β| > k0 (slow wave), αz > 0 (proper). IV. R ADIATION PATTERN The radiation pattern is calculated numerically using the method described in Section III-A. A typical case is shown in Fig. 2 with the radiation patterns in the E-plane (φ = 0°), H -plane (φ = 90°), and the D-plane (φ = 45°). The substrate here is taken to be lossy, with a loss tangent of tanδ = 0.002. Here the length of the patches is L = 0.3 cm, the width is W = L/5, and the optimized dimensions of the unit cell are given by a = 2.2728 cm when b is chosen as b = a/1.2. (The choice of the b dimension is somewhat arbitrary.) For this case, the size of the patches is relatively small, which produces a more directive main beam with an enhancement factor

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 3. Radiation patterns in the H -plane, from reciprocity and the CAD formula at 12 GHz.

Fig. 1. Schematic of the 2-D periodic LWA, with the slot in the ground plane modeled as a magnetic dipole.

Fig. 4. Normalized radiation pattern (in dB) for the infinite structure at 24 GHz, calculated analytically using SDI and reciprocity.

Fig. 2. Radiation patterns in the E-plane, H -plane, and D-plane (φ = 45°) at 12 GHz.

of 110.89. The enhancement factor is defined as the magnitude of the far-field radiated at broadside from the structure divided by the magnitude of the far field at broadside from the same magnetic dipole source radiating in free space. The main beam at broadside is much narrower in the E-plane than in the H -plane. This is a fundamental characteristic of this type of antenna, and will be explained shortly in terms of the propagating leaky modes. The smaller the patches are, the narrower the main beam is, though it is always found that the beamwidth in the E-plane is roughly ten times smaller than the beamwidth in the H -plane. This is because smaller patches provide less disturbance to the propagating TM0 surface wave that propagates cylindrically outward from the dipole source, and hence the attenuation constants of the leaky modes are smaller when the patches are smaller (the leaky modes will be discussed later). Note that there are also narrow grating lobes present in the diagonal plane, which seems to be an unavoidable consequence of the 2-D periodic LWA structure. These grating lobes are not the usual grating lobes encountered in a phased array when the element spacing

is too large. More details about the origin of the grating lobes are given later. The E-plane pattern is determined by a leaky mode propagating in the E-plane direction, while the H -plane pattern is determined by a leaky mode propagating in the H -plane direction. This has been verified by plotting the E- and H -plane patterns obtained from a simple LWA CAD formula that is based on the wavenumber of a 1-D bidirectional leaky mode [50]. These patterns show excellent agreement with the exact patterns obtained by reciprocity in either plane, when the appropriate wavenumber for the relevant leaky mode is used in the CAD formula. A comparison for the H -plane is shown in Fig. 3. The agreement is seen to be good down to about −20 dB. The agreement for the E-plane pattern (omitted for brevity) is good down to about −30 dB. More discussion on the leaky modes is given in the following sections. A practical antenna has been designed at 24 GHz. The substrate height is 0.762 mm, the substrate relative permittivity is 4.5, and the substrate loss tangent is 0.002. The unit cell has dimensions a = 11.186 mm and b = a/1.2, and the patches have length L = 2.8 mm and width W = L/5. From reciprocity and SDI, we obtain the radiation pattern for the infinite structure as shown in Fig. 4. When a truncated structure [30.5 cm (12 in) along the x-axis, 22.9 cm (9 in) along the y-axis] is simulated in ANSYS Designer, we obtain the radiation pattern shown in Fig. 5. In ANSYS Designer, the source is realized by a loop of current close to the ground

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SENGUPTA et al.: MODAL ANALYSIS AND PROPAGATION CHARACTERISTICS OF LEAKY WAVES

5

Fig. 5. Radiation pattern (directivity in dB) for the truncated structure in Fig. 4, from simulation in ANSYS Designer at 24 GHz.

plane and the substrate and ground are still infinite, while the patch array is finite (truncated). The directivity as measured in ANSYS Designer is 21.2 dB at broadside. Comparing these two patterns shows that a practical antenna can be realized, and the analytical calculations for the infinite structure agree well with the full-wave simulation for the truncated structure. V. C URRENT D ISTRIBUTION ON THE PATCHES The current at the center of the patches in the 2-D periodic leaky-wave antenna is calculated using the ASM discussed in Section III-B. In this case, the design parameters for the 2-D periodic leaky-wave antenna are as follows. The length of each patch is L = 0.4 cm, the width is W = L/5, and the dimensions of the unit cell are given by a = 2.41758 cm and b = a/1.2. The loss tangent of the substrate in this case is tanδ = 0.002. The period a has been optimized for maximum power density radiated at broadside. A larger patch length has been chosen here compared to Fig. 2 in Section IV (0.4 cm instead of 0.3 cm) because the leakage constants in both the E- and H-planes are then larger, and this allows for an examination of the leaky modes using full-wave simulations without expending excessive computational resources. This is because smaller attenuation constants require a larger structure to be simulated in order to see the same dynamic range of currents. The normalized current magnitude from ASM is shown in Figs. 6 and 7 for the E-plane (along the x-axis) and H -plane (along the y-axis), respectively. The black solid curve gives the asymptotic distribution of the patch currents based on the exponential decay of the leaky mode in each plane and an appropriate algebraic decay. For the E-plane, the leakywave field distribution over the surface of the dielectric is e−α E x /x 1/2 and for the H -plane it is e−α H y /y 3/2 , where α E and α H are the attenuation constants of the leaky mode in the E-plane (along the x-axis) and the H -plane (along the yaxis), respectively. For this case, the attenuation constants in the E-plane and H -plane are α E = 0.0121034k0 and α H = 0.082244k0 respectively, where k0 is the wavenumber in free space at this frequency. The current distribution obtained from simulation using the commercial full-wave simulator ANSYS Designer is also shown in both planes for comparison. In ANSYS Designer a fairly large array of patches (100 along x,

Fig. 6. Current distribution from ASM and ANSYS Designer on the patches in the E-plane.

80 along y) is simulated, and the source is realized by a loop of current close to the ground plane that emulates a magnetic dipole. The currents in each plane are normalized by forcing the curves to agree at one particular patch, chosen to be patch 9 and 22 for the E- and H -planes, respectively. This normalization removes a scaling factor that is due to the modeling of the magnetic dipole in ANSYS Designer and also the fact that the currents in ANSYS Designer were sampled at points that were at a fixed offset from the patch center. Along the surface of the dielectric, the leaky mode interferes with the space wave, and therefore the current distribution on the patches displays an interference pattern. In the absence of interference with the space wave, the current distribution from ASM would closely match the asymptotic (solid black) curve in Figs. 6 and 7. The current distribution obtained from both ANSYS Designer and ASM shows a similar interference pattern with the same beat period. The agreement is fairly good down to a level of about −30 dB. The ANSYS Designer simulation uses a finite structure, and thus there are some edge reflections that are partially responsible for the disagreement with the results from ASM, which assume an infinite structure. Such effects are expected for finite structures [51]. VI. O PTIMIZING P OWER D ENSITY AT B ROADSIDE Owing to the spatial periodicity of the 2-D periodic LWA structure, an infinite number of Floquet (space) harmonics will be excited on the structure. The wavenumber of the fundamental Floquet harmonic, as well as that of the higherorder Floquet harmonics of the leaky mode for a given azimuthal angle of propagation φ with the x-axis is calculated using the method described in Section III-C. Except for the (−1, 0) Floquet harmonic near the E-plane and the (0, −1) Floquet harmonic near the H -plane, which are fast waves and therefore radiating, all of the other harmonics (including the fundamental one) are slow waves and do not radiate. In the E-plane (along the x-axis), the (−1, 0) Floquet harmonic of the leaky mode produces the radiation and is the dominant radiating wave, whereas for the H -plane (along the y-axis), the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 7. Current distribution from ASM and ANSYS Designer on the patches in the H -plane.

Fig. 8. Plot of normalized α and β along the x-axis, and the power density radiated at broadside, versus the period a.

(0, −1) Floquet harmonic of the leaky mode is the radiating wave. The normalized values of the phase constant β and attenuation constant α of the radiating waves [(−1, 0) for the E-plane and (0, −1) for the H -plane] are plotted along with the power density radiated in the far field at broadside with respect to a variation of the spacing between the patches a in the E-plane (along the x-axis) in Fig. 8, and the spacing between the patches b in the H -plane (along the y-axis) in Fig. 9. The attenuation constants and the phase constants are normalized by k0 . The following design parameters for the 2-D periodic leaky-wave antenna have been assumed: the substrate is lossless here, i.e., tanδ = 0, the length of each patch is L = 0.25 cm, the width is W = L/5, and the dimensions of the unit cell are given by a = 2.29705 cm and b = a/1.2. The period a has been optimized for maximum power density radiated at broadside. The values of a and b are then varied away from this optimum design. The substrate is chosen to be lossless here so that the attenuation constant of the leaky mode is only due to radiation and does not include material loss. This allows for the examination of the formation of a stopband, which theoretically only exists for a lossless structure. In Fig. 8, it can be observed that when the power radiated in the far field at broadside is maximum, the normalized phase

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Plot of normalized α and β along the y-axis, and the power density radiated at broadside, versus the period b.

constant and the normalized attenuation constant of the leaky mode propagating along the x-axis have the same magnitude. Also, a region of open stopband can be observed where the phase constant is very low and decreases to zero while the attenuation constant has a much higher value than usual and then drops exactly to zero. This is precisely the same behavior that has been observed in 1-D periodic LWAs [52], [53]. In Fig. 9, it can again be observed that when the power radiated in the far-field region at broadside is maximum, the normalized phase constant and the normalized attenuation constant of the leaky mode propagating along the y-axis also have the same magnitude. This is the same property as the leaky mode along the x-axis observed in Fig. 8; but unlike the leaky mode along the x-axis, no open stopband region is observed for the leaky mode along the y-axis. VII. D ISPERSION B EHAVIOR OF L EAKY M ODES The wavenumber of the leaky mode that produces the radiation in the 2-D periodic leaky-wave antenna varies with the radial angle of propagation φ. We take the following design parameters for the 2-D periodic leaky-wave antenna: the substrate is lossless, i.e., tanδ = 0, the length of each patch is L = 0.25 cm, the width is W = L/5, and the dimensions of the unit cell are given by a = 2.29705 cm and b = a/1.2. This design has been optimized for maximum power density radiated at broadside. Once again the substrate is chosen to be lossless here so that the attenuation constant of the leaky mode is only due to radiation and does not include material loss. The normalized (normalized by k0 ) phase constant of the fundamental (0, 0) harmonic of the leaky mode is shown in Fig. 10 with respect to the angle φ. Similarly, the normalized attenuation constant of the fundamental harmonic of the leaky mode is shown in Fig. 11 with respect to the angle φ. Figs. 10 and 11 give the dispersion diagram for the entire infinite 2-D periodic LWA. Figs. 10 and 11 also indicate whether the radiating space harmonic is a proper or improper wave (i.e., exponentially decaying or increasing in the vertical z-direction.) More discussion of the proper/improper nature of leaky waves may be found in [50].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SENGUPTA et al.: MODAL ANALYSIS AND PROPAGATION CHARACTERISTICS OF LEAKY WAVES

Fig. 10. Dispersion diagram showing the variation of the phase constant with respect to the angle of propagation φ.

Fig. 12.

Distribution of the E-field for the E-plane mode.

Fig. 11. Dispersion diagram showing the variation of the attenuation constant with respect to the angle of propagation φ.

Fig. 13.

Distribution of the E-field for the H -plane mode.

From Figs. 10 and 11, we see that there are actually three separate leaky modes that are found. The one that is dominant along the x-axis, i.e., in the E-plane, is termed the E-plane mode or Mode E. The one that is dominant along the y-axis, i.e., in the H -plane, is termed the H -plane mode or Mode H. Then there is the third mode, Mode 3 that does not produce any radiation. The E-plane leaky mode produces the beam in the E-plane and the H -plane leaky mode produces the beam in the H -plane. Near the x-axis (within four degrees of the axis), the E-plane mode has a radiating (−1, 0) harmonic that is proper (denoted with a dashed line), as it is a slightly backward wave in the optimized structure, with a negative phase constant. On the other hand, the H -plane mode near the y-axis has a (0, −1) harmonic that is an improper wave (denoted with a solid line), as it is slightly forward with a positive phase constant. VIII. F IELD D ISTRIBUTION OF THE M ODES In order to understand the nature of the E-plane mode and the H -plane mode, we look at the distribution of the

7

E-field for these two modes. The distribution of the tangential E-field (in a viewing window perpendicular to the direction of propagation) for the E-plane mode and the H -plane mode is shown in Figs. 12 and 13, respectively. The field distribution for the E-plane mode viewed along the x-axis is very similar to that of the TM0 surface-wave mode, and therefore it is clearly a perturbation of the TM0 surface-wave mode, as expected. The phase constant of the fundamental (0,0) harmonic of the E-plane mode is close to that of the TM0 surface-wave mode. In the H -plane, along the y-axis, the dominant component of the TM0 surface-wave mode has a null [see (1)]. The higher-order field components of the surface-wave mode have a vertical electric field that is an odd function of x about an axis parallel to the y-axis through the dipole. The distribution for the vertical component of the E-field of the H -plane mode along the y-axis resembles a TE20 rectangular waveguide mode, with boundaries at x = ±a/2. The phase constant and attenuation constant of the H -plane mode are different from the phase constant and attenuation

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

IX. G RATING L OBES

Fig. 14. Radiation pattern for φ = 50.53°, showing the main beam and the grating lobes. The grating lobe at θ = 38.09° that is predicted using a CAD formula is also shown.

Usually there are up to three grating lobes that can be observed in the radiation pattern off the principal planes. The origins of the narrow grating lobes that are observed in the radiation pattern in Fig. 2 can be explained and predicted using the fundamental principles of Floquet waves. We take the following design parameters for the 2-D periodic LWA: the substrate is lossless, i.e., tanδ = 0, the length of each patch is L = 0.25 cm, the width is W = L/5, and the dimensions of the unit cell are given by a = 2.29705 cm and b = a/1.2. This corresponds to the case used for the dispersion plots in Section VII. This period a has been optimized for maximum power density radiated at broadside. To illustrate, the E-plane leaky mode traveling radially along the angle φ00 = 50° is considered, and the (−1, −1) Floquet harmonic of the E-plane mode is considered, which has a wavenumber for the fundamental Floquet harmonic given as (in radians/m) LW = (1.0819− j 0.00004638)k0 = 272.11303− j 0.011664. k(0,0)

The phase constant vector of the (−1, −1) Floquet harmonic is at an angle in the xy pane of LW β(0,0) sin φ00 − 2π/b −1 = 50.53°. (10) φ = tan LW cos φ − 2π/a β(0,0) 00 The magnitude of the phase constant vector of the (−1, −1) Floquet harmonic is (in radians/m)

LW

β

(−1,−1)   2  2 LW cos φ − 2π LW sin φ − 2π = + β β(0,0) 00 00 (0,0) a b = 155.1632. (11)

Fig. 15. beam.

Parts of the structure producing the grating lobes and the main

constant of the E-plane mode due to the very different field distributions. The field of the H -plane mode is formed mainly by a single pair of Floquet waves, namely the (−1, −1) and (1, −1) waves. These two Floquet waves, when added together with opposite amplitudes, form a field that has PEC boundary conditions at the edges of the unit cell in the x-direction, at x = ±a/2, and also at x = 0. These two Floquet modes of the H -plane mode become quite strong with opposite amplitudes once the structure has been optimized to radiate at broadside by choosing the optimum value of the period a. The vertical electric field thus has the form sin(2π x/a) inside the unit cell, resembling a TE20 rectangular waveguide mode. Evidently, this pair of Floquet modes becomes strongly resonant when the beam is scanned to broadside, since this corresponds to a cutoff condition for the corresponding TE20 waveguide. At cutoff, the magnetic dipole would launch a pair of waves bouncing back and forth in the x-direction between the waveguides walls, similar to the resonant pair of Floquet waves.

The attenuation constant of the (−1, −1) Floquet harmonic is taken as the attenuation constant of the fundamental (0, 0) harmonic projected onto the direction of propagation of the (−1, −1) Floquet harmonic. The complex wavenumber of the (−1, −1) Floquet harmonic in the direction φ = 50.53° is therefore LW = 155.1632 − j 0.011664 cos(50° − 50.53°). k(−1,−1)

(12)

The angle of the grating lobe in the plane φ = 50.53° is then





/k0 = 0.6649 rad = 38.09°. (13) θg = sin−1 β LW (−1,−1) This prediction works well since the actual grating lobes are at roughly around θ = 38.09° and 15° in the overall radiation pattern for the antenna in this plane. A simple CAD formula for the radiation pattern based on the complex wavenumber [50] is also very successful in predicting the location of the grating lobes as well, as can be seen from the radiation pattern for φ = 50.53° shown in Fig. 14. It has been observed that there are up to three grating lobes in the radiation pattern of the 2-D periodic leaky-wave antenna, depending on the φ angle. The grating lobes are very narrow in θ but are much broader in φ (the azimuthal angle). Two of the grating lobes in the radiation pattern are due to the (−1, 0) and (−1, −1) space harmonics of the E-plane leaky

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SENGUPTA et al.: MODAL ANALYSIS AND PROPAGATION CHARACTERISTICS OF LEAKY WAVES

mode originating from the blue and purple shaded sectors (regions B and C) of the 2-D periodic LWA structure shown in Fig. 15. The third grating lobe is produced by the (0, −1) harmonic of the H -plane leaky mode originating from the part of the structure shaded in red (region D) in Fig. 15. These regions that produce the grating lobes as shown in the first and third quadrant of the structure in Fig. 15 are also mirrored in the second and fourth quadrants, but not shown for simplicity. The parts of the structure shaded in yellow in Fig. 15 produce the main beam from the (−1, 0) harmonics of the E-plane leaky mode near the x-axis (region A) and the (0, −1) harmonic of the H -plane leaky mode near the y-axis (region E). X. R ADIATION E FFICIENCY An approximate radiation efficiency for a leaky wave on a 1-D LWA (either uniform, quasi-uniform, or periodic) due to material loss can be calculated as αrad αrad er = = (14) αtotal αrad + αloss where αrad is the attenuation constant due to the radiation from the leaky wave and αloss is the attenuation constant due to the material loss. The attenuation term αloss is a sum of the dielectric loss (αd ) and the conductor loss (αc ). The conductor loss is a sum of the loss in the patches (α p ) and loss in the ground plane (αg ). This gives us the relation αloss = αd + α p + αg .

(15)

Equation (14) does not strictly apply to the 2-D periodic LWA that is studied here. For the 2-D periodic LWA there are two different leaky modes that play important roles in the radiation, and each one has a wavenumber that depends on the angle of propagation. However, the E-plane leaky mode is the dominant mode with the smallest attenuation constant. Therefore, an efficiency calculation that is based on this leaky mode is expected to give a worst case efficiency prediction. The attenuation constant of the surface wave is approximately equal to (αd + αg ). The attenuation constant due to radiation (αrad ) is calculated by making the dielectric and conductors completely lossless and calculating the attenuation constant of the leaky wave. The total attenuation constant αtotal (= αrad + αloss) is the attenuation constant of the leaky wave on a lossy structure. The loss in the patches α p can be calculated by modifying the determinantal equation for calculating the leaky-wave wavenumber (mentioned in Section III-C), to account for the loss due to the patches, by including a surface resistance Z s of the metal. Further details are omitted here. Results in Table I are shown for the following case for the E-plane leaky mode: the frequency is 12 GHz, the substrate has a relative permittivity of εr = 9.8, the substrate is lossy with a loss tangent tanδ = 0.002, and the thickness of the substrate is h = 1.27 mm. The length of each patch L is varied, and the width is W = L/5. The patches and ground plane are made of copper with a conductivity σ = 3×107 S/m. The design has been optimized for maximum power density radiated at broadside by adjusting the period a while keeping

9

TABLE I R ADIATION E FFICIENCY V ERSUS L ENGTH OF PATCHES

the width at b = a/1.2. The attenuation constant of the surface wave with a lossy copper ground plane is 0.00030942k0, where k0 is the wavenumber in free space. Table I gives the radiation efficiency for three different cases with different lengths of patches with the period a optimized to produce maximum power density at broadside. Table I also lists the attenuation constant of the E-plane leaky mode with and without considering the loss from the patches, and the attenuation constant due to the radiation from the E-plane leaky mode. From Table I, we see that the radiation efficiency increases as the length of the patches is increased. XI. C ONCLUSION A 2-D periodic leaky-wave antenna has been studied with attention to the behavior of the leaky modes and how they form the main beam and grating lobes in the pattern. This is the first time that an in-depth study of a 2-D periodic leaky-wave antenna has been presented. The structure examined consists of a periodic array of metal patches over a grounded dielectric slab, with the structure excited by a simple magnetic dipole in the ground plane in the middle of the structure. The dipole launches a radially propagating TM0 surface wave, which becomes leaky due to radiation from space harmonics (Floquet waves) of the propagating wave. This structure is motivated by a plasmonic directive-beaming structure, as the fundamental physics of beamforming is the same between the optical plasmonic structure and the microwave structure examined here. The structure presented may also find application as a simple type of antenna suitable for mm-wave applications that require elliptical-shaped beams. The investigation revealed that two leaky modes are responsible for the formation of the main beam, called here the E-plane mode and the H -plane mode. The E-plane mode is responsible for the E-plane pattern of the main beam via radiation from the (−1, 0) space harmonic (Floquet wave). The H -plane mode is responsible for the H -plane pattern via radiation from the (0, −1) space harmonic. The E-plane mode is a perturbation of the TM0 surface-wave mode, which gets perturbed by the patches. The H -plane mode is a different type of mode, having a field configuration that more closely resembles that of the TE20 rectangular waveguide mode along the y-axis. The attenuation constant of the H -plane leaky mode is much higher than that of the E-plane leaky mode, and consequently the beamwidth of the main beam is much narrower in the E-plane than in the H -plane, with a typical ratio of 10:1 for the beamwidths. Numerical calculations of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the currents on the patches have verified that the current distribution matches well with that predicted by the leaky modes, though interference is observed between the leaky modes and a space-wave type of field. This verifies the leakywave principle of operation for this structure. When the lossless 2-D periodic LWA structure is optimized to get maximum power density radiated at broadside, the condition |β| = α is fulfilled for the radiating harmonics of both the E-plane leaky mode and the H -plane leaky mode, consistent with 1-D leaky-wave antenna theory. Grating lobes are produced by the (−1, 0) and (−1, −1) space harmonics of the E-plane mode and the (0, −1) space harmonic of the H -plane mode. These grating lobes are evidently always present off of the principal planes in this type of structure, once the structure has been optimized for broadside radiation. The grating lobes are very narrow, however, and may not be a serious issue for truncated finite-size structures (this remains to be investigated). The radiation efficiency is found to increase as the size of patches is increased, since the leakage rate increases relative to the material attenuation. R EFERENCES [1] G. V. Trentini, “Partially reflecting sheet arrays,” IRE Trans. Antennas Propag., vol. 4, no. 4, pp. 666–671, Oct. 1956. [2] N. Alexopoulos and D. R. Jackson, “Fundamental superstrate (cover) effects on printed circuit antennas,” IEEE Trans. Antennas Propag., vol. AP-32, no. 8, pp. 807–816, Aug. 1984. [3] D. R. Jackson and N. G. Alexopoulos, “Gain enhancement methods for printed circuit antennas,” IEEE Trans. Antennas Propag., vol. AP-33, no. 9, pp. 976–987, Sep. 1985. [4] D. R. Jackson and A. A. Oliner, “A leaky-wave analysis of the highgain printed antenna configuration,” IEEE Trans. Antennas Propag., vol. AP-36, no. 7, pp. 905–910, Jul. 1988. [5] D. R. Jackson, A. A. Oliner, and A. Ip, “Leaky-wave propagation and radiation for a narrow-beam multiple-layer dielectric structure,” IEEE Trans. Antennas Propag., vol. 41, no. 3, pp. 344–348, Mar. 1993. [6] A. P. Feresidis and J. C. Vardaxoglou, “High gain planar antenna using optimised partially reflective surfaces,” Proc. Inst. Electr. Eng.–Microw., Antennas Propag., vol. 148, no. 6, pp. 345–350, Dec. 2001. [7] T. Zhao, D. R. Jackson, J. T. Williams, H.-Y. D. Yang, and A. A. Oliner, “2-D periodic leaky-wave antennas—Part I: Metal patch design,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3505–3514, Nov. 2005. [8] C. Mateo-Segura, M. García-Vigueras, G. Goussetis, A. P. Feresidis, and J. L. Gómez-Tornero, “A simple technique for the dispersion analysis of Fabry-Pérot cavity leaky-wave antennas,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 803–810, Feb. 2012. [9] L. Moustafa and B. Jecko, “EBG Structure with wide defect band for broadband cavity antenna applications,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 693–696, 2008. [10] C. Mateo-Segura, A. P. Feresidis, and G. Goussetis, “Broadband leakywave antennas with double-layer PRS: Analysis and design,” in Proc. Eur. Conf. Antennas Propag. (EuCAP), Apr. 2011. [11] Y.-F. Lu and Y.-C. Lin, “Design and implementation of broadband partially reflective surface antenna,” in Proc. IEEE Int. Symp. Antennas Propag., Spokane, WA, USA, Jul. 2011, pp. 2250–2253. [12] Y. Ge, K. P. Esselle, and T. S. Bird, “The use of simple thin partially reflective surfaces with positive reflection phase gradients to design wideband, low-profile EBG resonator antennas,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 743–750, Feb. 2012. [13] D. Kim, J. Ju, and J. Choi, “A mobile communication base station antenna using a genetic algorithm based Fabry-Pérot resonance optimization,” IEEE Trans. Antennas Propag., vol. 60, no. 2, pp. 1053–1058, Feb. 2012. [14] A. Hosseini, A. T. Almutawa, F. Capolino, and D. R. Jackson, “V-band Wideband Fabry-Pérot cavity antenna made of thick partially reflective surface,” in Proc. IEEE Int. Symp. Antennas Propag., Fajardo, Puerto Rico, Jun. 2016, pp. 349–350.

[15] A. P. Feresidis, G. Goussetis, S. Wang, and J. C. Vardaxoglou, “Artificial magnetic conductor surfaces and their application to low-profile high-gain planar antennas,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 209–215, Jan. 2005. [16] Y. Liu and X. Zhao, “High-gain ultrathin resonant cavity antenna,” Microw. Opt. Technol. Lett., vol. 53, no. 9, pp. 1945–1949, Sep. 2011. [17] L. Li, S. Lei, and C.-H. Liang, “Ultra-low profile high-gain Fabry-Pérot resonant antennas with fishnet superstrate,” J. Electromagn. Waves Appl., vol. 26, nos. 5–6, pp. 806–816, 2012. [18] R. Gardelli, M. Albani, and F. Capolino, “Array thinning by using antennas in a Fabry-Pérot cavity for gain enhancement,” IEEE Trans. Antennas Propag., vol. 54, no. 7, pp. 1979–1990, Jul. 2006. [19] G. Lovat, P. Burghignoli, F. Capolino, and D. R. Jackson, “Combinations of low/high permittivity and/or permeability substrates for highly directive planar metamaterial antennas,” IET Microw., Antennas Propag., vol. 1, no. 1, pp. 177–183, Feb. 2007. [20] J. R. Kelly and A. P. Feresidis, “Array antenna with increased element separation based on a Fabry-Pérot resonant cavity with AMC walls,” IEEE Trans. Antennas Propag., vol. 57, no. 3, pp. 682–687, Mar. 2009. [21] Y. Sun, Z. N. Chen, Y. Zhang, H. Chen, and T. S. P. See, “Subwavelength substrate-integrated Fabry-Pérot cavity antennas using artificial magnetic conductor,” IEEE Trans. Antennas Propag., vol. 60, no. 1, pp. 30–35, Jan. 2012. [22] S. A. Hosseini, F. Capolino, and F. De Flaviis, “A 44 GHz singlefeed Fabry-Pérot cavity antenna designed and fabricated on quartz,” in Proc. IEEE Int. Symp. Antennas Propag., Spokane, WA, USA, Jul. 2011, pp. 1285–1288. [23] S. A. Hosseini, F. de Flaviis, and F. Capolino, “A highly-efficient singlefeed planar Fabry-Pérot cavity antenna for 60 GHz technology,” in Proc. IEEE Int. Symp. Antennas Propag., Chicago, IL, USA, Jul. 2012, pp. 1–2. [24] S. A. Hosseini, F. Capolino, and F. de Flaviis, “Q-band single-layer planar Fabry-Pérot cavity antenna with single integrated-feed,” Prog. Electromagn. Res. C, vol. 52, pp. 135–144, 2014. [25] S. A. Hosseini, F. Capolino, and F. De Flaviis, “Gain enhancement of a V-band antenna using a Fabry-Pérot cavity with a self-sustained allmetal cap with FSS,” IEEE Trans. Antennas Propag., vol. 63, no. 3, pp. 909–921, Mar. 2015. [26] T. K. Nguyen and I. Park, “Design of a substrate-integrated Fabry-Pérot cavity antenna for K -band applications,” Int. J. Antennas Propag., pp. 1–12, 2015, doi: 10.1155/2015/373801. [27] M. Lorente-Crespo and C. Mateo-Segura, “Analysis of 2-D periodic leaky-wave nano-antennas in the NIR,” in Proc. IEEE Int. Symp. Antennas Propag., Jul. 2014, pp. 83–84. [28] T. Zhao, D. R. Jackson, J. T. Williams, and A. A. Oliner, “General formulas for 2-D leaky-wave antennas,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3525–3533, Nov. 2005. [29] D. R. Jackson et al., “The fundamental physics of directive beaming at microwave and optical frequencies and the role of leaky waves,” Proc. IEEE, vol. 99, no. 10, pp. 1780–1805, Oct. 2011. [30] P. Baccarelli, P. Burghignoli, F. Frezza, A. Galli, and P. Lampariello, “Novel modal properties and relevant scanning behaviors of phased arrays of microstrip leaky-wave antennas,” IEEE Trans. Antennas Propag., vol. 51, no. 12, pp. 3228–3238, Dec. 2003. [31] P. Baccarelli, S. Paulotto, and C. Di Nallo, “Full-wave analysis of bound and leaky modes propagating along 2D periodic printed structures with arbitrary metallisation in the unit cell,” IET Microw., Antennas Propag., vol. 1, no. 1, pp. 217–225, Feb. 2007. [32] S. K. Podilchak, S. F. Mahmoud, A. P. Freundorfer, and Y. M. M. Antar, “Perturbation analysis of planar periodic leaky-wave antennas fed by cylindrical surface-waves,” in Proc. URSI Gen. Assembly Sci. Symp., Aug. 2011, pp. 1–4. [33] H. J. Lezec et al., “Beaming light from a subwavelength aperture,” Science, vol. 297, no. 5582, pp. 820–822, Aug. 2002. [34] L. Martín-Moreno, F. J. García-Vidal, H. J. Lezec, A. Degiron, and T. W. Ebbesen, “Theory of highly directional emission from a single subwavelength aperture surrounded by surface corrugations,” Phys. Rev. Lett., vol. 90, no. 16, p. 167401, 2003. [35] F. J. García-Vidal, L. Martín-Moreno, H. J. Lezec, and T. W. Ebbesen, “Focusing light with a single subwavelength aperture flanked by surface corrugations,” Appl. Phys. Lett., vol. 83, pp. 4500–4502, Oct. 2003. [36] H. Caglayan, I. Bulu, and E. Ozbay, “Plasmonic structures with extraordinary transmission and highly directional beaming properties,” Microw. Opt. Technol. Lett., vol. 48, no. 12, pp. 2491–2496, 2006.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SENGUPTA et al.: MODAL ANALYSIS AND PROPAGATION CHARACTERISTICS OF LEAKY WAVES

[37] D. R. Jackson, A. A. Oliner, T. Zhao, and J. T. Williams, “Beaming of light at broadside through a subwavelength hole: Leaky wave model and open stopband effect,” Radio Sci., vol. 40, no. RS6S10, pp. 1–12, Sep. 2005. [38] D. R. Jackson, J. Chen, R. Qiang, F. Capolino, and A. A. Oliner, “The role of leaky plasmon waves in the directive beaming of light through a subwavelength aperture,” Opt. Exp., vol. 16, no. 26, pp. 21271–21281, Dec. 2008. [39] S. Sengupta, D. R. Jackson, and S. A. Long, “Properties of microwave and optical 2-D periodic leaky wave antennas,” in Proc. Texas Symp. Wireless Microw. Circuits Syst., Apr. 2015, pp. 1–4. [40] S. Sengupta, D. R. Jackson, and S. A. Long, “Examination of radiation from 2D periodic leaky-wave antennas,” in Proc. USNC-URSI Radio Sci. Meeting, Jul. 2014, p. 76. [41] S. Sengupta, D. R. Jackson, and S. A. Long, “Propagation characteristics of leaky waves on a 2D periodic leaky-wave antenna,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 413–415. [42] R. F. Harrington, Time-Harmonic Electromagnetic Fields. Hoboken, NJ, USA: Wiley, 2001. [43] L. B. Felsen and M. Marcuvitz, Radiation and Scattering of Waves. Englewood Cliffs, NJ, USA: Prentice-Hall, 1973. [44] T. Itoh and W. Menzel, “A full-wave analysis method for open microstrip structures,” IEEE Trans. Antennas Propag., vol. AP-29, no. 1, pp. 63–68, Jan. 1981. [45] T. K. Sarkar, “A note on the choice of weighting functions in the method of moments,” IEEE Trans. Antennas Propag., vol. AP-33, no. 4, pp. 436–441, Apr. 1985. [46] T. K. Sarkar, A. R. Djordjevic, and B. M. Kolundzija, “Method of moments applied to antennas,” in Handbook of Antennas in Wireless Communications. Boca Raton, FL, USA: CRC, 2001, ch. 8. [47] R. A. Sigelmann and A. Ishimaru, “Radiation from periodic structures excited by an aperiodic source,” IEEE Trans. Antennas Propag., vol. AP-13, no. 3, pp. 354–364, May 1965. [48] F. Capolino, D. R. Jackson, D. R. Wilton, and L. B. Felsen, “Comparison of methods for calculating the field excited by a dipole near a 2-D periodic material,” IEEE Trans. Antennas Propag., vol. 55, no. 6, pp. 1644–1655, Jun. 2007. [49] T. Zhao, “Analysis and design of 2-D periodic leaky wave antennas using metal patches or slots,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. Houston, Houston, TX, USA, Aug. 2003. [50] C. Caloz, D. R. Jackson, and T. Itoh, “Frontiers in antennas: Next generation design and engineering,” Leaky-Wave Antennas, F. B. Gross, Ed., 1st ed. New York, NY, USA: McGraw-Hill, 2011, ch. 9. [51] A. Foroozesh and L. Shafai, “2-D truncated periodic leaky-wave antennas with reactive impedance surface ground planes,” in Proc. IEEE Int. Symp. Antennas Propag., Jul. 2006, pp. 15–18. [52] P. Burghignoli, G. Lovat, and D. R. Jackson, “Analysis and optimization of leaky-wave radiation at broadside from a class of 1-D periodic structures,” IEEE Trans. Antennas Propag., vol. 54, no. 9, pp. 2593–2604, Sep. 2006. [53] S. Otto, A. Rennings, K. Solbach, and C. Caloz, “Transmission line modeling and asymptotic formulas for periodic leaky-wave antennas scanning through broadside,” IEEE Trans. Antennas Propag., vol. 59, no. 10, pp. 3695–3709, Oct. 2011.

Sohini Sengupta was born in Kolkata, India, in 1985. She received the bachelor’s degree in electronics and communication engineering from the Heritage Institute of Technology, Kolkata, in 2007, and the M.S. and Ph.D. degrees in electrical engineering from the University of Houston, Houston, TX, USA, in 2012 and 2016, respectively. From 2011 to 2016, she was a Teaching Assistant with the Department of Electrical and Computer Engineering, University of Houston, where she was a Post-Doctoral Fellow and a Lecturer from 2016 to 2017. She has been an Antenna Design Engineer with Energous Corporation, San Jose, CA, USA, since 2017. She has authored a journal paper on linear microstrip series-fed antenna arrays and several conference papers on different areas. Her current research interests include microstrip antennas, arrays, numerical methods, leaky-wave antennas, radar cross-sectional reduction techniques, and antenna miniaturization techniques.

11

David R. Jackson (F’99) was born in St. Louis, MO, USA, in 1957. He received the B.S.E.E. and M.S.E.E. degrees from the University of Missouri, Columbia, MO, USA, in 1979 and 1981, respectively, and the Ph.D. degree in electrical engineering from the University of California, Los Angeles, CA, USA, in 1985. From 1985 to 1991, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX, USA, where he was an Associate Professor from 1991 to 1998, and has been a Professor since 1998. His current research interests include microstrip antennas and circuits, leaky-wave antennas, leakage and radiation effects in microwave integrated circuits, periodic structures, and electromagnetic compatibility and interference. Dr. Jackson currently serves as the Chair of the U.S. National Committee of URSI (USNC-URSI), the International Union of Radio Science. He has been the Chair of the Distinguished Lecturer Committee of the IEEE Antennas and Propagation Society (AP-S), the Chair of the Transnational Committee of the IEEE AP-S, the Chair of the Chapter Activities Committee of the AP-S, a Distinguished Lecturer for the IEEE AP-S, a Member of the AdCom for the AP-S, and an Associate Editor of the IEEE T RANSAC TIONS ON A NTENNAS AND P ROPAGATION . He served as the Chair of the MTT-15 (Microwave Field Theory) Technical Committee, the Chair and the Secretary of Commission B of USNC-URSI, and also as an Associate Editor for the Journal Radio Science and the International Journal of RF and Microwave Computer-Aided Engineering. He is on the Education Committee of the AP-S and on the MTT-15 (Microwave Field Theory) Technical Committee of the IEEE Microwave Theory and Techniques Society.

Stuart A. Long (LF’11) was born in Philadelphia, PA, USA, in 1945. He received the B.A. (magna cum laude) and M.E.E. degrees in electrical engineering from Rice University, Houston, TX, USA, in 1967 and 1968, respectively, and the Ph.D. degree in applied physics from Harvard University, Cambridge, MA, USA, in 1974. He was a faculty member with the Department of Electrical and Computer Engineering, University of Houston, for the past 44 years. At the University of Houston, he is currently an Associate Dean of Undergraduate Research and the Honors College. He was the Chair of the Department of Electrical and Computer Engineering from 1981 to 1995 and the Interim Dean of the Honors College from 2008 to 2009. His current research interests include the broad area of applied electromagnetics and more specifically in microstrip and dielectric resonator antennas. Dr. Long is a member of Phi Beta Kappa, Tau Beta Pi, Sigma Xi, and Commission B of URSI. He was elected to membership in the Electromagnetics Academy in 1990. He was a recipient of the IEEE Millennium Medal in 2000, the IEEE Antennas and Propagation Society Outstanding Service Award in 2007, and the IEEE Antennas and Propagation Society (IEEE AP-S) John Kraus Antenna Award in 2014. He was the first recipient of the University of Houston Career Teaching Excellence Award in 2009. In 2010, he received the Esther Farfel Award and the Highest Faculty Award from the University of Houston. He served as an IEEE AP-S Distinguished Lecturer from 1992 to 1994. He was an Elected President of the IEEE AP-S in 1996. He was the TAB Magazines Chair and a member of the Periodicals Review Committee from 1997 to 1999, and a Member-at-Large of the IEEE Publications Activities Board from 1998 to 2003. He served on the IEEE Technical Activities Board, the Spectrum Editorial Board from 2002 to 2005, the Interim Vice Chancellor and Vice President for Research and Technology Transfer, from 2010 to 2011. He was elected to serve on the Board of Directors of the IEEE for 2005 to 2006, as a Director of Division IV.

1192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

A Scalable Multiharmonic Surface-Potential Model of AlGaN/GaN HEMTs Qingzhi Wu, Yuehang Xu , Senior Member, IEEE, Yongbo Chen, Yan Wang, Wenli Fu, Bo Yan, and Ruimin Xu, Member, IEEE Abstract— An accurate physical model for GaN high-electronmobility-transistors (HEMTs) device is imperative and crucial for circuit design and technology optimization. In this paper, a scalable large-signal surface-potential (SP) model of AlGaN/GaN HEMTs is presented. The drain current model is capable of accurately modeling the self-heating effect and trapping effect. The self-heating effect is modeled by embedding temperature increment into free-carrier mobility model, and the trapping effect is modeled by introducing an indirect variable effective gate voltage Vgseff . Moreover, the scaling and multiharmonic characteristics of the SP model are studied for the first time. The geometry-dependent thermal resistance Rths is identified by the electrothermal finite-element method simulations, which is scalable with the gate width W and power dissipations Pdiss of the device. Single-tone on-wafer load–pull measurements at operating frequency 8 GHz is carried out for verification purpose. Accurate predictions of the static (dc) I−V , pulsed-gate-and-drain I − V , S-parameters up to 40 GHz and large-signal harmonic performance (the fundamental, second- and third-harmonics output power, and power-added efficiency) for the devices with different gate peripheries have been achieved by the proposed model. The results of this paper can pave the way for the full application of the physical-based model in circuits design. Index Terms— AlGaN/GaN high-electron-mobility transistors (HEMT), scalable, self-heating, surface potential (SP), trapping effect.

I. I NTRODUCTION

T

HE outstanding intrinsic properties of GaN material such as high-electron mobility, high sheet carrier density in excess of 1013 cm−2 , and high breakdown field, make it a promising candidate to replace silicon and silicon carbide in power devices [1], [2]. Therefore, based on the excellent material properties of GaN, the AlGaN/GaN high-electronmobility transistors (HEMTs) with remarkable outstanding

Manuscript received July 5, 2017; revised October 17, 2017; accepted November 5, 2017. Date of publication December 7, 2017; date of current version March 5, 2018. This work was supported in part by the National Natural Science Foundation of China under Grant 61474020, in part by the China Postdoctoral Science Foundation under Grant 2016T90844, and in part by the National Key Project of Science and Technology. (Corresponding author: Yuehang Xu.) Q. Wu, Y. Xu, B. Yan, and R. Xu are with the EHF Key Laboratory of Fundamental Science, University of Electronic Science and Technology of China, Chengdu 611731, China (e-mail: [email protected]). Y. Chen is with the Chengdu Hiwafer Co. Ltd., Chengdu 611731, China. Y. Wang is with the Institute of Microelectronics, Tsinghua University, Beijing 100084, China. W. Fu is with the National Key Laboratory of Science and Technology on Space Microwave, China Academy of Space Technology, Xi’an 710100, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2775639

performance in high-power and high-frequency applications in solid-state power microwave and millimeter-wave devices have been widely researched [3]–[6]. However, the existence of self-heating effect and charge-trapping effect limits the wide application of GaN devices and increases the difficulty of modeling [7]. Moreover, it is essential to ensure the effectiveness of physical model in different gate peripheries such as the gate width W . Therefore, an accurate compact physical model with scalability and physical describing ability is urgently demanded. In recent years, AlGaN/GaN HEMTs models based on the surface-potential (SP) theory have been widely attracted attention [8]–[12]. The self-heating effect is taken into the model mainly through the methods such as embedding the temperature term directly into SP calculation process [10], taking the temperature increment into carrier mobility expression [11], and incorporating both T1 (caused by power dissipation) and T2 (caused by heat diffusion from channel through substrate and backside) into temperature modeling to update drain current expression [12], which has shown to be accurate. However, scalable characteristic for different gate geometrical parameters into the SP model has been reported yet, which is essential for establishing an integrated and accurate physical model with exact physical interpretation. Furthermore, to acquire excellent performance of power amplifier in circuit design, the large-signal model is expected to accurately predict high-order harmonic productions under different impedance terminations. Previously, the drain current and gate capacitance models based on SP theory have been developed [13] and characterization method of self-heating and trapping effects is described based on the proposed model [14], which is the foundation for the subsequent development of scalable multiharmonic largesignal model. Therefore, in this paper, a scalable multiharmonic SP model is developed which integrates self-heating and charge-trapping effects through temperature-dependent carrier mobility model and effective gate–source voltage, respectively. Moreover, to obtain accurate model scalable ability, the nonlinear thermal resistance is modeled as the function of the geometrical parameters such as gate width W and the power dissipation Pdiss by using finite-element method (FEM) simulation method (ANSYS v.15.0), which is more convenient than the pulsed I−V measurement method [15]. This paper is organized as follows. In Section II, an improved Ids model including self-heating and charge-trapping effect suitable for different gate peripheries is described in detail. The specific extraction process for geometrical para-

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

WU et al.: SCALABLE MULTIHARMONIC SP MODEL

1193

TABLE I E XTRACTED PARASITIC PARAMETERS FOR 4 × 100 μm A L G A N/G A N HEMT

Fig. 1. Schematic cross-sectional structure of a general Alx Ga1−x N/GaN HEMT and related conduction band diagram for zero gate bias depicting the 2-DEG formation, with gate length L, gate width W , and Fermi potential E f .

Fig. 2. Large-signal model topology for AlGaN/GaN HEMTs. The red-box parts represent SP-based drain current and gate capacitors models.

meters dependent thermal resistance Rths based on the FEM is explained, specifically to obtain channel temperature increment T . The trapping effect model is described briefly. Then the dc-I −V and pulsed I −V characteristics are discussed. In Section III, for verification, the comparisons between modeled and measured results including small-signal S-parameters, the fundamental, second- and third-harmonics output power, power-added efficiency, and gain are demonstrated. Finally, Section IV is the conclusion. II. M ODEL D ESCRIPTION The cross-sectional conceptual view of the Alx Ga1−x N/GaN HEMT device considered in this paper is shown in Fig. 1. SP φs is defined as the potential of the bottom of the conduction band at the AlGaN/GaN interface relative to ground. In the vicinity of interface in GaN layer, a potential well is formed because of the bandgap difference between AlGaN and GaN layers. Then sheet electron charges are confined in the potential well to form 2-DEG due to the spontaneous and piezoelectric polarization induced by lattice mismatch between AlGaN and GaN. Fig. 2 shows the topology of the proposed scalable largesignal model. The most important intrinsic elements mainly include the bias dependent gate–source capacitor Cgs , gate– drain capacitor Cgd , and nonlinear drain–source current Ids which are developed based on the analytic SP solution. The linear extrinsic elements consist of the parasitic resistances,

Fig. 3. Modeling flowchart for the scalable large-signal model of AlGaN/GaN HEMTs.

inductances, and capacitances which follow the linear scaling principle [16]. The parasitic elements are first extracted through cold FET [17], [18] S-parameters by using the extraction method in [19] and numerical optimization from 0.1 to 40 GHz. A GaN HEMT with gate length 0.25 μm and gate width 4×100 μm is first used in this paper. The extracted parasitic parameters are shown in Table I. The most important part in the modeling process is the establishment of nonlinear drain current and gate capacitances based on the SP. Fig. 3 demonstrates the complete modeling flowchart with analytic fermi level E f solution as the first step. Then the nonlinear drain current with charge-trapping effect and gate capacitances is obtained based on the SP. The self-heating effect is described through taking channel temperature increment T into temperature-dependent carrier mobility model. The geometry-dependent thermal resistance Rths is identified by the electrothermal FEM simulations. Following the large-signal model through embedding core models into the ADS symbolically defined devices (SDD), the final complete scalable large-signal model is developed by using suitable scaling rules. A. Modeling of Drain Current Based on SP The Ids model plays an important role in the modeling process which greatly affects the stability and output

1194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

performance of the device. The sheet-charge approximation is considered to identify the diffusion and drift currents. The drain current is equal to the sum of above two kinds of currents with the current density expressed as Jn = Jdrift + Jdiff = qn s μeo E n + q Dn ∇n s

TABLE II PARAMETER VALUES U SED IN THE M ODEL

(1)

where E n is channel electric field along with the x-direction indicated as E n = −∇φs . μeo is effective carrier mobility. Dn is diffusion coefficient denoted by Einstein relation, reflecting the difficulty degree of carrier movement when existing concentration gradient. Under the assumption of the gradual channel approximation, the drain current in our previous work can be written as [13] Ids =

μe (T )c0 W (v gt + v T − φsm )(φsd − φss ) δ L × (1 + λVds + λb · ebk (Vdg −Vbr ) )

Vth = ϕ B (x Al) − E C (x Al ) −

(2)

q N D dd2 qσ (x Al) − (dd + di ) 2ε(x Al ) ε(x Al ) (3)

where W and L are gate width and gate length. c0 = (x Al )/d with (x Al ) being dielectric constant and d being thickness of Alx Ga1−x N layer and Al mole content x Al . v gt = Mtr × Vgs − Vth with gate–source voltage Vgs and transconductance modulation factor Mtr . Vth is the threshold voltage expressed as (3) with schottky barrier height ϕ B , doping concentration of n-AlGaN layer ND , polarization-induced charge density at the interface σ , and the conduction band offset at the AlGaN/GaN interface E C . v T = kT/q is the thermal voltage. φsm = (φss + φsd )/2 is the average SP with φss and φsd calculated as the SP at source and drain sides, respectively. The saturation of carrier velocity because of a decrease in mobility when the lateral electric field increases is denoted by δ = 1/[1 + 2 − φ 2 )]−1/2 . ρ = μ /ν , ν is the saturation velocity. ρ 2 (φsd eo s s ss λ is the channel length modulation effect parameter. And the term λb ×ebk (Vdg −Vbr ) describes the breakdown characteristic of device. With the increasing power dissipation caused by higher transistor operating voltage and current, the self-heating effect is exacerbated strongly in GaN HEMT. Therefore, accurate characterization of self-heating effect is critical to establishing explicit current model. Here, the self-heating effect is modeled by embedding the temperature increment T caused by dissipated power into the carrier mobility model [11], expressed as    1 1 − (4) μe (T ) ≈ μeo 1 + PT T T0 where T = T + T0 (T0 = 300 K) with temperature increment T , the function of Pdiss described as T = Rth × Pdiss and Pdiss = Ids ×Vds accounts for the static and quasi-static intrinsic power dissipation. Rth is the thermal resistance of the device which is obtained through the 3-D finite-element simulation in ANSYS software by building the structural model. PT is set as 5.3 × 102 K for a good approximation.

The μeo is the effective carrier mobility expressed as   μeo = μl / 1 + m 1 E V + m 2 E V2

(5)

which is dependent on the charge density in the channel relevant to the vertical field in GaN layer. μl is the low-field mobility, m 1 and m 2 are fitting parameters to be extracted from experimental data to model the vertical field dependence of carrier mobility. E V is the effective vertical electric field indicated as E V = ε(v gt − φsm )/dεGaN . After updating the improved carrier mobility model μe (T ), the new drain current expression is   PT Ids Vds Rth (6) Ids = Ids0 1 − TT0 where Ids0 is the calculated drain current without selfheating effect through μeo . The equation is developed as a quadratic variable with Ids as the independent variable. Therefore, the final drain current expression including selfheating effect is √ −b  ± b2 − 4a  c Ids = (7) 2a  where a  = Vds Rth , b = T0 + (PT /T0 )Ids0 Vds Rth − Ids0 Vds Rth , c = −T0 Ids0 . The definitions and values of model parameters for 4 × 100 μm reference device are given in Table II. B. Charge/Capacitance Models The assigning principle of channel charge presented by Ward [20] is followed to obtain the gate charge Q g written

WU et al.: SCALABLE MULTIHARMONIC SP MODEL

as:

 Qg = −

L

1195

q W n s (Vgs , Vch )d x

0

 =−

L

W c0 [v gt − φs (x)]d x  2  WLc0 v gt − v gt (φss + φsd − v T ) − v T φsm =− (8) 2 + φ2 + φ φ ) +(1/3)(φss θ ss sd sd 0

where θ = v gt − φsm + v T . The drain charge Q d is obtained by integrating x from source to drain. We obtain Q d = −(W Lc0 /120θ 2 )   3 + 8φ 3 + A φ 2 + A φ 2 12φsd sd sd ss ss ss × +Bsd φsd + Bss φss + C1 φsd φss + C2

(9)

Fig. 4. Thermal conduction in AlGaN/GaN HEMT device caused by selfheating effect.

where Asd = 24φss − 15(3 v T + 4 v gt ), Ass = 16φsd − 5(5v T + 8 v gt ), Bsd = 20(v gt + v T )(5 v gt + 2v T ), Bss = 20(v gt + v T )(4v gt + 2v T ), C1 = −10(5v T + 8v gt ), and C2 = −60v gt (v gt + v T )2 . Then the source charge Q s = −Q g − Q d can be obtained according to the charge conservation. Therefore the capacitance is obtained as Ci j = ki j ( Q i / V j ), ki j = 1(−1) when i = j (i = j ), where i and j represent the drain, gate, and source terminals.

e

e

C. Model Scalability Characterization The characterization of scalability is an indispensable step in the development process of a compact model. Up to now, scalable models of multiple fingers or multiple cells devices have been widely investigated [21]–[23]. By performing the full-wave electromagnetic analysis, the accurate parasitic effects are obtained of the actual device layout (manifold, air bridge, and via holes) [24], [25]. The simple linear scaling rules are employed for extrinsic equivalent circuit elements of different geometries, which show good accuracy. However, for the intrinsic drain current model, the linear scaling rule could be inapplicable due to the self-heating effect is significant for the AlGaN/GaN HEMTs device and the thermal resistances of the device demonstrate to be geometry dependent. Compared with the traditional empirical model, the SP model itself has a scaling capacity for the gate peripheries, expressed as the W /L term in drain current expression. In order to accurately characterize the self-heating effect at different gate geometries, the thermal resistance is expressed as a function of the gate peripheries by establishing a structural model. Due to the convenience for extract thermal parameters, the electrothermal FEM simulation is widely used. Moreover, compared with the 2-D simulation, the 3-D structural model has better accuracy because the 3-D effects are fully considered [26]. In addition, the simulations are very time-saving and suitable for different-in-size devices to determine the thermal parameters. Therefore, in this paper, the 3-D simulation process in ANSYS (v.15.0) software is carried out to extract the geometry-dependent thermal resistance. The developed 3-D thermal simulation for AlGaN/GaN with four-gate fingers is structured as this: separations of the gate–source and gate– drain are 1 and 2 μm, respectively. The each gate finger width Wg is 100 μm and the gate length is 0.25 μm. In order to simplify the FEM simulation, only the main layers including barrier layer, buffer layer, and substrate are investigated, since

Fig. 5. Simulation results of Rth for different AlGaN/GaN HEMTs. (a) Rth versus the power dissipations Pdiss with multiple gate width. (b) Rth versus gate width W under various dissipated power.

other layers (e.g., space layer) are considered too thin to affect thermal conduction within the device. The thickness of SiC substrate, GaN buffer layer, and AlGaN barrier layer is set as 100 μm, 1.5 μm, and 20 nm, respectively. After setting the basic structural parameters, the steadystate analysis results of heat distribution due to self-heating are shown in Fig. 4. It is obvious that power dissipation in the device causes the self-heating effect at the channel of the device and thermal profile can be approximated as elliptical shape which is consistent with the actual situation. Therefore, the relationship between Rth and dissipated power Pdiss is obtained as Fig. 5(a), It shows that the Rth increases with the Pdiss , which can be attributed to the nonlinear thermal conductivity of the device with respect to the temperature [27]. In order to obtain the scalability of the Rth with device periphery, different unit gate finger width Wg and number Ng have been involved to be investigated. Here, we define Wsc and Nsc as the scaling factor for the unit gate width Wg and number Ng , expressed as Wsc = Wg /Wgre

(10)

Nsc = Ng /Ngre Wg = W/Ng

(11) (12)

where Wgre and Ngre is the unit gate width and gate finger number of the reference device and we select Wgre = 100 μm and Ngre = 4 as a reference. W is the total gate width of device. The gate geometry of devices studied in this paper is 4 × 50, 4 × 100, and 6 × 100 μm. Fig. 5(b) shows the Rth versus W under the multiple Pdiss . It can be seen that with the increase of W , Rth exhibits a decreasing trend and finally achieves stability, which is consistent with the heat transfer mechanism [23]. Then, the scalable

1196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 6. Measured (circles) and simulated (lines) I−V characteristics for 4 × 100 μm AlGaN/GaN HEMT (a) without and (b) with SHE for Vds = 0 to 35 V with step 1 V and Vgs = −4 to 0 V, 0.2-V step from bottom to up.

thermal resistance Rths versus Wsc and Nsc in conjunction with the Pdiss is modeled as the expression Rth (Pdiss ) ∗ F(Wsc ) NSC 3 i Rth (Pdiss ) = kpi Pdiss , i = 0, 1, 2, 3 Rths =

F(Wsc ) =

i=0 3

λwj Wscj ,

j = 0, 1, 2, 3.

(13) (14)

Fig. 7. Measured (circles) and simulated (lines) dc–I−V for the differentin-size AlGaN/GaN HEMTs devices. (a) 4 × 50 μm. (b) 4 × 100 μm. (c) 6 × 100 μm.

(15)

j =0

The Rths denotes the thermal resistance after scaling with the device geometries. Rth is modeled as a function of Pdiss and F(Wsc ) is the function of scaling factor Wsc . kpi and λwj are fitting parameters. The effectiveness of the temperature-dependent carrier mobility model accounting for the self-heating effect is illustrated in Fig. 6. The developed current–voltage model with self-heating effect are shown in Fig. 6(b) with taking pulsedgate-and-drain I−V (PIV) measurement Fig. 6(a) at Vgsq = 0 V and Vdsq = 0 V as reference due to the self-heating and trapping effects are negligible in this case. A significant selfheating effect can be observed in high-current regimes on account of the electronic mobility and saturation velocity dependence on temperature in the channel. Although the modeling method with take temperature increment into mobility is not so comprehensive, possessing the advantage of fewer parameter and easy to implement. The excellent agreement between modeled and measured experimental results confirms the effectiveness of the model to predict AlGaN/GaN power HEMT dc behavior. Fig. 7 shows the measured and simulated dc-I−V behavior of the device with different gate width. Three devices are all biased at Vgs = −4 to 0 V, with 0.2-V steps from bottom to top, Vds = 0 to 35 V, with 1-V step. It is clearly seen that the scaled model can give a perfect prediction of the Ids for different size devices, and the significant self-heating effect is also accurately characterized by the improved nonlinear thermal resistances Rths . D. Modeling of Charge-Trapping Effect The charge-trapping effects owing to imperfections in fabrication process will lead to the gate-lag and drain-lag phenomena. At present, two approaches are generally performed

to characterize the traps-induced dispersion effect: analytical and empirically equivalent circuit methods [28], [29]. In this section, the effect of surface and substrate charge traps is characterized by pulsed I −V measurements from different static bias points using the Auriga/Au4850 pulsed dynamic I−V test systems with a pulsewidth (350 ns) and low duty cycle (0.1%). Thus self-heating effect can be avoided and the surface traps and substrate traps can be separated. The dynamic charge-trapping effect is ignored approximately [30]. The effect of charge traps on Ids can be seen as the impact on gate–source bias Vgs indirectly. The analytic expression of effective gate–source voltage is expressed as Vgseff = Vgs + ksurf(Vgsq − Vgspinchoff)(Vgs − Vgspinchoff) (16) + ksubs(Vdsq + Vdssub0)(Vds − Vdsq) where Vgsq and Vdsq are gate quiescent bias and drain quiescent bias, respectively. Coefficients ksurf and Vgspinchoff describe the surface-trapping effect caused by Vgs and Vgsq with respect to the pinch-off voltage. Coefficients ksubs and Vdssub0 are used to characterize substrate trapping as a function of the instantaneous Vds and Vdsq . The surface-trapping effect can be captured by setting the dynamic I −V characteristics at the static point of Vdsq = 0 V and the varied static gate–source bias. It follows the assumption that the surface-trapping effect is mainly function of the gate–source bias voltage due to it will reduce the effectiveness of the applied Vgs . In a similar manner, the backgate voltage caused by substrate trapping effect [31] can be characterized by measuring the pulsed I−V at different bias points of drain–source voltages but with constant gate–source voltage. Fig. 8 shows the measured and simulated pulsed I −V behavior at different bias to capture the charge-trapping effect. The pulsed I−V measurement biased at Vgsq /Vdsq = 0/0 V

WU et al.: SCALABLE MULTIHARMONIC SP MODEL

1197

Fig. 8. (a) Measured (circles) and simulated (lines) pulsed I−V characteristics at biases (a) Vgsq = 0 V and Vdsq = 0 V, (b) Vgsq = −4 V and Vdsq = 0 V, (c) Vgsq = −4 V and Vdsq = 35 V, and (d) Vgsq = −2.5 V and Vdsq = 28 V for Vgs = −4 to 0 V, 0.2-V steps and Vds = 0 to 35 V, 1-V step.

in Fig. 8(a), which has negligible trapping and self-heating effects, is considered as a reference. The comparison of Ids characteristics at different quiescent points with Vgsq = 0 V and Vdsq = 0 V [Fig. 8(a)] and Vgsq = −4 V and Vdsq = 0 V [Fig. 8(b)] can illustrate the reduction of effectiveness of Vgs caused by the surface traps. It is manifest that the value of Ids in Fig. 8(b) is smaller than Fig. 8(a). On the other hand, the influence of back-gate voltage as a result of substrate trapping is illustrated by the difference between PIV behavior at Vgsq = −4 V and Vdsq = 0 V [Fig. 8(b)] and Vgsq = −4 V and Vdsq = 35 V [Fig. 8(c)]. The collective influence of surface and substrate effects is examined by PIV measurement bias at Vgsq = −2.5 V and Vdsq = 28 V [Fig. 8(d)]. From the close agreements between modeled and measured results, it is clear that the proposed dispersive model established through introducing into effective gate–source voltage can accurately predict the pulsed I−V characteristics. III. M ODEL V ERIFICATION The developed large-signal model is embedded by using the SDD in spice-like software Keysight advance design systems (v.2013). The devices are measured by using the on-wafer load–pull system (Focus/MPT-3620-TC) for validation purpose. The proposed scalable model is validated by means of several 0.25 − μm AlGaN/GaN HEMTs with different geometries 4 × 50 μm, 4 × 100 μm (reference), and 6×100 μm. Fig. 9 shows the photographs of 4×100 μm reference device and test block diagram for device characterization used for model verification. A. Small-Signal Characterization Before verifying the large-signal characteristics, the smallsignal S-parameter should be validated first to ensure the consistency between small- and large-signal models. In Fig.10,

Fig. 9. (a) Photograph of AlGaN/GaN HEMT device with 4 × 100 μm. (b) Test block diagram for device characterization used for model verification.

the measured and modeled scattering parameters of three verified devices are shown over the 0.1- to 40-GHz frequency range at two different bias Vgs = −1.5 V and Vds = 10 V and Vgs = −2.5 V and Vds = 28 V. The good agreements between the simulations and measurements demonstrate that the proposed scalable SP model with the nonlinear geometry and dissipated power dependent thermal resistance and appropriate scaling rules can accurately predict the small-signal performance for different device geometries. However, there exist slight deviations in the low-frequency S22 due to the bias-dependence of Cds is not taken account into the model. Moreover, the kink effect is observed in S22 for these three GaN HEMTs and it gets more pronounced for larger devices and higher bias due to the higher transconductance [32]. B. Multiharmonic Load–Pull Results In order to verify the accuracy of the model predicting the maximum output power or high efficiency under different harmonic terminations, the load–pull measurements and simulations under different harmonic impedances have been performed. The values of the optimal impedances at Z f 0 , Z 2 f 0 , and Z 3 f 0 of the 4 × 50, 4 × 100, and 6 × 100 μm AlGaN/GaN HEMTs have been shown in Table III. A verification of the harmonic output power predicted by the proposed scalable model is demonstrated in Fig. 11. A good agreement can be obtained for the second- and third-harmonic power which means that the proposed model can accurately predicted multiharmonic performance under different load impedances at 8 GHz for the three devices. This can be attributed to the accurate drain current model which could be largely related to the generation of harmonics.

1198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 11. Measured (symbols) and simulated (lines) harmonics Pout at f 0 = 8 GHz, Vgs = −2.5 V, and Vds = 28 V. (a) 4 × 50 μm. (b) 4 × 100 μm. (c) 6 × 100 μm.

Fig. 10. Measured (symbols) and modeled (solid lines) S-parameters for 0.1 to 40 GHz at Vgs = −1.5 V and Vds = 10 V (left) and Vgs = −2.5 V and Vds = 28 V (right). (a) 4 × 50 μm. (b) 4 × 100 μm. (c) 6 × 100 μm. TABLE III O PTIMAL L OAD I MPEDANCES

C. Large-Signal Characterizations To obtain the optimal output performance, the manipulations of the fundamental and high-order harmonics load terminations are carried out, which is significant for the design of power amplifier to acquire maximum output power or the highest efficiency. Through the realized load terminations, the optimum large-signal output performance has been achieved. The comparison between measurements and simulations of the fundamental output power (Pout ), gain and PAE under the conditions terminated for maximum power-added efficiency is shown in Fig. 12. The devices with 4 × 50, 4 × 100, and 6 × 100 μm gate width are measured and simulated at 8 GHz which are shown in Fig. 12(a)–(c), respectively. The good

Fig. 12. Single-tone power sweep simulations (lines) and measurements (symbols) for power characteristics (Pout , gain, and PAE versus input power Pin ) of three different gate geometries AlGaN/GaN HEMTs for Vgs = −2.5 V and Vds = 28 V at 8 GHz. (a) 4 × 50 μm. (b) 4 × 100 μm. (c) 6 × 100 μm.

agreement between measurements and simulation indicates that the proposed model can not only accurately predict the large-signal behavior under the optimum load impedances but also be used for different device geometries with good accuracy. IV. C ONCLUSION In this paper, an improved scalable SP large-signal model of AlGaN/GaN HEMTs suitable for multiharmonic characteristics with different device periphery is presented. The selfheating and charge-trapping effects are incorporated into the

WU et al.: SCALABLE MULTIHARMONIC SP MODEL

Ids model with good accuracy. The scaling rules for differentin-size devices are also presented. The scalable thermal resistance is accurately identified by means of electrothermal FEM simulations which is easily scalable with the gate width and power dissipations. The accuracy and effectiveness of model are validated by dc-I−V , pulsed I−V , and small- and largesignal performance by using 0.25 − μm AlGaN/GaN HEMTs with different peripheries. The results show that accurate predictions, especially under the conditions of different load impedances by using the proposed scalable large-signal model are achieved. This scalable model can not only be suitable for device characterizations, but also be applied to accurately optimize device structure for the desired performance in MMIC power amplifiers design. R EFERENCES [1] U. K. Mishra, L. Shen, T. E. Kazior, and Y.-F. Wu, “GaN-based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, Feb. 2008. [2] R. P. Smith et al., “AlGaN/GaN-on-SiC HEMT technology status,” in Proc. IEEE Compound Semiconductor Integr. Circuits Symp., Oct. 2008, pp. 1–4. [3] R. S. Pengelly, S. M. Wood, J. W. Milligan, S. T. Sheppard, and W. L. Pribble, “A review of GaN on SiC high electron-mobility power transistors and MMICs,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 6, pp. 1764–1783, Jun. 2012. [4] Y. J. Qiu, Y. H. Xu, R. M. Xu, and W. G. Lin, “Compact hybrid broadband GaN HEMT power amplifier based on feedback technique,” Electron. Lett., vol. 49, no. 5, pp. 372–374, 2013. [5] W. L. Fu, Y. H. Xu, B. Yan, B. Zhang, and R. M. Xu, “Numerical simulation of local doped barrier layer AlGaN/GaN HEMTs,” Superlattices Microstruct., vol. 60, pp. 443–452, Aug. 2013. [6] D. Mari, M. Bernardoni, G. Sozzi, R. Menozzi, G. A. Umana-Membreno, and B. Nener, “A physical large-signal model for GaN HEMTs including self-heating and trap-related dispersion,” Microelectron. Rel., vol. 51, no. 2, pp. 229–234, 2011. [7] T. M. Roh, Y. Kim, Y. Suh, W. S. Park, and B. Kim, “A simple and accurate MESFET channel-current model including bias-dependent dispersion and thermal phenomena,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 8, pp. 1252–1255, Aug. 1997. [8] J. Wang, L. Sun, J. Liu, and M. Zhou, “A surface-potential based compact model of gate capacitance in GaN HEMTs,” in Proc. Prog. Electromagn. Res. Symp., 2013, pp. 250–254. [9] S. Khandelwal and T. A. Fjeldly, “A physics based compact model of I −V and C−V characteristics in AlGaN/GaN HEMT devices,” SolidState Electron., vol. 76, pp. 60–66, Oct. 2012. [10] X. Cheng and Y. Wang, “A surface-potential-based compact model for AlGaN/GaN MODFETs,” IEEE Trans. Electron Devices, vol. 58, no. 2, pp. 448–454, Feb. 2011. [11] W. Deng, J. Huang, X. Ma, and J. J. Liou, “An explicit surface potential calculation and compact current model for AlGaN/GaN HEMTs,” IEEE Electron Device Lett., vol. 36, no. 2, pp. 108–110, Feb. 2015. [12] M. Patrick and L. Luca, “A compact model of AlGaN/GaN HEMTs power transistors based on a surface-potential approach,” in Proc. Int. Conf. Mixed Design Integr. Circuits Syst., Jun. 2013, pp. 92–95. [13] Q. Wu, Y. Xu, Z. Wen, Y. Wang, and R. Xu, “A surface potential large signal model for AlGaN/GaN HEMTs,” in Proc. 11th EuMIC, London, U.K., Oct. 2016, pp. 349–352. [14] Q. Wu, Y. Xu, Z. Wang, L. Xia, B. Yan, and R. Xu, “Implementation of self-heating and trapping effects in surface potential model of AlGaN/GaN HEMTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, USA, Jun. 2017, pp. 236–239. [15] C. Wang et al., “An electrothermal model for empirical large-signal modeling of AlGaN/GaN HEMTs including self-heating and ambient temperature effects,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 2878–2887, Dec. 2014. [16] Z. Wen, Y. Xu, C. Wang, X. Zhao, and R. Xu, “An efficient parameter extraction method for GaN HEMT small-signal equivalent circuit model,” Int. J. Numer. Model., Electron. Netw., Devices Fields, vol. 30, no. 1, p. e2127, Jan./Feb. 2015, doi: 10.1002/jnm.2127.

1199

[17] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Techn., vol. MTT-36, no. 7, pp. 1151–1159, Jul. 1988. [18] P. M. White and R. M. Healy, “An improved equivalent circuit for determination of MESFET and HEMT parasitic capacitances from ‘Cold-FET’ measurements,” IEEE Microw. Guided Wave Lett., vol. 3, no. 12, pp. 453–454, Dec. 1993. [19] A. Jarndal and G. Kompa, “A new small-signal modeling approach applied to GaN devices,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 11, pp. 3440–3448, Nov. 2005. [20] D. E. Ward, “Charge-based modeling of capacitance in MOS transistors,” Integr. Circuits Lab., Stanford Univ., Stanford, CA, USA, Tech. Rep. G201-11, Jun. 1981. [21] A. Jarndal and G. Kompa, “An accurate small-signal model for AlGaN-GaNHEMT suitable for scalable large-signal model construction,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 333–335, Jun. 2006. [22] J.-W. Lee, S. Lee, and K. J. Webb, “Scalable large-signal device model for high power-density AlGaN/GaN HEMTs on SiC,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 679–682. [23] Y. Xu et al., “A scalable GaN HEMT large-signal model for highefficiency RF power amplifier design,” J. Electromagn. Waves Appl., vol. 28, no. 15, pp. 1888–1895, 2014. [24] D. Resca, A. Raffo, A. Santarelli, G. Vannini, and F. Filicori, “Scalable equivalent circuit FET model for MMIC design identified through FW-EM analyses,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 2, pp. 245–253, Feb. 2009. [25] A. Nalli et al., “GaN HEMT noise model based on electromagnetic simulations,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 8, pp. 2498–2508, Aug. 2015. [26] G. Sozzi and R. Menozzi, “A review of the use of electro-thermal simulations for the analysis of heterostructure FETs,” Microelectron. Rel., vol. 47, no. 1, pp. 65–73, 2007. [27] H. Hjelmgren, M. Thorsell, K. Andersson, and N. Rorsman, “Extraction of an electrothermal mobility model for AlGaN/GaN heterostructures,” IEEE Trans. Electron Devices, vol. 59, no. 12, pp. 3344–3349, Dec. 2012. [28] K. Shirakawa, M. Shimizu, Y. Kawasaki, Y. Ohashi, and N. Okubo, “A new empirical large-signal HEMT model,” IEEE Trans. Microw. Theory Techn., vol. 44, no. 4, pp. 622–624, Apr. 1996. [29] O. Jardel et al., “An electrothermal model for AlGaN/GaN power HEMTs including trapping effects to improve large-signal simulation results on high VSWR,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2660–2669, Dec. 2007. [30] M. Chaibi et al., “Nonlinear modeling of trapping and thermal effects on GaAs and GaN MESFET/HEMT devices,” Prog. Electromagn. Res., vol. 124, no. 1, pp. 163–186, 2012. [31] K. S. Yuk and G. R. Branner, “An empirical large-signal model for SiC MESFETs with self-heating thermal model,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 11, pp. 2671–2680, Nov. 2008. [32] G. Crupi, A. Raffo, A. Caddemi, and G. Vannini, “Kink effect in S22 for GaN and GaAs HEMTs,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 301–303, May 2015.

Qingzhi Wu was born in Hei Longjiang, China. She received the B.S. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 2013, where she is currently pursuing the Ph.D. degree in electromagnetic field and microwave techniques. Her current research interests include microwave third-generation semiconductor power devices modeling, with particular focus on the physics-based modeling method and applications for GaN HEMTs.

1200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Yuehang Xu (M’11–SM’16) received the B.S. and M.S. degrees in electromagnetic field and microwave techniques from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2004 and 2007, respectively, and the Ph.D. degree from UESTC joint with Columbia University, New York, NY, USA, in 2010. In 2016, he joined Case Western Reserve University, Cleveland, OH, USA, as a Visiting Associate Professor. He joined the Department of Electronic Engineering, UESTC, in 2010, where he has been a Professor since 2017. He has authored or co-authored more than 150 scientific papers in international journals and conference proceedings. His current research interests include modeling and characterization of radio frequency micro-/nanoscale electronic devices and MMIC design. Yongbo Chen was born in Sichuan, China, in 1985. He received the B.S. and Ph.D. degrees in electromagnetic field and microwave techniques from the University of Electronic Science and Technology of China, (UESTC) Chengdu, China, in 2007 and 2013, respectively. From 2011 to 2013, he was a Visiting Scholar with the Beckman Institute of University of Illinois, Urbana–Champaign, Urbana, IL, USA. He is currently a Post-Doctoral Researcher with UESTC. His current research interests include modeling of semiconductor devices and monolithic microwave integrated circuit designs. Yan Wang received the B.S. and M.S. degrees in electrical engineering from Xian Jiaotong University, Xian, China, in 1988 and 1991, respectively, and the Ph.D. degree in semiconductor device and physics from the Institute of Semiconductors, Chinese Academy of Science, Beijing, China, in 1995. Since 1999, she has been a Professor with the Institute of Microelectronics, Tsinghua University, Beijing. Her current research interests include device modeling.

Wenli Fu was born in Shanxi, China, in 1988. She received the B.S. and M.S. degrees in electromagnetic field and microwave techniques from the University of Electronic Science and Technology of China, Chengdu, China, in 2010 and 2013, respectively. Her current research interests include microwave power transmission technology and MMIC design.

Bo Yan received the B.S. and M.S. degrees in electromagnetic field and microwave techniques, and the Ph.D. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 1991, 1998, and 1998, respectively. His current research interests include microwave and millimeter-wave hybrid integrated circuit and MCM technology.

Ruimin Xu (M’07) was born in Sichuan, China, in 1958. He received the B.S. and Ph.D. degrees in electromagnetic field and microwave techniques from University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1982 and 2007, respectively. He is currently a full Professor with UESTC. His current research interests include microwave and millimeter-wave technologies and applications, and radar systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Passive PEEC-Based Micromodeling Circuit for High-Speed Interconnection Problems Yuhang Dou, Student Member, IEEE, and Ke-Li Wu , Fellow, IEEE

Abstract— A passive partial element equivalent circuit (PEEC)-based micromodeling circuit is proposed for time-domain simulation of a high-speed interconnection problem. This physics-based model order reduction method derives a concise and physically meaningful circuit model from the PEEC model by absorbing its insignificant nodes. To maintain high fidelity of the original electromagnetic PEEC model, the concept of pseudoinductor is introduced to the nodeabsorbing process. The derivation process does not involve any matrix inversion or decomposition and is highly suitable for GPU parallel computations. Passivity of the micromodeling circuit is ensured by a new passivity checking and enforcement method proposed for the first time. As the scale of the micromodeling circuit can be one order of magnitude smaller than that of the original PEEC model, the time-domain simulation can be three orders of magnitude faster. Two practical examples are given to demonstrate the high fidelity, scalability, and accuracy of the proposed micromodeling circuit, showing excellent applicability to high-speed interconnection problems. Index Terms— Electromagnetic (EM) modeling, equivalent circuit, model order reduction (MOR), partial element equivalent circuit (PEEC), signal integrity (SI).

I. I NTRODUCTION

S

IGNAL integrity (SI) is a set of measures of the quality of an electrical signal. A digital signal with good SI must have stable and valid logic levels; accurate placement in time; clean and fast transitions; and be free of any transients [1]. Nowadays, it is increasingly critical to ensure a good SI design of interconnection and packaging circuits for highspeed digital signals [2] with the continuous increase of data rate and circuit density. Usually, eye diagram is used as a visual indicator to observe the general SI on a clocked bus, for which passivity of the model simulated in time-domain is required and simulation time must be bearable. The partial element equivalent circuit (PEEC) model [3] converts a multiconductor electromagnetic (EM) problem into a circuit model, which can be solved by Modified Nodal Analysis (MNA) [4] or a SPICE-like solver. It has been widely adopted in SI analysis, electronic packaging design,

Manuscript received August 15, 2017; revised October 28, 2017; accepted November 15, 2017. This work was supported by the University Grants Committee of Hong Kong under Grant AoE/P-04/08. (Corresponding author: Ke-Li Wu.) The authors are with the Department of Electronic Engineering, Chinese University of Hong Kong, Hong Kong (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2779484

EM radiation, EM compatibility, and power electronics problems [5]–[9]. For a large and complex interconnection problem, the PEEC model consists of an excessively large number of partial elements, whose simulation time is prohibitively long because multiple large-scale matrix inversions are involved. Besides, it is difficult for the designers to acquire any physical insight by examining the massive discretization meshbased partial elements. Nonetheless, a PEEC model can serve as a good starting point to derive a much concise and physically meaningful circuit for frequency-domain simulations [10]–[12]. However, these micromodeling circuits cannot be used for time-domain simulation due to their poor passivity conditions. To facilitate time-domain analysis of system responses of a large-scale EM problem, various model order reduction (MOR) methods have been developed since the 1990s. The methods can be classified into two categories according to their objectives: macromodeling and micromodeling. The macromodeling attempts to extract a concise model represented by a set of state-space equations while preserving the input–output characteristics of the original problem [13]. Usually, a macromodel does not have a direct correspondence with the physical layout of the problem. On the other hand, the micromodeling provides a circuit domain representation that comes with certain physical meaning. The proposed PEEC-based micromodeling circuit finds an RLC circuit that describes the EM effects of a physical interconnection problem [10]–[12]. Many macromodeling methods [13]–[20] have been developed. The well-known Lanczos and Arnoldi algorithms, a subclass of the so-called Krylov subspace methods, constitute the framework of modern MOR research. The main idea of these mathematics-based MOR methods is to find a projection of a large-scale state-space system onto a lower dimensional subspace by a set of appropriate basis vectors [20]. Although the projected lower order state-space system can reserve certain mathematic properties, such as passivity, causality, and reciprocity, it lacks direct physical interpretation of the original EM problem. The Micromodeling methods that are based on node elimination include AMOR [21], TICER [22], and SIP [23]. The AMOR method [21] is based on observation that those adjacent nodes of the RC circuits with almost the same voltage can be aggregated together as a “super node,” which is not suitable for PEEC circuit with coupled RLC elements. The TICER approach [22] uses the Y− transformation to reduce

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

a general RLC circuit including inductive couplings for the first time. Similar concept is independently developed for a general PEEC circuit with coupled inductors and coupled capacitors for embedded RF passive designs [10], [11]. The SIP method [23] for RLC circuit is a projection method mathematically, but its implementation is similar to the node eliminationbased method. However, these methods will introduce a large amount of redundant branches during node eliminate process, and require two large-scale matrix inversions in order to obtain a reducible inductance and capacitance matrices from the PEEC model. Recently, a direct mesh-based micromodeling method for the PEEC model was proposed [12]. The method overcomes two critical issues faced with the Y- transformation-based micromodeling methods: 1) the scalability issue constrained by matrix inversions of large-order inductance and potance matrices and 2) the proxy direct inductance for the actual mutual inductances of the original problem. In the micromodeling circuit [12], the circuit nodes are in correspondence with the physical layout and the accuracy in terms of frequencydomain responses can be specified by the user. However, the micromodeling circuit in [12] may generate negative selfinductances due to missing some critical inductive components in the circuit transformation, which causes instability issues in time-domain simulation. In this paper, an improved micromodeling method is proposed to overcome the nonpassivity problem. Different from the previous micromodeling methods, the proposed method introduces a pseudoinductor for each grounded potors to retain the inductive components more accurately in the MOR process. At the end of the micromodeling process, the pseudoinductors will be internalized by regular inductors. It is demonstrated through numerical examples that by introducing the pseudoinductor, the improved micromodeling circuit is in higher conformity with the original PEEC model than the existing micromodeling circuits. The numerical results show that the proposed micromodeling circuit ensures all the selfinductances to be positive, and the passivity violation factor of the proposed micromodeling circuit is smaller than that of the existing method [12] by three orders of magnitude. Most importantly, a new passivity check and enforcement method for a general RLC circuit is proposed to systematically correct the numerical error in a nonpassive circuit model so that the passivity of the circuit model can be guaranteed for timedomain simulation. In addition to maintain higher fidelity of the physical meaning of an EM problem in the circuit model, this improved micromodeling method inherits the two most attractive attributes from [12]: 1) high scalability, i.e., there is no matrix inversion or decomposition involved in the modeling process and 2) high suitability of being accelerated by the GPU parallel computation. In this paper, the rigorous derivation of the proposed micromodeling method, the passivity check and enforcement method and the scheme for the GPU parallel computation will be discussed in detail. The numerical results demonstrate that the computational time for SI analysis of a practical high-speed interconnection problem using the proposed micromodeling

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1.

PEEC meshes and their circuit representation.

circuit is about three orders of magnitude less than that if a traditional PEEC model is used. Without loss of generality, this paper only concerns the quasi-static EM problems, in which the electrical size of the circuit cannot be too large so that the radiation effect can be neglected. II. PEEC R EPRESENTATION OF AN EM P ROBLEM The PEEC model is a circuit representation of the discretized MPIE [3]. The quasi-static MPIE for infinitely thin conductors can be expressed as      E(r) = − j ω G A (r, r ) · J(r )ds − ∇ G ϕ (r, r )ρ(r )ds  s

s

(1) where G¯¯ A and G ϕ are Green’s functions of magnetic vector and electric scalar potentials, respectively; and ρ and J are the surface charges and current densities, respectively. Without loss of generality, only the x-component in (1) is considered. Discretizing the conductor surface for the current and charge densities using PEEC meshes, as shown in Fig. 1, then applying Galerkin’s matching procedure on an inductive mesh l, the discretized form of (1) is given by      ll x  j ω G xAx r, r dsm dsl Imx Il + σ wl wl wm  m 

    G ϕ r, r dsn dsl − G ϕ r, r dsn dsl + Qn = 0 + − al + an al − an n (2) where mesh m, l are inductive meshes and w is the width of an inductive mesh; mesh n, l − and l + are capacitive meshes and a is the area of a capacitive mesh; and indexes l − and l + are two terminals of inductive cell l with current Il flowing from node l − to node l + . A finite-difference approximation has been used for the derivative operator appearing in the third term of (2). In a circuit-oriented form, (2) is represented as   Rl Il + j ωMl,m Im + (Pl + ,n − Pl − ,n )Q n = 0 (3) m

n

where constant Ml,m is the partial (self- or mutual) inductance between inductive cells l and m; Im is the current flowing through the inductive cell m; constant Pl ± n is the coefficient of electric potential between capacitive cells l ± and n; and Q n is the total charge on the capacitive cell n. For ease of the micromodeling, conductor loss Rl is conflated with the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DOU AND WU: PASSIVE PEEC-BASED MICROMODELING CIRCUIT

3

corresponding self-inductance Ml,m and Q n is replaced by In /j ω, (3) becomes   j ωMl,m Im + (Pl + ,n − Pl − ,n )In /j ω = 0. (4) m

n

In an analogous manner to conventional definitions of selfinductance of an inductor and mutual inductance of two inductors, quantity Pi,i is called as self-potance of the circuit element potor i and Pi, j is called as mutual potance of two potors i and j . Equation (4) can be interpreted by the circuit in Fig. 1, in which inductors are coupled with each other and potors are coupled with each other as well. For clarity, mutual inductance and mutual potance are represented by circular dots and square dots, respectively. The proposed micromodeling method deals with potance matrix and inductance matrix directly. III. T HEORY O F M ICROMODELING M ETHOD A good micromodeling method based on the PEEC model should possess the following three desired attributes: 1) it must be passive; 2) it contains as much physical essence of the original EM problem as possible; and 3) it is highly suitable for GPU parallel computation. However, the micromodeling method in [12] proposed for frequency-domain analysis is not favoring in attribute 1 due to its inevitable negative self-inductances. This issue is overcome by this improved micromodeling method. Fig. 2 outlines the micromodeling process. Fig. 2(a) shows a conventional PEEC model. In step 1, pseudoinductors are introduced to the PEEC model, as shown in Fig. 2(b), which are critical to preserve accurate inductive components in the micromodeling process. In step 2, a recursive process for absorbing an insignificant node is conducted. The process first finds the most insignificant node (MIN), say, node k in Fig. 2(b), in a low-pass sense, then the node is absorbed by a new equivalent circuit transformation, as illustrated in Fig. 2(c). Having had the node absorbed, the shunt branches generated in the circuit transformation need to be combined, as illustrated in Fig. 2(d). Repeating step 2 until all insignificant nodes are absorbed and a concise circuit model is obtained as the one shown in Fig. 2(e). In step 3, the pseudoinductors are internalized by surrounding regular inductors, as shown in Fig. 2(f). Finally, in step 4, the passivity of the micromodeling circuit is checked and enforced if necessary. As a result, a passive and concise micromodeling circuit is obtained. The theories for the fourstep process are explicated in the following sections. A. Introducing Pseudoinductors for PEEC Model The proposed method adds a pseudoinductor in series with each grounded potor at the beginning of the micromodeling process, as shown in Fig. 2(b). To distinguish with the pseudoinductors, the inductors that are defined by inductive meshes are called regular inductor. Having had the pseudoinductors introduced in the process of absorbing the insignificant node k, as shown in Fig. 2(b), the voltages of the neighboring nodes of node k are able to remain the same in the circuit transformation, as shown in Fig. 2(c). As a result, the method

Fig. 2. Illustration of the proposed micromodeling method. (a) Mesh and circuit topology of PEEC model. (b) Finding the MIN k. (c) Circuit transformation to absorb node k. (d) Combining coupled shunt branches. (e) Mesh and circuit topology after absorbing all insignificant nodes. (f) Internalizing pseudoinductors by regular inductors.

preserves more physical essence in the node absorbing process than that in [12]. The initial values of pseudoinductors are set to zero and they will be updated after absorbing each insignificant node. In the end of micromodeling, these pseudoinductors

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

4) All mutual couplings associated with the assimilated elements are transferred to the mutual couplings with the newly added elements. 5) Unchanged potors and pseudoinductors are named as other potors and other inductors, respectively. Their associated PpI branches are called other branches. 6) Unchanged regular inductors are named as remaining inductors. The notations and voltage–current relations of the circuit variables in the circuit transformation are defined as follows. 1) The potance matrix P( p) before node k being absorbed ( p) ( p) is defined by voltage vector v p and current vector i p as 





 ( p) ( p) ( p) ( p) 1 PO O pO A vP O iO =   ( p) ( p) j ω p(Op)A T p(Ap)A vPA iA    ( p)

P( p)

vP

Fig. 3. Circuit transformation for absorbing an insignificant node. (a) Circuit prior to transformation. (b) Circuit posterior to transformation.

( p)

iP

where subscripts O and A refer to the other and the assimulated potors, respectively. 2) The inductance matrix M( p) before node k being ( p) absorbed is defined by voltage vector v M and current ( p) vector i M as ⎡  ( p) T ( p) ⎤ ( p) MR R MO R vM R ⎢ ( p) ⎥ ⎢ ( p) ( p) MO O ⎢v M O ⎥ ⎢ MO R ⎢ ( p) ⎥ = j ω ⎢ ( p) ⎣ v MC ⎦ ⎣ MC( p)R MC O  ( p) T  ( p) T ( p) vM A mR A mO A  ⎡

will be internalized by regular inductors as shown in Fig. 2(f), because the pseudoinductors are actually contributed by the regular inductors. For convenience, the branch of a potor serially connected with a pseudoinductor is called as a potor-pseudoinductor branch, or a PpI branch.

( p)

vM

 ( p) T M  (Cp)R T MC O ( p) M  ( CC p) T mC A

( p) ⎤ mR A ( p) ⎥ mO A ⎥ ( p) ⎥ mC A ⎦

( p) ⎤ iR ⎢ ( p) ⎥ ⎢i ⎥ × ⎢ (Op) ⎥ ⎣ iC ⎦

( p)

m AA

M( p)



B. Hypotheses of Circuit Transformation The new circuit transformation concerns absorbing a node in accordance with a physical sensible remeshing scheme, as illustrated in Fig. 2(b) and (c). Assuming that node k in Fig. 3(a) is the MIN to be absorbed at the pth step of the recursive node absorbing process, following hypotheses and definitions are given, referring to Fig. 3(a) and (b) with node k being absorbed. 1) Assume the potor and pseudoinductor associated with node k as well as all the inductors connecting to node k are to be assimilated; they are called assimilated potor, assimilated inductor, and connecting inductors, respectively. 2) An incremental PpI branch is added to each of the Nc neighboring nodes to share one-Ncth portion of the potance of mesh k. The potors and inductors in the added PpI branches are called incremental potors and incremental inductors, respectively. 3) A new inductor is introduced between each consecutive pair of the neighboring nodes, reflecting the newly introduced current paths. The newly introduced inductors are called new inductors.

(5)



(6)

( p)

iA  ( p)

iM

where subscripts R, O, C, and A refer to the remaining inductors, the other inductors, the connecting inductors, and the assimilated inductors, respectively. 3) The potance matrix P ( p) after node k being absorbed is defined by voltage vector v (pp) and current vector i (pp) as 

   ( p) T   ( p)

( p) 1 P (Op)O v P O iO P IO = ( p) ( p)  ( p) j ω P (I p) v P I i I P O II    ( p)

v P

P ( p)

(7)

( p)

i P

where subscripts O and I refer to the other potors and the incremental potors, respectively. 4) The inductance matrix M ( p) after node k being absorbed ( p) ( p) is defined by voltage vector v M and current vector i M

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DOU AND WU: PASSIVE PEEC-BASED MICROMODELING CIRCUIT

as ⎡

( p) v M R ⎢  ( p) ⎢ v MO ⎢  ( p) ⎣ v MN ( p) v M I





( p)

5



D. Circuit Transformation

⎥ ⎥ ⎥ ⎦

The following discussions derives the proposed circuit transformation. 1) Updating Formulas Related to Incremental Elements: According to (7) and (8), the voltages across the incremental PpI branches are



v M



( p)

M R R ⎢  ( p) ⎢M = j ω⎢  (Op)R ⎣M N R ( p) M I R



( p) T   ( p) T   ( p) T ⎤ ⎡  ( p) ⎤ M O R M M R i   (Np)R T   (I p) T ⎥ ⎢ (Rp) ⎥ ( p) M NO M I O ⎥ ⎢i O ⎥ M O O   ( p) T ⎥ ⎢  ( p) ⎥ ( p) ( p) M N O M N N M I N ⎦ ⎣i N ⎦ ( p) ( p) ( p) ( p) i I M I O M I N M I I   ( p) i M

M ( p)

(8) where subscripts R, O, N, and I refer to the remaining inductors, the other inductors, the new inductors and the incremental inductors, respectively. 5) Let a be a column vector, whose i th element is a(i ). Define the N-by-1 vector a¯ that is related to the N-by1 vector a by a(i ¯ ) = a(i %N + 1) (9) where modulo operation i %N finds the remainder after division of i by N. For example, 1%2 = 1 and 2%2 = 0. 6) Let B be a matrix, whose element in i th row and j th column is expressed as b(i, j ). Define N-by-N matrices ¯ B˜ and Bˆ that are related to an N-by-N matrix B by B, ¯ j ) = b(i %N + 1, j ) b(i, ˜ j ) = b(i, j %N + 1) b(i, ˆ j ) = b(i %N + 1, j %N + 1). b(i, (10) 7) Let j N be an N-by-1 vector and J N be an N-by-N matrix, whose elements are all one. Let I N be an Nby-N identity For  matrix.   example    1 1 1 1 0 J2 = I2 = . j2 = 1 1 1 0 1 (11) C. Equivalence for the Circuit Transformation The following equivalent conditions are imposed on the proposed circuit transforamtion: 1) Referring Fig. 3(a) and (b), equivalent KVL and KCL conditions for the transformation are ( p) v P I

¯i( p) − N

( p) + v M I ( p) v M N ( p) ( p) i N + i¯ I ( p) jTNC i I ( p) NC i I

= = = = =

( p) ( p) v MC + j NC v M A ( p) ( p) v MC − v¯ MC ¯i( p) C ( p) iA ( p) i A j NC .

( p) + j NC v P A

(12a) (12b) (13a) (13b)

( p)

( p)

( p) i O

=

( p) iO

( p)

( p)

v M O = v M O

( p) i R

=

( p) iR .

( p)

(15a) According to (12a), (5), and (6), one can further find that ( p)

( p)

v M I + v P I ( p)

( p)

( p)

= v MC + j NC v M A + j NC v P A  ( p) ( p) ( p) ( p) ( p) ( p) ( p) ( p)  = j ω MC R i R + MC O i O + MCC iC + mC A i A  ( p) T ( p)  ( p) T ( p)  ( p) T ( p) + j ωj NC m R A i R + m O A i O + mC A iC    ( p) T ( p) ( p) ( p)  ( p) ( p)  +m A A i A + 1/j ω j NC p O A i O + p A A i A . (15b) By using (13), (15b) can be expressed as ( p)

( p)

v M I + v P I  ( p) T  ( p)  ( p) = j ω MC R + j NC m R A i R  ( p)  ( p) T  ( p) + j ω MC O + j NC m O A i O    ( p) T   ( p)  ( p) ˜ ( p) + j NC m( p) T − j NC m ¯ CA i N + j ω MCC − M CC CA  ( p) T  ( p)  ( p) ( p) T ( p) + j ω MCC +j NC mC A +mC A j NC + NC m A A I NC i I    ( p) T ( p) ( p) ( p)  + 1/j ω j NC p O A i O + NC p A A I NC i I . (15c) Comparing the right-hand sides of (15a) and (15c), the updating formulas for the circuit elements related to the incremental elements are obtained as  ( p) T ( p) ( p) M I R = MC R + j NC m R A  ( p) T ( p) ( p) M I O = MC O + j NC m O A     ( p) ( p) ˜ ( p) + j NC m( p) T − j NC m¯( p) T M I N = MCC − M CC CA CA  ( p) T ( p) ( p) ( p) ( p) M I I = MCC +j NC mC A +mC A jTNC + NC m A A I NC  ( p) T ( p) P I O = j NC p O A ( p) P I I

=

( p) NC p A A I NC .

(16a) (16b) (16c) (16d) (16e) (16f)

(13c)

2) The voltages and currents across and through the other potors, other inductors and remaining inductors are unchanged, that is, v P O = v P O

( p)

v M I + v P I  ( p) ( p) ( p) ( p) ( p) ( p) ( p) ( p)  = j ω M I R i R + M I O i O + M I N i N +M I I i I   ( p) ( p) ( p) ( p)  + 1/j ω P I O i O + P I I i I .

( p)

( p)

v M R = v M R (14a) (14b)

2) Updating Formulas Related to New Inductors: According to (8), the voltages of the new inductors are ( p)

v M N

 ( p) ( p) ( p) ( p) ( p) ( p)  ( p) T ( p)  = j ω M N R i R +M N O i O +M N N i N + M I N i I . (17a)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

According to (12b), the above voltages can be expressed as ( p)

( p)

( p)

v M N = v MC − v¯ MC  ( p) ( p) ( p) ( p) ( p) ( p) ( p) ( p)  = j ω MC R i R + MC O i O + MCC iC + mC A i A  ( p) ( p) ( p) ( p)  ¯ ( p) i( p) + M ¯ ( p) i( p) + m ¯ i +M . ¯ i − jω M CR R

CO O

CC C

CA A

(17b) Substituting (13a) and (13b), (17b) becomes  ( p)    ( p) ¯ ( p) i ( p) + j ω M( p) − M ¯ ( p) i ( p) v M N = j ω MC R − M CR R CO CO O   ( p) ˜ ( p) − M ¯ ( p) + M ˆ ( p) i ( p) + j ω MCC − M CC CC CC N  ( p)  ( p) ( p) ¯ ( p) + m( p) jTN − m + j ω MCC − M ¯ C A jTNC i I . CC CA C (17c) Comparing the right-hand sides of (17a) and (17c), the updating formulas of elements related to the new inductors are obtained as ( p) ( p) ¯ ( p) M N R = MC R − M CR ( p) ( p) ¯ ( p) M =M −M NO ( p) M N N ( p) M I N

= =

CO ( p) MCC ( p) MCC

(18a)

CO ˜ ( p) − M ¯ ( p) + M ˆ ( p) −M CC CC CC    ( p) T ˜ ( p) + j NC m( p) T − j NC m ¯ CA . −M CC CA

(18b) (18c) (18d)

( p)

Formulas (16c) and (18d) for updating M I N are the same, which shows that the proposed circuit transformation is symmetric. 3) Circuit Elements Related to Other Potors, Other Inductors, and Remaining Inductors: Using the same derivation method, it can be concluded that the other potors, other inductors, remaining inductors, as well as the mutual couplings between these circuit elements are not changed after the circuit transformation. That is, ( p)

( p)

( p)

( p)

( p)

( p)

( p)

( p)

P  O O = P O O M O O = M O O M R R = M R R M O R = M O R . (19) E. Combining Coupled Shunt Branches After the circuit transformation, each incremental PpI branch is in shunt with an other PpI branch and a new inductor branch is possibly in shunt with a remaining inductor branch. Every two shunt connected branches need to be combined as shown in Fig. 2(d) before absorbing the next insignificant node. Referring to Fig. 4, consider shunt connected branch S and branch I , which can be a pair of PpI branches or a pair of inductor branches and will be combined as branch S. The elements in the two branches are not only coupled to each other but are also coupled to the rest of circuit elements. PpI branches and inductor branches in the rest of circuit are distinguished by subscripts O and R, respectively. When the shunt branches are combined, all the mutual impedances in the circuit need to be updated. According to [12], the combined circuit elements as well as the concerned mutual couplings can be directly written here for the sack of brevity. 1) The self-impedance of combined branch S is  (20a) z S S = 1 yt .

Fig. 4. Combining process of two coupled shunt branches. (a) Circuit with two coupled shunt branches. (b) Circuit posterior to combining the coupled shunt branches.

2) The mutual impedances between combined branch S and remaining inductor branches or other PpI branches are  z R S = [(y S S + y S I ) z R S + (y I I + y S I ) z R I ] yt (20b)   z O S = [(y S S + y S I ) z O S + (y I I + y S I ) z O I ] yt . (20c) 3) The mutual impedances among other PpI branches and remaining inductor branches are y S S y I I + y S2 I (z O S − z O I ) (z O S −z O I )T yt (20d) 2 yS S y I I + yS I Z O R = Z O R − (z O S−z O I ) (z R S−z R I )T yt (20e) 2 yS S y I I + yS I Z R R = Z R R− (z R S−z R I ) (z R S−z R I )T yt (20f)   −1  z z yS S yS I = SS SI and yt = y S S + y I I +2y S I . where yS I y I I zSI zI I A general updating formula for inductance matrix and potance matrix can be derived from (20). Specific expressions for different types of shunt branches will be different. 1) Two Shunt Connected PpI Branches: When the shunt branches are PpI branches, the concerned inductance matrix and potance matrix of the circuit before combining can be expressed as ⎤ ⎡ M R R MTO R m R S m R I ⎢ MO R MO O mO S mO I ⎥ ⎥ M=⎢ T ⎦ ⎣ mT R S mO S m S S m S I T T m mO I m S I m I I ⎡ RI ⎤ PO O pO S pO I P = ⎣ pTO S p S S p S I ⎦ (21) pTO I p S I p I I Z O O = Z O O−

Similarly, the inductance matrix and potance matrix after combining can be expressed as ⎤ ⎡   T M OR m R S M R R ⎥ ⎢ M m O S ⎦ M = ⎣ M O R   T   O OT m RS m OS m S S    P O O p O S P  =   T . (22) p OS pS S

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DOU AND WU: PASSIVE PEEC-BASED MICROMODELING CIRCUIT

7

Substituting (21) and (22) into (20) leads to the following relations: j ωm S S + pS S /j ω = j ωk1(e5 −e1 e4 e6 −ω2 e7 )+e1 e4 /jω 

j ωm O S j ωM O O

j ωm R S = j ωk2m R S + j ωk3 m R I + p O S /j ω = k2 ( j ωm O S + p O S /j ω)

m S S = e1 (e5 − e1 e4 e6 ) p S S = e1 e4

m  O S = e2 m O S + e3 m O I m  R S = e2 m R S + e3 m R I p O S = e2 p O S + e3 p O I P O O = P O O − e1 p O pTO

+ k3 ( j ωm O I + p O I /j ω)  + P O O /j ω = j ωM O O + P O O /j ω

M O O = M O O − e1 m O pTO − e1 p O mTO

− j ωk1( j ωm O + p O /j ω) × ( j ωm O + p O /j ω)T

M O R = M O R − e1 p O mTR M R R = M R R .

j ωM O R = j ωM O R − j ωk1( j ωm O + p O /j ω) × ( j ωm R )T j ωM R R = j ωM R R − j ωk1( j ωm R )( j ωm R )T (23) where c1 = ω2 (m S S + m I I − 2m S I )/( p S S + p I I − 2 p S I ); c2 = ω2 (m I I − m S I )/( p I I − p S I ); c3 = ω2 (m S S − m S I )/( p S S − p S I ); e1 = 1/( p S S + p I I − 2 p S I ), e2 = e1 ( p I I − p S I ); e3 = e1 ( p S S − p S I ), e4 = p S S p I I − ( p S I )2 ; e5 = p I I m S S + p S S m I I −2 p S I m S I , e6 = m S S +m I I −2m S I ; e7 = m S S m I I − (m S I )2 , k1 = e1 /(1 − c1 ); k2 = e2 (1 − c2 )/(1 − c1 ), k3 = e3 (1 − c3 )/(1 − c1 ); mO = mO S − mO I , mR = mR S − mR I , pO = pO S − pO I . By comparing the coefficients of ω and 1/ω terms of (23), the following updating formulas for the inductance and potance matrices that transform the circuit in Fig. 4(a) into (b) can be obtained: m S S = k1 (e5 − e1 e4 e6 − ω2 e7 ) p S S = e1 e4

m O S = k 2 m O S + k 3 m O I m R S = k 2 m R S + k 3 m R I p O S = k2 p O S + k3 p O I P O O = P O O − k1 p O pTO

M O O = M O O − k1 (m O pTO + p O mTO − ω2 m O mTO ) M O R = M O R − k1 (p O mTR − ω2 m O mTR ) M R R = M R R + ω2 k1 m R mTR .

and c3 , which are not in conformity with the original PEEC circuit. For a quasi-static problem, the three terms can be approximated to be zero in the low-pass sense. Therefore, the updated circuit elements become constants as

(24)

2) Two Shunt Connected Inductor Branches: The case of two shunt connected inductor branches is a special case of two shunt connected PpI branches when potances are absent. Therefore, updating formulas for inductance and potance matrices can be obtained from (24) as m S S = j ωg1[m S S m I I −(m S I )2 ] m O S = g2 m O S +g3m O I

m R S = g2 m R S + g3 m R I M O O = M O O − g1 m O mTO

M O R = M O R − g1 m O mTR M R R = M R R − g1 m R mTR P O O = P O O (25)  where g1 = 1 (m S S + m I I − 2m S I ), g2 = g1 (m I I − m S I ), and g3 = g1 (m S S − m S I ).

(26)

Approximating c1 , c2 , and c3 terms to zero will cause an approximation error for a non-dc frequency. In the frequency band from 0 to ωmax , where ωmax is the highest frequency of interest, the upper bound of the low-pass approximation error for combining a pair of PpI branches can be found as   |m I I − m S I | |m S S − m S I | 2 + (27)  = ωmax pI I − pS I pS S − pS I where the facts that ( p I I − p S I ) and( p S S − p S I ) are all nonnegative real values and all self-inductances are complex number whose imaginary part reflect conductor loss are used. After all the shunt branches are combined and the low-pass approximation is applied, the pth node absorbing process is completed. The resultant potance matrix and inductance matrix are denoted as P( p+1) and M( p+1) , respectively. G. Finding the Most Insignificant Node (MIN) The earlier sections gave the method to absorb the pth nodes assuming that the MIN had been found at the beginning of the pth node absorbing process, as shown in Fig. 2(b). In this section, the method to find the MIN will be derived based on that the MIN is the node that will introduce the minimum low-pass approximation error after the node being absorbed. Therefore, to determine the MIN at the pth iteration, the error bound ( p) (k) of each node k needs to be precomputed for the circuit after absorbing the first ( p−1) nodes. The error bound associated with absorbing each node depends on combining the incremental PpI branches with their respective shunt branches that are PpI branches in the group of “other branches.” Assume the indexes of the incremental PpI branches associated with node k runs from 1 to Nc, and indexes of their shunt PpI branches are numbered according to the index mapping array l in the group of “other branches.” According to (7), (8), and (27), the aggregated error bound for node k can be expressed as  ⎡  ( p)  ( p) NC m I I (i, i ) − m I O (i, l(i ))  ( p) 2 ⎣  (k) = ωmax ( p) ( p) p I I (i, i ) − p I O (i, l(i )) i=1  ⎤  ( p)  ( p) m O O (l(i ), l(i )) − m I O (i, l(i )) ⎦. + ( p) ( p) p O O (l(i ), l(i )) − p I O (i, l(i )) (28)

F. Low-Pass Approximation After combining a pair of shunt PpI branches, the updated elements in (24) contain frequency-dependent terms c1 , c2 ,

According to (16), (18), and (19), the error bound for each node can be precomputed using (5), (6), and (28). The node with the smallest error bound is defined as the MIN.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Illustration of internalizing a pseudoinductor by regular inductors. (a) Circuit prior to internalizing a pseudoinductor. (b) Circuit posterior to internalizing the pseudoinductor.

Fig. 5.

as

Flowchart of node absorbing process.

M H. Recursive Node Absorbing Process The node absorbing process is repeated until no node staisfies the preset accuracy criterion δl on the error bound. The whole process can be summarized by three steps as demostrated by the flowchart in Fig. 5.

(i+1)  (i+1) T MC R MR R = (i+1) MC(i+1) MCC R  T  (i+1) T T = v(i+1) vC R  T  (i+1) T T = i(i+1) iC R 

(i+1)

v(i+1) i(i+1)

As said, the pseudoinductors are introduced to retain high fidelity of inductive information in the circuit transformation. After all insignificant nodes are absorbed and the recursive process is stopped, all the pseudoinductors will be internalized by rest of regular inductors in one-by-one fashion. Assuming the first i −1 pseudoinductors have been internalized, the process for internalizing pseudoinductor i is derived here. The circuit with the i th pseudoinductor is shown in Fig. 6(a), in which NC inductors are connected to node i . In the context of internalizing the i th pseudoinductor, the inductors in the circuit can be divided into three groups: 1) the pseudoinductor to be assimilated; 2) the inductors connecting to the pseudoinductor i ; and 3) rest of the pseudo and regular inductors, which are distinguished by subscripts A, C, and R, respectively. The overall inductance matrix of the circuit can be sorted in the form of ⎤ ⎡  (i) T (i) (i) MC R mR A MR R ⎥ ⎢ (i) (29a) M(i) = ⎣ MC(i)R MCC mC(i)A ⎦ .  (i) T  (i) T (i) mR A mC A m AA The corresponding voltage vector and current vector are denotated as T    (i) T T (i) v(i) = v(i) (29b) v v R C A    T  (i) T T (i) i(i) = i(i) (29c) iC iA R respectively. By definition v(i) = j ωM(i) i(i) .

(29d)

Fig. 6(b) shows the circuit with pseudoinductor i being internalized. The corresponding inductance matrix of the resultant circuit, the voltage vector, and the current vector are sorted

(30b) (30c)

respectively. By definition v(i+1) = j ωM(i+1) i(i+1) .

I. Internalization of Pseudoinductors

(30a)

(30d)

According to (30), voltage vC(i+1) can be expressed as (i+1) (i+1) (i+1) + j ωMCC iC . vC(i+1) = j ωMC(i+1) R iR

(31)

Absorbing pseudoinductor i needs to satisfy the following equivalent conditions: jTNC iC(i+1) = i (i) A

vC(i+1) = vC(i) + j NC v (i) A . (i+1)

Therefore, the voltage vC

(32)

can be expressed as

(i+1)

vC

 T (i)  (i) T (i) (i) (i)  = j ωj NC m(i) R A i R + mC A iC + m A A i A  (i) (i) (i) (i)  + j ω MC(i)R i(i) R + MCC iC + mC A i A  (i) T  (i+1)  (i) (i) (i) = j ω MCC + j NC mC A + mC A jTNC + m A A J NC iC  T  (i+1)  iR . + j ω MC(i)R + j NC m(i) (33) RA

Comparing the right-hand sides of (31) and (32) leads to the following updating formulas:  (i) T (i+1) (i) (i) (i) MCC = MCC + j NC mC A + mC A jTNC + m A A J NC   (i) (i) T (i) MC(i+1) M(i+1) (34) R = MC R + j NC m R A R R = MR R . A micromodeling circuit without pseudoinductors can be obtained after all pseudoinductors are internalized. J. Computational Overhead and Parallel Computation In the recursive micromodeling process, the shunt branches combining process dominates computational overhead. As can be found from (25) and (26) that the computations mainly compose of outer products of two vectors in the form of Z = Z + cx · yT

(35)

where Z is an m-by-m matrix, which is a placeholder for inductance matrix M and potance matrix P, x and y are

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DOU AND WU: PASSIVE PEEC-BASED MICROMODELING CIRCUIT

m-by-1 vectors, c is a constant, and m represents the number of inductors or potors. The computational overhead of each combining process is in the order of O(m 2 ). Let N be the number of recursive iteration and M be the maximum order of the inductance or potance matrix, the computational overhead of the whole micromodeling process is in the order of O(NM2 ). There are m 2 arithmetic operations for each outer product, which can be computed in parallel using multicores CPU or GPU. It turns out to be a good news because the future trend in developing computing devices will continue to concentrate on multicores rather than the performance of a single thread [36]. That means the micromodeling method can fully utilize the advances on parallel computation, especially on GPUs. To utilize GPU efficiently in developing the micromodeling circuit, two more works need to be done: 1) the amount and frequency of data transactions between CPU and GPU need to be minimized and 2) the amount and frequency of data transactions (read/write) inside GPU need to be minimized. By using the strategies developed recently [37], [38], the proposed micromodeling process can be accelerated by about 30 times as compared to a single CPU process. The numerical examples will demonstrate the superiority of the micromodeling method by adoption of GPU parallel computations.

9

semidefinite matrices. There are some effective enforcement methods for a nonpositive semidefinite matrix [33]–[35]. A simple modified Cholesky algorithm [34] is used, where a generic symmetric matrix F is used as a placeholder for R, M, and P. The eigen decomposition of the N-by-N matrix F can be expressed as F = V VT , = diag(λ1 , . . . , λ N )

(37)

where matrices V and are the eigenvector matrix and eigenvalue diagonal matrix of matrix F, respectively. By adding a corrective matrix F, matrix F is enforced to be a positive semidefinite matrix. The corrective matrix is F = V VT ,  = diag(λ1 , . . . , λ N )

(38)

where the i th diagonal value λi of  is constructed by  0, λi ≥ 0 λi = , i = 1, 2, . . . , N . (39) −λi , λi < 0 The Frobenius norm [32] of F is defined to quantify the passivity violation factor of matrix F   N  2 F F =  λ2i . (40) i=1

IV. PASSIVITY C HECKING AND E NFORCEMENT Unlike the conventional passivity enforcement methods [24]–[30], which are applicable to the state-space matrices of a macro or micromodel but the amended system is not recoverable to a circuit model, the new passivity checking and enforcement method is applicable to a general physically meaningful RLC circuit model, with which while the passivity of the micromodeling circuit is warranted the original circuit configuration is also retained. Let R, M, and P be the resistance matrix, inductance matrix, and potance matrix. According to [31], the cumulative energy at time t of the general RLC circuit can be found as  t 1 1 iTR (τ )Ri R (τ )dτ + iTL (t)Mi L (t)+ qTP (t)Pq P (t) W (t) = 2 2 0 (36) where i R and i L are vectors of currents flowing through the resistors and inductors, respectively; and q P is the vector of charges on the potors. The passivity constraint is derived from the passivity definition that the cumulative energy of a circuit is nonnegative at all times and for all possible excitation signals [13], which leads to Corollary: A general RLC circuit is passive if and only if its resistance matrix R, inductance matrix M, and potance matrix P are all positive semidefinite, or equivalently the eigenvalues of matrices R, M, and P are nonnegative. The corollary not only provides a constraint to check the passivity, but also implies that a nonpassive RLC circuit can be remediated directly by ensuring its resistance matrix R, inductance matrix M, and potance matrix P to be positive

Obviously, the larger the Forbenius norm is, the severer the nonpassivity of the circuit model is. The passivity enforcement scheme is suitable for a physically meaningful micromodeling circuit, whose passivity violation is usually caused by numerical errors and is very small. The numerical examples show that the passivity violation factor of the inductance matrix M of the micromodeling circuit is smaller than that of the method in [12] by three orders of magnitude. V. N UMERICAL E XAMPLES In this section, two examples are used to demonstrate the versatility, scalability, and accuracy of the micromodeling method for high-speed/frequency interconnection and packaging problems. The first example is a low-order PEEC model of an LTCC bandpass filter, which is used to demonstrate the high fidelity of the micromodeling method in preserving the physical essence of the original EM problem. The second example is a multilayer and multiport interconnection circuit with a large-order PEEC model. This example is used to show the scalability and the ability of GPU acceleration of the proposed method. In all numerical examples, the PEEC models are quasi-static and a mixed rectangular and triangular meshing scheme is used. The micromodeling, the PEEC, and the Passive ReducedOrder Interconnect Macromodeling Algorithm (PRIMA) models are executed using single core on a PC with Intel(R) Core(TM) i7-3770 CPU at 3.4 GHz. To demonstrate the suitability of the micromodeling method for GPU acceleration, the GPU module of Nivdia Geforce GTX 980 Ti with 2816 cores is used for demonstration purpose.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I C IRCUIT S IZE OF PEEC M ODEL , M ETHOD IN [12], AND T HIS M ETHOD

TABLE II C HANGE OF S YSTEM P OLES D URING R ECURSIVE P ROCESS (U NIT: GHz) Fig. 7. Example of a lossless multilayer LTCC bandpass filter. (a) Circuit layout and meshing scheme. (b) Derived micromodeling circuit.

A. Example 1: LTCC Bandpass Filter The first example is an LTCC multilayer bandpass filter as depicted in Fig. 7(a). The filter consists of three metal layers. The dielectric constant and geometric dimensions are marked in Fig. 7(a). Infinitely thin perfect conductor is assumed. The original PEEC model is generated from the meshing scheme superimposed in Fig. 7(a). Details of the PEEC model are listed in Table I. The order of the PEEC model is reduced by one order of magnitude by both the proposed micromodeling method and the method in [12]. The two micromodeling circuits are compared in the aspects of circuit size, S-parameters, system poles, passivity, and time-domain simulation results. In deriving the proposed micromodeling circuit, the lowpass approximation criterion δl is set to 0.02 and the low-pass cutoff frequency is chosen to be 5 GHz. The micromodeling circuit is superimposed on the filter layout in Fig. 7(b), showing a clear look of its physical meaning. The S-parameters of the two micromodeling circuits are compared with that of the original PEEC model and that of Agilent RF momentum module (ADS) commercial softwave (RF momentum module) in Fig. 8(a) and (b). Both the magnitude and phase of the S-parameters simulated by these methods agree well in the frequency range of intrest from 0.1 to 5 GHz. To make a quasi-static approximation, the multilayer full-wave Green’s function at 10 MHz is used in the PEEC modeling. The system poles of the admittance matrix [16] of the two micromodeling circuits are compared with those of the PEEC model in Table II. The system pole frequencies in some representative steps of the recursive process are listed in ascending order from the most significant frequency (corresponding to the smallest pole) to the least significant frequency. It can be observed that, after absorbing the least important node at a recursive step, the significant system

poles are nearly unchanged and the most insignificant pole is digested and assimilated by the next few least important poles. By comparing the errors of the first three significant system poles of the two micromodeling circuits (i.e., the hatched columns in Table II) with those of the original PEEC model, it can be concluded that the proposed micromodeling circuit introduces smaller errors than those of [12], meaning that physical essence of the PEEC model is better preserved than the existing micromodeling circuit. Passivity violation of the two micromodeling circuits are also compared. The proposed micromodeling circuit does not have any negative self-inductances and the passivity violation factor of its M matrix is 3.9675 × 10−26 . However, the micromodeling circuit in [12] has 23 negative self- inductances and the passivity violation factor of its M matrix is 5.6121 × 10−10 . In this case, the passivity violation factor of M matrix for the original PEEC model is zero. The time-domain responses simulated by the MNA method [4] of the two micromodeling circuits without any passivity enforcement are compared in Fig. 8(c). The input pulse applied at port 1 is with data rate of 1 Gbit/s and rising/falling edges of 0.1 ns. The output signals of the PEEC model and this method match each other very well, while the output signal by the method in [12] does not converge.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DOU AND WU: PASSIVE PEEC-BASED MICROMODELING CIRCUIT

11

TABLE III C OMPARISON OF C IRCUIT S IZES FOR E XAMPLE 2

Fig. 8. S-parameters obtained by PEEC model, method in [12], this method, and ADS with (a) magnitude and (b) phase. (c) Time-domain response of PEEC model, method in [12], and this method.

Fig. 9. A lossy multiport multilayer PCB circuit. (a) Circuit layout. (b) Mesh details of via holes. (c) Mesh details of differential lines.

B. Example 2: Multilayer Interconnection Circuit To demonstrate the scalability, a typical multiport and multilayer interconnection circuit is considered and is shown in Fig. 9(a). On the circuit board, port 1 and port 2 are the

two pairs of differential input terminals and port 3 and port 4 are the differential output terminals. The overall dimensions of the circuit is 47 244 × 32 512 × 515.62 μm and the dielectric constant is 4.04. The circuit consists of one layer of power plate and two layers of signal traces connected through via holes. The metal thickness is 12 μm and conductivity is set to 5.959 × 107 S · m−1 . Zoomed-in views of via holes and differential signal lines are shown in Fig. 9(b) and (c), respectively. Details of the PEEC model are listed in Table III. Based on the PEEC model, the derived micromodeling circuit contains only 859 nodes as listed in Table III. The low-pass criterion δl is set to 0.03 and the highest frequency of interest is set to 5 GHz. The micromodeling process executed by one core CPU takes 156 min 42 s. The modeling time is reduced to 4 min 46 s by a 2816-core GPU, showing that the proposed method is very suitable for GPU parallel computation. For a reference, if the order of the PEEC model is reduced to the same level by the PRIMA method [16], the PRIMA MOR process costs 286 min 11 s using one CPU core. The simulated S-parameters by the proposed model is compared with those obtained by the original PEEC model, the PRIMA order-reduced model, and the EM simulation of the interconnection circuit layout by ADS, as shown in Fig. 10(a)–(d). The S-parameters of the three models agree well in the frequency range of 0 to 5 GHz. However, the simulation time for the micromodeling circuit and the PEEC model is 4 min 39 s and 1 598 min 13 s, respectively. It is expected that as the size of the original PEEC circuit is reduced by about one order of magnitude, the simulation time for the circuit response is reduced about three orders of magnitude. For reference, the simulation time by ADS, which is exectued by four CPU cores, is 337 min 13 s. Before conducting time-domain simulation, the passivity check needs to be done for the circuit model. It is found that the passivity violation factors for the M matrix of the original PEEC model and the derived micromodeling circuit are 1.8243 × 10−13 and 6.7500 × 10−11 , respectively, although the factors for P and R matrices are zero. The micromodeling circuit derived by the method in [12] contains 592 negative self-inductances, the passivity violation factor of its M matrix is 1.0534 × 10−8 , and the three orders of magnitude larger than that of the circuit model by the proposed

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Responses of the lossy multilayer multiport interconnection circuit. (a) Responses of a pulse input between ports 1 and 3 simulated by PEEC and the micromodeling circuit. (b) Eye diagram at port 3 by the PEEC model. (c) Eye diagram at port 3 by this micromodeling circuit. (d) Eye diagram at port 4 by the PEEC model. (e) Eye diagram at port 4 by this micromodeling circuit. In eye-diagram simulations, ports 1 and 2 are input ports. TABLE IV C OMPARISON OF C OMPUTATION T IME FOR E XAMPLE 2 Fig. 10. S-parameters obtained by PEEC model, this method, ADS, and PRIMA. (a) Magnitude of S-parameters S11 and S13 . (b) Magnitude of S-parameters S22 and S24 . (c) Phase of S-parameters S11 and S13 . (d) Phase of S-parameters S22 and S24 .

method. The passivity condition of the PEEC model and the proposed micromodeling circuit is enforced with computing time of 522 min 38 s and 16 s, respectively. Having had the passivity of the circuits enforced, a sequence of pulse with data rate of 1 Gbit/s and rising/falling edges of 0.1 ns is applied at port 1 while port 2 is terminated by a matched load. The output responses at ports 3 and 4 are simulated by the MNA method. The time-domain responses at port 3 simulated by the passivity enforced PEEC model and the micromodeling circuit are compared in Fig. 11(a), showing very good agreement. However, as shown in Table IV, simulation time of the micromodeling circuit is three orders of magnitude less than that of the PEEC model. The circuit responses with multiple inputs from multiple ports are straightforward. The advantage of this attribute is obvious for the simulation of eye-diagrams, which usu-

ally involves a long pseudoradom binary sequence (PRBS) with different input situations. When the input signals are applied at ports 1 and 2 using a 256-bit PRBS with the same data rate and the rising/falling edge as the short pulse response for Fig. 11(a), the eye-diagrams of the output responses at ports 3 and 4 are simulated by the passivity enforced PEEC model and the micromodeling circuit as shown

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. DOU AND WU: PASSIVE PEEC-BASED MICROMODELING CIRCUIT

in Fig. 11(b)–(e). The eye-diagram simulation of the PEEC model costs 14 942 min 49 s (more than ten days). The simulation time is reduced to 34 min 15 s by adopting the micromodeling circuit using the proposed method. VI. C ONCLUSION This paper presents a derived micromodeling circuit for time-domain simulation of a high-speed interconnection and packaging problem. The derivation process of the physically meaningful concise circuit model is straightforward and does not involve any matrix inversion or decomposition. The process is highly suitable for GPU parallel computation. Compared to existing micromodeling methods, by introducing the pseudoinductor to each grounded potor, the physical essence of the original PEEC model can be accurately retained in the circuit transformation. Since the method can reduce the size of a PEEC model by nearly one order of magnitude, the simulation time for both frequency- and time-domain responses can be reduced by about three orders of magnitude. To make the circuit model applicable for time-domain simulation, a pertinent passivity check and enforcement method is also proposed to guarantee the passivity of the derived micromodeling circuit. Two numerical examples are given to demonstrate the versatility, scalability, accuracy, and simplicity of the proposed method through the comparisons of simulation results with the PEEC model, an existing micromodeling circuit method, and the classical Krylov-based PRIMA MOR models. It is expected that the micromodeling circuit will provide a very effective tool for time-domain simulation of high-speed interconnection and packaging problems. R EFERENCES [1] Fundamentals of Signal Integrity, Tektronix, Beaverton, OR, USA, 2009, p. 2. [2] J. Fan, X. Ye, J. Kim, B. Archambeault, and A. Orlandi, “Signal integrity design for high-speed digital circuits: Progress and directions,” IEEE Trans. Electromagn. Compat., vol. 52, no. 2, pp. 392–400, May 2010. [3] A. E. Ruehli, “Equivalent circuit models for three dimensional multiconductor systems,” IEEE Trans. Microw. Theory Techn., vol. MTT-22, no. 3, pp. 216–221, Mar. 1974. [4] C.-W. Ho, A. Ruehli, and P. Brennan, “The modified nodal approach to network analysis,” IEEE Trans. Circuits Syst., vol. CAS-22, no. 6, pp. 504–509, Jun. 1975. [5] A. E. Ruehli and A. C. Cangellaris, “Progress in the methodologies for the electrical modeling of interconnects and electronic packages,” Proc. IEEE, vol. 89, no. 5, pp. 740–771, May 2001. [6] V. Vahrenholt, H.-D. Brüns, and H. Singer, “Fast EMC analysis of systems consisting of PCBs and metallic antenna structures by a hybridization of PEEC and MoM,” IEEE Trans. Electromagn. Compat., vol. 52, no. 4, pp. 962–973, Nov. 2010. [7] L. K. Yeung and K.-L. Wu, “Generalized partial element equivalent circuit (PEEC) modeling with radiation effect,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2377–2384, Oct. 2011. [8] L. K. Yeung and K.-L. Wu, “PEEC modeling of radiation problems for microstrip structures,” IEEE Trans. Antennas Propag., vol. 61, no. 7, pp. 3648–3655, Jul. 2013. [9] D. Daroui and J. Ekman, “PEEC-based simulations using iterative method and regularization technique for power electronic applications,” IEEE Trans. Electromagn. Compat., vol. 56, no. 6, pp. 1448–1456, Dec. 2014. [10] J. Wang and K.-L. Wu, “A derived physically expressive circuit model for multilayer RF embedded passives,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 1961–1968, May 2006.

13

[11] H. Hu, K. Yang, K. L. Wu, and W. Y. Yin, “Quasi-static derived physically expressive circuit model for lossy integrated RF passives,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 8, pp. 1954–1961, Aug. 2008. [12] Y. Dou and K.-L. Wu, “Direct mesh-based model order reduction of PEEC model for quasi-static circuit problems,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 8, pp. 2409–2422, Jul. 2016. [13] S. Grivet-Talocia and B. Gustavsen, “Passivity,” in Passive Macromodeling: Theory and Applications, 1st ed. Hoboken, NJ, USA: Wiley, 2016, pp. 6–13. [14] L. T. Pillage and R. A. Rohrer, “Asymptotic waveform evaluation for timing analysis,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 9, no. 4, pp. 352–366, Apr. 1990. [15] P. Feldmann and R. W. Freund, “Efficient linear circuit analysis by Padé approximation via the Lanczos process,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 14, no. 5, pp. 639–649, May 1995. [16] A. Odabasioglu, M. Celik, and L. T. Pileggi, “PRIMA: Passive reducedorder interconnect macromodeling algorithm,” IEEE Trans. Comput.Aided Des. Integr. Circuits Syst., vol. 17, no. 8, pp. 645–654, Aug. 1998. [17] F. Ferranti, G. Antonini, T. Dhaene, and L. Knockaert, “Guaranteed passive parameterized model order reduction of the partial element equivalent circuit (PEEC) method,” IEEE Trans. Electromagn. Compat., vol. 52, no. 4, pp. 974–984, Apr. 2010. [18] F. Ferranti, G. Antonini, T. Dhaene, L. Knockaert, and A. E. Ruehli, “Physics-based passivity-preserving parameterized model order reduction for PEEC circuit analysis,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 1, no. 3, pp. 399–409, Mar. 2011. [19] R. W. Freund, “Model reduction methods based on Krylov subspaces,” Acta Numer., vol. 12, pp. 267–319, Jul. 2013. [20] R. W. Freund, “The SPRIM algorithm for structure-preserving order reduction of general RCL circuits,” in Model Reduction for Circuit Simulation. Dordrecht, The Netherlands: Springer, 2011, pp. 25–52. [21] Y. Su, F. Yang, and X. Zeng, “AMOR: An efficient aggregating based model order reduction method for many-germinal interconnect circuits,” in Proc. Design Autom. Conf., San Francisco, CA, USA, 2012, pp. 295–300. [22] C. S. Amin, M. H. Chowdhury, and Y. I. Ismail, “Realizable reduction of interconnect circuits including self and mutual inductances,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 24, no. 2, pp. 271–275, Feb. 2005. [23] Z. Ye, D. Vasilyev, Z. Zhu, and J. R. Phillips, “Sparse implicit projection (SIP) for reduction of general many-terminal networks,” Design Autom. Conf., San Francisco, CA, USA, 2008, pp. 1–2. [24] R. N. Shorten, P. Curran, K. Wulff, and E. Zeheb, “A note on spectral conditions for positive realness of transfer function matrices,” IEEE Trans. Autom. Control, vol. 53, no. 5, pp. 1258–1261, Jun. 2008. [25] S. Boyd, V. Balakrishnan, and P. Kabamba, “A bisection method for computing the H∞ norm of a transfer matrix and related problems,” Math. Control Signals Syst., vol. 2, no. 1, pp. 207–219, Jan. 1989. [26] S. Grivet-Talocia, “Passivity enforcement via perturbation of Hamiltonian matrices,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 9, pp. 1755–1769, Sep. 2004. [27] Z. Bai and R. W. Freund, “Eigenvalue-based characterization and test for positive realness of scalar transfer functions,” IEEE Trans. Autom. Control, vol. 45, no. 12, pp. 2396–2402, Dec. 2000. [28] C. Schroeder and T. Stykel, Passivity Check in Passivation of LTI Systems, document TR-368-2007, 2007. [29] B. Gustavsen and A. Semlyen, “Enforcing passivity for admittance matrices approximated by rational functions,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [30] W. D. C. Boaventura, A. Semlyen, M. R. Iravani, and A. Lopes, “Sparse network equivalent based on time-domain fitting,” IEEE Trans. Power Del., vol. 17, no. 1, pp. 182–189, Jan. 2002. [31] C. K. Alexander and M. N. O. Sadiku, “Magnetically coupled circuits,” in Fundamentals of Electric Circuits, New York, NY, USA: McGraw-Hill, 2007, pp. 564–566. [32] G. H. Golub and C. F. van Loan, “Positive definite system,” in Matrix Computation, 4th ed. Baltimore, MD, USA: The Johns Hopkins Univ. Press, 2013, p. 160. [33] N. J. Higham, “Computing the nearest correlation matrix—A problem from finance,” IMA J. Numer. Anal., vol. 22, no. 3, pp. 329–343, 2002. [34] S. H. Cheng and N. J. Higham, “A modified Cholesky algorithm based on a symmetric indefinite factorization,” SIAM J. Matrix Anal. Appl., vol. 19, no. 4, pp. 1097–1110, Oct. 1998. [35] N. J. Higham, “Computing a nearest symmetric positive semidefinite matrix,” Linear Algebra Appl., vol. 103, pp. 103–118, May 1988.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[36] J. D. Owens, M. Houston, D. Luebke, S. Green, J. E. Stone, and J. C. Phillips, “GPU computing,” Proc. IEEE, vol. 96, no. 5, pp. 879–899, May 2008. [37] Y. Dou and K.-L. Wu, “Acceleration of physically derived micromodeling circuit for packaging problems using graphics processing units,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1638–1640. [38] Y. Dou and K.-L. Wu, “Acceleration of parallel computation for derived micro-modeling circuit by exploiting GPU memory bandwidth limit,” in Proc. IEEE Int. Conf. NEMO, Seville, Spain, May 2017, pp. 146–148.

Yuhang Dou (S’13) received the B.S. degree in electronic engineering from the Nanjing University of Science and Technology, Nanjing, China, in 2012. She is currently pursuing the Ph.D. degree at the Chinese University of Hong Kong, Hong Kong. Her current research interests include partial element equivalent circuit, full-wave circuit domain modeling for signal integrity and electromagnetic compatibility problems, and physics-based modelorder reduction of PEEC model for electromagnetic problems in both the frequency and time domains.

Ke-Li Wu (M’90–SM’96–F’11) received the B.S. and M.Eng. degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, Hamilton, ON, USA, as a Research Engineer and a Group Manager. In 1993, he joined the Corporate Research and Development Division, COM DEV International, as the largest Canadian space equipment manufacturer, where he was also a Principal Member of Technical Staff. Since1999, he has been with the Chinese University of Hong Kong, Hong Kong, where he is a Professor and Director of the Radio Frequency Radiation Research Laboratory. He has authored or co-authored numerous publications in the areas of EM modeling and microwave passive components, and microwave filter and antenna engineering. His current research interests include EM-based circuit domain modeling of high-speed circuits, microwave passive circuits and systems, synthesis theory and practices of microwave filters, antennas for wireless terminals, LTCC-based multichip modules, and RF identification technologies. Prof. Wu is a member of the IEEE MTT-8 Subcommittee (Filters and Passive Components) and also serves as a TPC member for many prestigious international conferences including International Microwave Symposium. He was an Associate Editor of the IEEE MTT-S IEEE T RANSACTIONS ON M ICROWAVE T HEORY T ECHNOLOGY from 2006 to 2009. He was a recipient of the 1998 COM DEV Achievement Award for the development of exact EM design software of microwave filters and multiplexers and Asia–Pacific Microwave Conference Prize in 2008 and 2012.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1215

Single-Band and Switchable Dual-/Single-Band Tunable BPFs With Predefined Tuning Range, Bandwidth, and Selectivity Di Lu , Student Member, IEEE, Xiaohong Tang, Member, IEEE, N. Scott Barker, Senior Member, IEEE, and Yukang Feng Abstract— This paper presents a new kind of highly flexible frequency-agile bandpass filters (FA-BPFs) based on the novel synchronously tuned dual-mode resonator (STDR). The bandwidth (BW), BW variation tendency, passband selectivity (stopband rejection level), and frequency tuning range of the filter can be predefined individually. Benefiting from the unique characteristics of the STDR, the FA-BPF with very simple and highly flexible design/control procedures is achieved. Due to the proposed geometry, two self-adaptive transmission zeros are introduced and move with the passband. The predefined mechanism is investigated in detail, and the simple design and predefined procedures are summarized. Then, three 0.75–1.7 GHz single-band examples with elliptic response are developed to achieve three predefined absolute BWs (ABW). The design techniques and filter superiority are confirmed by the experiments. Moreover, aiming at China 2G/3G/4G cellular wireless/mobile communication system (up to band 40:0.825–2.65 GHz), a novel intrinsically switchable single-/dual-band FA-BPF is presented based on the proposed STDR. An example with a constant ABW1 dB and a fractional bandwidth1 dB (FBW1 dB ) is designed to validate the theory and analysis. The FA-BPF is able to operate as a highly selective dual-band FA-BPF with 0.76–1.78 GHz/1.61–2.63 GHz tuning ranges, and also can be switched to single-band operation with the continuous tuning range of 0.76–2.63 GHz. Index Terms— Predefined bandwidth (BW), predefined stopband, predefined tuning range, switchable single-/dual-band FA-BPF, 2G/3G/4G mobile communications frequency-agile bandpass filters (FA-BPFs).

I. I NTRODUCTION N RECENT decades, electrically tunable planar filters have received increasingly great attention from both the academic world and industry field, due to their ability to

I

Manuscript received May 5, 2017; revised July 14, 2017 and September 12, 2017; accepted October 16, 2017. Date of publication November 27, 2017; date of current version March 5, 2018. This work was supported by the National Natural Science Foundation of China under Grant 61701052. (Corresponding author: Di Lu; Xiaohong Tang.) D. Lu is with the EHF Key Laboratory of Science, School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu, Sichuan 611731, China, and also with the Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904 USA (e-mail: [email protected]; [email protected]). X. Tang is with the EHF Key Laboratory of Science, School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu, Sichuan 611731, China. N. S. Barker and Y. Feng are with the Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA 22904 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772816

substantially reduce size for the multiband transceiver front end and because of their high flexibility for cognitive/softwaredefined radio [1]–[3]. During this period, a large number of high-performance tunable filters were reported to provide possible solutions. However, achieving a broad frequency covering range (50%) is still a challenge for tunable filter design, especially with a constant bandwidth (BW). On the other hand, the emerging carrier aggregation system allows a total BW of up to 100-MHz mobile broadband wireless communications to meet the IMT-advanced requirements [4]–[6]. Such a great expectation places an urgent demand on the wide tuning range dual-band tunable components. Unfortunately, the dual-band tunable filter with a broad tuning range as a promising solution has seldom been reported. This is because the sufficient stopband BW for the second passband, and wide tuning range for two passband are not easy to be achieved simultaneously. For single-band frequency-agile bandpass filters (FA-BPF), several approaches were frequently utilized to handle such a wide frequency tuning range (≥50%) and achieve the constant BW response. The first approach is directly arranging different frequency filters in parallel with channel switches to form the switchable filter banks, such as stepped-impedance resonator -based coupling filter bank [7] and BPF-LPF filter bank [8]. However, these designs required a very large space due to the duplication of filters for each channel. The second approach is elaborately controlling the electric and magnetic coupling between the resonators to realize a relatively stable coupling coefficient over the tuning range, thus resulting in an FA-BPF with constant BW [9]–[14]. The tunable passband with constant BW was obtained by adopting the reported structures, whereas very few of them had a frequency tuning range over an octave (50%) and their selectivity was poor, especially for the highly practical two-pole BPF. This is due to the fact that the mixed coupling technology hindered the self-adaptive TZ generation. The third category is adding the extra variable capacitors to control the coupling directly, thus alleviating the need for the stable coupling structures [15]–[18]. High selectivity could be achieved, but both design procedure and control mechanism were much more complex, because of the extra capacitors and bias. Additionally, adopting tunable multimode resonators to construct an FA-BPF can be classified as the fourth approach. Benefiting from the property that the multiple modes coexist in one resonator without the mutual coupling, the circuit size and design complexity for a given degree

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

of the FA-BPF are reduced. Dual-mode tunable resonators [19] and tri-mode tunable resonators [20] were employed to implement 0.6–1 and 0.6–1.45 GHz frequency agility with constant BW, respectively. However, narrow tuning range [19], complex design, and control procedures [20] limited their development. Aside from the conventional FA-BPF discussed above, three basic approaches were reported to extend the frequency tuning range dramatically. In [21], three standalone two-pole FA-BPFs with 50% tuning range were stacked to cover a 144.4% frequency (0.1–0.62 GHz) tuning range. However, it suffered from bulky volume and the extra switch pairs. In [22], dual zero-value coupling technique were applied into pseudo dual-band FA-BPFs (not a dual-band tunable filter), and the widest tuning range of 0.75–0.99 and 1.05–1.44 GHz could be switched ON and OFF. However, the filter tuning range was segmented, and extra varactors complicated the tuning mechanism and design process. More recently, a continuously tuning FA-BPF with a constant BW covering 0.55–1.9 GHz was reported in [23]. The frequency tuning range could be switched by p-i-n diodes between 0.55–0.99 and 0.99–1.9 GHz, while the resonating structure was switched between two varactor-loaded λ/2 resonators and one varactor-loaded λ/4 resonator. The widest tuning range was implemented; however, the design process was complicated and the selectivity needed to be improved by introducing the transmission zeros. For dual-band FA-BPF, a broad tuning range with high selectivity as well as a constant BW is preferable. However, few reported dual-band FA-BPFs achieved these characteristics simultaneously. In [24], a 0.77–1 GHz/1.57–2 GHz dual-band FA-BPF with a single-band constant BW; in [25], a 1.48–1.8 GHz/2.40–2.88 GHz filter without constant BW; in [26], a 1.15–1.6 GHz/2.12–2.45 GHz filter with one constant BW; in [27], a 0.98–1.22 GHz/1.63–1.95 GHz filter with two constant absolute BW (ABW); in [28], a 1.85–2.67 GHz/3.84–5.34 GHz tunable filter using halfsubstrate integrated waveguide without constant BW; and in [29], a 0.617–0.817 GHz/1.386–1.68 GHz lumped dualband filter with one constant BW were reported. It is found that the tuning range of the reported dual-band FA-BPFs was difficult to cover 50% and their selectivity still needed to be improved (e.g., to achieve elliptic response). The dualband tunable elliptic response can be acquired by utilizing the quasi-BPF configuration with nonresonating nodes [30]–[32]. However, the frequency tuning range and their stopband BW were compromised. Bandpass-to-bandstop switchable filters with single and dual passband were reported in [33], which exhibited many application perspectives because of its flexibility. But their frequency covering range were limited. In this paper, for the sake of providing a solution for cognitive/software-defined radio and addressing aforementioned issues, a simple synchronously tuned dual-mode resonator (STDR) is proposed to achieve a highly selective FA-BPF configuration with the predefined ABW and frequency tuning range. Two resonant modes of STDR can be tuned synchronously by varying only one bias, and their separation and frequency range can be simply controlled by

Fig. 1. Proposed STDR. (a) Transmission line model. (b) Even-mode equivalent circuit. (b) Odd-mode equivalent circuit.

changing varactor-loading positions, shorted stub length, or the stepped-impedance ratio. The design formulas are established, and the predefine mechanism of the proposed STDR are investigated statistically. Based on the proposed resonator, a new highly selective filter is presented. In addition to the BW and tuning range predefine, the proposed filter structure is capable of predefining the passband selectivity (or stopband rejection) by preseting two transmission zeros, and individually predefining the external Q e to obtain the very good impedance matching over the entire frequency tuning range. For demonstration, three 0.76–1.78 GHz FA-BPFs are designed to achieve such a broad frequency covering range and realize different ABW variations: constant ABW (C.ABW), increasing ABW (I.ABW), and decreasing ABW (D.ABW). Finally, to offer a solution for the Carrier Aggregation Systems and cover entire 2G/3G/4G spectrum allocation for IMT in China (0.825–2.65 GHz), a highly selective switchable dual-/single-band FA-BPF technique is proposed. The filter design theory and techniques are investigated in detail. A demonstrative filter are engineered to cover 0.76–1.78 GHz with C.ABW for the first band and 1.61–2.63 GHz with constant fractional BW (FBW) for the second band. When it switches to the single-band FA-BPF, the filter is able to be continuously tuned from 0.76 to 2.63 GHz. To the best of the authors’ knowledge, a dual-band FA-BPF with nearly constant BW covering the entire 2G/3G/4G spectrum is achieved for the first time. II. BASIC T HEORY AND T ECHNOLOGY A. Synchronously Tuned Dual-Mode Resonator Fig. 1(a) presents the proposed STDR, where an E-shape stepped-impedance dual-mode resonator with low-admittance sections (Y1 , θ1 ), high-admittance sections (Y2 , θ2 ), and shorted stub section (Ys , θs ), is controlled by only two variable capacitors. The capacitors are loaded at the low admittance sections with an electrical length of θt from the center plane. Considering the symmetrical structure, the even-odd mode analysis method is taken into account by adding the magnetic wall and electric wall to facilitate the analysis. The corresponding circuits are given in Fig. 1(b) and (c). Thus, the even-mode and odd-mode admittance can be calculated by Yinc2 = ( j Y2 tan θ2 ) Yinc2 + j Y1 tan(θ1 − θt ) Yinc1 = Y1 Y1 + j Yinc2 tan(θ1 − θt )

(1) (2)

LU et al.: SINGLE-BAND AND SWITCHABLE DUAL-/SINGLE-BAND TUNABLE BPFs

1217

Fig. 2. Typical response of the STDR using the week couple excitation and the resonant mode variation by tuning Ct form 0.69 to 14 pF.

Yinc = Yine2 = Yine1 = Yino1 = Yine =

Yinc1 j ωCt Yinc1 + j ωCt (− j Ys cot θs )/2 Yine2 + j Y1 tan θt Y1 Y1 + j Yine2 tan θt (− j Y1 cot θt ) Yine1 + Yinc

Yino = Yino1 + Yinc

(3) (4) (5) (6) (7) (8)

where the reference frequency is f d . Under the resonant condition (Im{Yine/ino} = 0), the resonant mode frequencies are extracted by calculating (1)–(8). To investigate the STDR, its typical response needs to be checked first, which is obtained by using the weak coupling excitation method [34]. As shown in Fig. 2, the two resonant modes are tuned simultaneously by changing Ct , and the separation between them is notably stable as the frequency increases. Synchronism of two resonant modes can be observed in the inset of Fig. 2. As a result, the proposed STDR is highly suitable for FA-BPF designs. Assuming the center frequency equal to the arithmetic average of the two resonant modes, f cen = ( fo + f e )/2, and the BW equal to the separation, f BW = f o − f e , the proposed STDR can be quantitively characterized by solving the equation Im{Yine/ino } = 0. Additionally, the commercial varactor model, SMV1281 with a tuning range from Ctmin = 0.69 pF to Ctmax = 14 pF is chosen as the tuning device. Thus, the tuning range can be defined as α=

[ f o (Ctmin ) − f e (Ctmin )] − [ f o (Ctmax ) − f e (Ctmax )] . {[ f o (Ctmin ) − f e (Ctmin )] + [ f o (Ctmax ) − f e (Ctmax )]}/2 (9)

When stepped-impedance ratio k = Y2 /Y1 is set, the relationship between k and α can be obtained, as shown in Fig. 3(a). For given parameters, when the larger stepped-impedance ratio is chosen the wider frequency tuning range can be achieved. It is seen in Fig. 3, using uniform impedance STDR, the tuning range is about 0.6, which has been reported in [35]. In this paper, stepped-impedance ratio k = 3 is utilized to extend the frequency tuning range to about 0.73. Thus, the frequency tuning range can be approximately predefined by choosing the appropriate k. Comparing with the uniform impedance STDR, the steppedimpedance STDR is not only used to control the frequency tuning range but also to reduce the total size of the resonator.

Fig. 3. (a) Frequency tuning range versus stepped-impedance ratio k. (b) Size reduction ratio versus stepped-impedance ratio k. (c) Fundamental/spurious passband frequency ratio versus tuning capacitor with different k.

Fig. 3(b) presents the size reduction ratio versus the steppedimpedance ratio k, where the size reduction ratio is defined as the length ratio between stepped-impedance STDR and uniform impedance STDR. As shown, the larger the steppedimpedance ratio, the smaller is the STDR size. When steppedimpedance ratio is set as 3, the length of STDR is reduced to 77%. Stopband performance is also important for the exploitation of wide tuning range or multiband or multichannel filter applications. For planar filters, the stopband performance is mainly determined by the spurious passband location. To investigate the spurious-band performance, the center frequency of the spurious passband is assumed as the arithmetic average of the two harmonic resonant modes f cen2 = ( f o2 + fe2 )/2, where fo2 and fe2 are the first harmonics of the odd- and even-mode resonant frequencies. Thus the frequency ratio of fundamental/spurious passbands can be defined as f o2 (C) + f e2 (C) f cen2 . (10) = f cen f o (C) + f e (C) Fig. 3(c) shows the fundamental/spurious passband frequency ratio with the same parameters given in Fig. 3(a). As shown, the frequency ratio β changes as the frequency is tuned by tuning the capacitor. However, the frequency ratio β is dominated by k. When k is adjusted from 1 (uniform impedance STDR) β=

1218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 5. Schematic layout and coupling topology of the single-band FA-BPFs for (a) C.ABW and I.ABW, and (b) D.ABW. (c) Coupling topology and STDR dimensions. TABLE I E LECTRICAL PARAMETERS OF THE U SED STDR FOR T HREE C ASES

Fig. 4. Ct versus f BW and f cen . (a) With different θt where θs = 9°. (b) With different θs where θt = 0.5°.

to 3 (used in this paper), spurious-band free space (upper stopband) increases by almost one third, which provides sufficient space for wide tuning range and multichannel filter applications. Uniquely, the separation between the two modes is controllable. To investigate this feature, the following dimensions f d = 1.2 GHz, k = 3, Y2 = 1/25 S, Ys = 1/75 S, θ1 = 53°, θ2 = 46° are used and the initial values are set as θs = 9° and θt = 7°, thus allowing the fcen covering 0.75–1.7 GHz. Fig. 4(a) and (b) shows the f BW and f cen variations with different θs and θt by tuning the capacitor Ct . As shown in Fig. 4(a), changing θt adjusts the separation of two resonances f BW in the high-frequency area (small Ct ) with almost no influence on the entire fcen curve or f BW in the low-frequency area (large Ct ). When θt is set as θt = 7°, an approximately constant frequency separation f BW (Ct = 0.69) = f BW (Ct = 14) ≈ 120 MHz are achieved. Thus, when the condition θt > 7° or θt < 7° are given, an increasing fBW or decreasing f BW can be obtained, respectively. In addition, as shown in Fig. 4(b), adjusting the length of the shorted stub θs affects the frequency separation f BW location. It is seen that with the variation of θs , the tuning range of fBW is relocated while the f cen curve and f BW variation tendency are almost fixed. When θs varies from 7° to 15°, the f BW independently changes from narrow BW (small f BW ) to wide BW (large f BW ) with a nearly constant curve shape. Therefore, the variation tendency of two resonant modes and BW range location can be independently predefined by choosing appropriate θt and θs . B. External Quality Factor Q e and Stopband Predefined Technologies Table I lists the electrical parameters of three demonstrative STDRs which are engineered to achieve C.ABW, I.ABW, and D.ABW. Two quite useful filter layouts are given in

Fig. 5(a) and (b), while their corresponding coupling topology and physical dimensions are shown in Fig. 5(c). The substrate Rogers RT/duroid 5880 (h = 0.508 mm, εr = 2.2, tan δ = 0.0009) and Skyworks SMV1281-079LF (L s = 0.7 nH, Rs = 1.7 , Ct = 0.69–14 pF) are adopted to demonstrate the external quality factor Q e and stopband predefined technologies. For analysis and design convenience, the external quality factor of the filter is defined as the arithmetic average of evenmode and odd-mode external quality factors Q e = (Q exe + Q exo )/2. Thus, with the given parameters, Q e curves for filter 1 [Fig. 5(a)] can be extracted, as shown in Fig. 6. Apparently, when w0 is adjusted from 0.1 to 3 mm, the Q e curve changes from increase to decrease, and when the st is adjusted from 0.05 to 0.2 mm, the Q e curve moves from small value area to large with the nearly constant shape. For filter 2 [Fig. 5(b)], it shows the same characteristic which is not repeatedly discussed here. Therefore, it is concluded that the slope and the value of Q e are predefined by choosing appropriate w0 and st . Comparing with two feeding structures, the second one [Fig. 5(b)] provides the stronger coupling leading to the smaller Q e . In the proposed filter structures, source-load coupling which is controlled by wio or gio affects the stopband performance a lot. Fig. 7 presents the typical responses when only wio or gio is adjusted. Obviously, source-load coupling introduces two transmission zeros at both sides of the passband. By increasing wio or decreasing gio , the two transmission zeros move toward passband resulting in the higher passband selectivity and compromised stopband rejection level. As a conclusion, the designer can choose wio or gio to predefine the desired stopband and selectivity performance without affecting the in-band performance.

LU et al.: SINGLE-BAND AND SWITCHABLE DUAL-/SINGLE-BAND TUNABLE BPFs

1219

f exe/exo π f e/o τe/o = Q exe/exo =

f ±90 2  −1 S21 = 2(1/Q e )[ A] N,1 S11 = 1 − 2(1/Q e )[ A]−1 1,1 .

(14) (15)

Therefore, the complete design procedure for this type of FA-BPFs is summarized as follows. 1) According to the prescribed center frequency, ripple level, frequency tuning range, BW, and BW variation, extract the element-variable coupling matrix [36], [37]. 2) Specify the electrical parameters according to (1)–(8) and physical dimensions by EM design to approach the required coupling element curves. (Specify k to set the tuning range, specify θt to set the slope of BW variation, and specify θs to set the BW.) 3) Add feeding structure to implement the filter. Optimize w0 and st to approach the prescribed Q e curves (filter ripple level), and optimize wio or gio to approach the desired stopband.

Fig. 6. Extratced Q e curves by (a) adjusting w0 with st = 0.12 mm and (b) adjusting st with w0 = 1.5 mm.

Fig. 7. Typical passband responses by adjusting wio = 1/2/4 mm for (a) C.ABW filter and (b) I.ABW filter, or gio = 0.05/0.2/1 mm for (c) D.ABW.

C. Design and Predefined Procedure According to [36], a dual-mode tunable filter can be represented by a denormalized element-variable coupling matrix as     (Q exe + Q exo ) x 0 m ee m eo m = = Qe = 0 y m oe m oo 2 (11) (12) x = m ee = ( f e / f d − f d / f e ) y = m oo = ( f o / f d − f d / f o )

(13)

III. S INGLE -BAND FA-BPF S W ITH S ELECTIVITY P REDEFINE A. Design In this section, three examples are designed with C.ABW, I.ABW, and D.ABW. Three FA-BPFs utilize the same STDR with different shorted stub and capacitor loading positions as discussed before. The feeding structure 1 [Fig. 5(a)] is adopted for C.ABW and I.ABW filter design, while the feeding structure 2 [Fig. 5(b)] is adopted for D.ABW filter because of its stronger coupling requirement. The substrate Rogers RT/duroid 5880 and SMV1281-079LF are adopted for the designs, while the full-wave EM simulator ANSYS HFSS and circuit simulator Keysight ADS are employed for the physical dimension optimization. The target performance of the filters are summarized as follows: 1) f cen : 0.75–1.7 GHz; 2) BW1 dB : 85 MHz/100–300 MHz/130–80 MHz for C.ABW/I.ABW/D.ABW. To achieve the desired ABW variation tendency in the three cases, the function curves of Q e are required to be specified by optimizing w0 for the slope of Q e , and optimizing st for the values of Q e . It is worth to be noted that the feeding structure optimization, is used not only to control the coupling strength (Q e ), but also to help to stabilize the BW variation. For instance, for I.ABW filter design, it can help to enlarge the BW at the lower frequency area (large Ct ) and reduce the BW at the upper-frequency area (small Ct ). According to [36], a frequency-fixed filter is considered for the three cases with the following specifications. Chebyshev: f d = 1.2 GHz

FBW = 8.75%

RL = 15 dB.

(16)

The associated coupling matrix can be extracted using the method described in [37] as x = 0.1126

y = −0.1126

Q e = 21.26.

(17)

1220

Fig. 8.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Desired and extracted Q e for the three FA-BPFs.

Thus, for the dual-mode tunable filter, three possible coupling matrices (18)–(20) with variable elements are obtained as the design target. C.ABW: 1.024 > x > −0.6

0.7 > y > −0.75

14.11 < Q e < 30.4. (18)

I.ABW: 1.024 > x > −0.543

0.7 > y > −0.95

30.4 < Q e < 11.83.

(19)

D.ABW: 1.04 > x > −0.525 10.56 < Q e < 33.6.

0.7 > y > −0.626 (20)

Three calculated Q e curves, as the target of the EM optimization process, are depicted in Fig. 8. With these design parameters, I/O feeding structures of the three filters can be designed by virtue of the full-wave simulation. The final Q e curves are compared with the calculated ones, as shown in Fig. 8. The associated dimensions are w0 = 0.45 mm, st = 0.12 mm for Fig. 5(a) and w0 = 0.2 mm, st = 0.1 mm for Fig. 5(b). After the EM design process for taking into account the parasitic effects, all the dimensions are determined and passband responses are specified. Following the design procedure, at this point, the passband selectivity (or stopband rejection level) can be predefined by adjusting the source-load coupling structures (i.e., wio for C.ABW filter and I.ABW filter, and gio for D.ABW filter) as discussed before. wio = 2 mm and gio = 0.2 mm are used, and the demonstrative circuits are fabricated accordingly. The photographs for three examples and their key dimensions are presented in Fig. 9(a)–(c). B. Measurement Three fabricated FA-BPFs are measured by the National Instruments’ vector network analyzer, while a 0–25 V dc bias is fed to the varactors through the RF block resistors R = 10 k . The measurement and simulation results are shown in Fig. 10(a)–(c). As can be seen, the center frequency of the C.ABW FA-BPF is tuned from 0.76 to 1.78 GHz

Fig. 9. Photographs of the three FA-BPFs with (a) C.ABW, (b) I.ABW, and (c) D.ABW.

with a highly selective passband, and it exhibits an approximate C.ABW1 dB 84 ± 14 MHz. For the I.ABW FA-BPF and D.ABW FA-BPF, the center-frequency tuning range are 0.75–1.87 and 0.74–1.69 GHz, respectively, while the ABWs are changed from 75 to 285 MHz and from 151 to 82 MHz. The highly selective passband is maintained due to two selfadaptive transmission zeros. Note that, for D.ABW FA-BPF [Fig. 10(c)], the extra transmission zero ( f z3 ), introduced by the terminated parallel-coupled line [38], further strengthens the upper stopband rejection. Fig. 11 summarizes the ABW and insertion loss performance. The ABW1 dB variation tendencies of the three filters agree well with simulations, and the insertion losses are 4.5–2, 4.2–1.2, and 2.8–1.8 dB, respectively, for C.ABW, I.ABW, and D.ABW. As verified in [39], the 1-dB compression point (P1 dB ) is not able to truly represent the large-signal S-parameters in tunable filters since the response shifts in frequency at lower power levels. Therefore, the responses of the three FA-BPFs with the different input power levels are measured. As shown in Fig. 12, the three filters can handle at least 13-dBm input signal without a considerable distortion over the tuning range. The input third-order intercept points (IIP3s) of the filters, using 2-MHz spacing two tones, are measured following the method [35]. As shown in Fig. 13, the measurement results are 11–20 dBm IIP3 for C.ABW filter, 15.5–25.5 dBm IIP3 for I.ABW filter and 18.5–23 dBm IIP3 for decreasing filter. Table II lists the performance comparisons between the three prototype filters and the other high-performance tunable filters.

LU et al.: SINGLE-BAND AND SWITCHABLE DUAL-/SINGLE-BAND TUNABLE BPFs

1221

TABLE II P ERFORMANCE C OMPARISONS OF M EASURED R ESULTS W ITH O THER T UNABLE F ILTERS

Fig. 11.

Measured insertion loss and ABW1 dB versus frequency.

Fig. 12.

Measured responses with different power levels of input signal.

elements and one bias are needed. The ability to simultaneously predefine the ABW, stopband performance, Q e and frequency tuning range of a tunable filter ABW is achieved for the first time. The relatively larger BW deviation for the C.ABW BPF is mainly because of the wide frequency tuning range [19]. Fig. 10. Measurement and simulation results of the three demonstration FA-BPFs with (a) 0.76–1.78 GHz f cen and C.ABW1 dB 84 ± 14 MHz, (b) 0.75–1.87 GHz f cen and I.ABW1 dB from 75 to 285 MHz, and (c) 0.74–1.69 GHz f cen D.ABW1 dB from 151 to 82 MHz.

IV. I NTRINSICALLY S WITCHABLE D UAL -/S INGLE -BAND FA-BPF W ITH C ONSTANT ABW AND FBW A. Design Theory and Analysis

The broad frequency tuning ranges with highly selective tunable passbands are highlighted. The straightforward filter design/control procedures are obtained since only two tuning

Coupling topology of the switchable dual-/single-band FA-BPF is proposed in Fig. 14. Two coupling channels with two STDRs constitute two tunable passbands, and source/load

1222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 16.

Switch-ON and -OFF states of the C.ABW FA-BPF.

Fig. 13. Measured IIP3 of the three FA-BPFs with 2-MHz spaced frequency two tones.

Fig. 14. Coupling topology of the intrinsically switchable dual-/singleband FA-BPF. (a) Dual-band operation. (b) Single-upper band operation. (c) Single-lower band operation.

Fig. 15. Extracted Q e curves of the proposed C.ABW FA-BPF in Fig. 9(a) with and without loading another STDR.

coupling generates four self-adaptive transmission zeros to form the steep passband skirts. When the filter works as a single-band FA-BPF, as shown in Fig. 14(b) and (c), one passband is switched OFF, and the other is maintained. Since the dual-band operation works at different frequencies and the parallel-coupled line feeding topology are adopted, the loading effects of one channel to the other channel are negligible. In order to demonstrate this negligible loading effect, another high-frequency STDR is loaded on C.ABW FA-BPF (insets of Fig. 15), and thus the Q e curves with and without the loaded STDR can be extracted. Fig. 15 presents two extracted Q e curves. As shown, there is only a small discrepancy between them in the high-frequency area, which is negligible for tunable filter design. Besides, For this case, the loading effect further linearizes the Q e curve of the filter which results in the better return loss over the tuning range. Based on the analysis above, each frequency channel is independent and can be separately designed without considering

the loading effect. Thus, the design theory is different from the design topology in [40]. Switch-OFF state of the proposed filters (Fig. 9) is needed to be exploited to enable the single band operation for the dualband filter. Aside from the simple one-bias control, applying different voltages to two varactors in an STDR leads to the impedance mismatch. Taking the proposed C.ABW FA-BPF as an example (Fig. 16), it can be found when 0/25 V voltages apply to the varactors, the passband collapses with −20 dB S12 . The corresponding Smith chart shows that the passband frequency shifts from the center point to the edge of the circle which indicates that the filter switches from match to mismatch. At this point, the analysis above has confirmed the realizability of the coupling topology. Independently designing, tuning and switching OFF the each passband of the filter are all available. To cover entire 2G/3G/4G spectrum allocation for IMT in China (0.825–2.65 GHz), the C.ABW FA-BPF [Fig. 9(a)] has been considered as the lower band channel. Then, the remaining frequency range is 1.78–2.65 GHz (35.2%), which can be easily covered by adopting the uniform impedance STDR [35]. To further exhibit the flexibility of the STDR and simplify the design procedure, the uniform impedance STDR with constant FBW is considered to achieve the upper channel. Besides, the highest frequency of the second passband is arranged far away from the spurious band to avoid the spur loading effects. B. Design The proposed structure of switchable dual-/single-band FA-BPF is depicted in Fig. 17(a). The desired performance of the ideal dual-/single-band FA-BPF is specified as follows: 1) f cen : 0.8–1.75 GHz/1.7–2.65 GHz; 2) BW1 dB : 84 MHz/5%. According to [36], the filter prototype with the specifications (21) is used for the upper band design. The element-fixed matrix (22) derived by the method [37] is employed. The Q e is fixed, because of the constant FBW. Butterworth: fd = 2.1 GHz

FBW = 5.5%

0.467 > x > −0.43

RL = 15 dB

0.39 > x > −0.508

(21)

Q e = 43.25. (22)

As discussed before, uniform impedance STDR is adopted to achieve the upper band as shown in Fig. 17(b). Following

LU et al.: SINGLE-BAND AND SWITCHABLE DUAL-/SINGLE-BAND TUNABLE BPFs

Fig. 19.

1223

Photographs of dual/single switchable FA-BPF.

Fig. 17. Switchable dual-/single-band FA-BPF. (a) Schematic layout. (b) Constant FBW STDR with specifications. (c) FBW and center-frequency response of the FBW STDR.

Fig. 18.

Desired and extracted Q e for the upper band.

the design method given in [35], the electrical parameters of the desired STDR are obtained and presented in Fig. 17(b) as well. The αFBW and f cen curves as functions of Ct are calculated and plotted in Fig. 17(c), where αFBW = fcen / f bw is utilized to predefine the constant FBW1 dB . As shown, with changing Ct from 0.69 to 14 pF, the center frequency varies from 2.65 to 1.69 GHz while the αFBW is kept nearly a constant (0.0389–0.0395). With the calculated electrical parameters of the uniform STDR, the filter dimensions can be obtained by the EM design according to the design procedure, and the desired Q e can be approximately achieved by optimizing stb and w0 . The final extracted Q e and required Q e curves with the associated physical dimensions are presented in Fig. 18. The reasonable agreement between two curves is acceptable for the tunable filter design. Additionally, Fig. 19 also presents the extracted Q e curve with loading effect. It is seen, the loading effect makes a little impact on the Q e curve in the low-frequency area, but this impact is negligible as well.

Fig. 20. Measurement and simulation results of the dual-band FA-BPF. (a) Tuning the first band from 0.76 to 1.78 GHz with fixed second band at 2.63 GHz and C.ABW 79 ± 9 MHz. (b) Tuning the second band from 1.6 to 2.63 GHz with fixed first band at 0.76 GHz and constant FBW 5.05% ± 0.25%.

Thus, all dimensions are determined, and the corresponding filter is fabricated on 0.508 mm Rogers RT/duroid 5880. Fig. 19 shows the photograph and physical dimensions of the fabricated switchable dual-/single-band tunable filter. The dimensions of the lower band channel structures are the same as the C.ABW FA-BPF in Section III. C. Measurement By applying one-bias Vt-lower to lower band varactors Ct a and one-bias Vt-upper to upper band varactors Ct b , two highly selective passband can be individually controlled. Fig. 20(a) and (b) present the measurement and EM simulation results of the demonstrative FA-BPF for the dualband operation. Excellent agreement is obtained for both the lower band and upper band. As shown in Fig. 20(a), by adjusting Vt-lower from 0 to 25 V and keeping Vt-upper at 25 V, the first passband is tuned from 0.76 to 1.78 GHz with C.ABW 79±9 MHz while the second passband is kept fixed at 2.63 GHz. As shown in Fig. 20(b), when Vt-lower is fixed at 0 V and Vt-upper is adjusted from 0 to 25 V, the first passband is kept

1224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 21. Measured insertion loss, ABW1 dB , and FBW1 dB versus frequency.

Fig. 22. Measured responses of the dual-band FA-BPF with different power levels of input signal.

unchanged at 0.76 GHz and the second passband is tuned from 1.6 to 2.63 GHz with a fixed FBW1 dB 5.05% ± 0.25%. Four self-adaptive transmission zeros move with two passbands tuning resulting in high selectivity for both two passbands over the entire tuning range. Note that the ABW deviation of the first passband is smaller than the filter in Section III because the stronger source/load coupling and the loading effect further restricts the ABW variation. Fig. 21 summarizes the dual-band operation performance of the demonstrative filter. As observed, the insertion losses of the first band (0.76–1.78 GHz) and the second band (1.6–2.63 GHz) vary from 4.224 to 2.2 dB, and from 4.78 to 2 dB, respectively. The ABW of the first band is 79 ± 9 MHz and the FBW of the second band is 5.05% ± 0.25%. Fig. 22 presents the measured responses with different input power levels. As shown, the filter can handle 13-dBm input signal over the tuning range without a considerable distortion. The higher frequency, the less distortion there is in the passband response. Fig. 23 presents the measured IIP3 of the two passbands with 2 MHz spaced two tones. The IIP3 varies from 11.65 to 19.38 dBm for the first band, and from 15.11 to 26.65 dBm for the second band. Table III presents the comparisons between the presented dual-band filter with the other high-performance dual-band tunable filters. The proposed filter exhibits the widest frequency tuning range for both the first and second band because the proposed stepped-impedance STDRs provide the wide tuning range and broad stopband space. Meanwhile, the advantages, which are inherited from the single band STDR filters such as the high selectivity, C.ABW/FBW, and the simple design/control procedures, also demonstrate the superiority of the proposed filter.

Fig. 23. Measured IIP3 of the dual-band FA-BPF with 2-MHz spaced frequency two tones.

Fig. 24. Measurement and simulation results of the single-band operation with continuous frequency tuning range from 0.76 to 2.63 GHz (five typical responses for demonstration), while the applied voltages are indicated as v t-lower1 /v t-lower2 /v t-upper1 /v t-upper2 .

Applying different voltages to the two varactors in the same STDR will switch OFF the associated passband, as discussed before. Then, the two passbands of the dual-band FA-BPF can work as a single-band tunable filter with very broad tuning range by switching ON and OFF the tunable passbands. Fig. 24 presents the measured and simulated S-parameters of the switchable dual-/single-band FA-BPFs in the singleband operation state. As can be seen, the frequency tuning range covers 0.76–2.63 GHz (110.3%) and the highly selective passband is continuously tuned with around 15-dB switch-OFF isolation. Meanwhile, the in-band performance of the singleband operation is the same as that of the dual-band operation, which confirms that switching OFF one passband does not affect the other passband performance. D. Further Discussion Fig. 25 presents the measured impedance responses of all-ON state, first band-OFF state, and second band-OFF state. It is seen that, in ON state (blue solid line), the two center frequencies are close to the ideal impedance match point (center point). However, when it works in the OFF states, the centerfrequency shifts to the edge of the Smith chart, which implies considerable impedance mismatch [22]. The dual-band impedance response for the switch-ON and -OFF states is the same as single band’s response. It is seen from Fig. 20, there is an overlapping region between two passband tuning ranges, thus it is possible to set two passbands at the same frequency. However, when they are close together, two passbands will undermine each other instead of merging. This is because the loading effect significantly affects the input impedance when two passband fre-

LU et al.: SINGLE-BAND AND SWITCHABLE DUAL-/SINGLE-BAND TUNABLE BPFs

1225

TABLE III P ERFORMANCE C OMPARISONS OF M EASURED R ESULTS W ITH O THER D UAL -BAND T UNABLE F ILTERS

Fig. 26.

Frequency response with two very close passbands.

reject them by introducing the p-i-n diode-based switchable stubs [41], [42], or move them out of the stopband by adding extra p-i-n diodes at the end of STDRs [43], [44].

Fig. 25. Measured impedance of the ON state, 1 OFF state, and 2 OFF state when v t-lower1 /v t-lower2 /v t-upper1 /v t-upper2 = 0/0/13/13 V for ON state, v t-lower1 /v t-lower2 /v t-upper1 /v t-upper2 = 0/25/13/13 V for 1 OFF state, and v t-lower1 /v t-lower2 /v t-upper1 /v t-upper2 = 0/0/0/25 V for 2 OFF state. (a) S21 responses. (b) Impedance responses in Smith chart.

quencies are close enough. Fig. 26 presents the response when two passbands operate at the same frequency (1.63 GHz). As can be seen, the insertion loss of the first passband deteriorates and the center-frequency shifts toward lower frequency area, while the second passband collapses completely. Comparing to the single band FA-BPF, the single band operation of the filter has poor stopband rejection (Fig. 25), because the OFF-state resonant poles (e.g., 2.6-GHz spur) appear at the stopband and cannot be completely rejected by the impedance mismatch. Nevertheless, it is possible to further

V. C ONCLUSION This paper has proposed a new type of STDR with the controllable frequency tuning range and predefined BW and selectivity characteristics. The control mechanism and adjustment method of the resonator have been investigated. Based on the proposed STDR, three very simple and practical FA-BPFs with predefined ABW1 dB and highly selective responses have been designed, while their selectivity predefine (or stopband predefine) and Q e control ability have also been investigated. The experimental results of the demonstrative C.ABW, D.ABW, and I.ABW filters have validated the analysis and design method. Moreover, a switchable dual-/single-band FA-BPF has been proposed and investigated to realize one C.ABW tunable channel and one constant FBW tunable channel. The experimental results of the demonstrative filter have confirmed the design theory and analysis and achieved the frequency coverage of the entire China 2G/3G/4G cellular wireless/mobile communication spectrum for the first time. R EFERENCES [1] G. M. Rebeiz et al., “Tuning in to RF MEMS,” IEEE Microw. Mag., vol. 10, no. 6, pp. 55–72, Oct. 2009. [2] B. Perlman, J. Laskar, and K. Lim, “Fine-tuning commercial and military radio design,” IEEE Microw. Mag., vol. 9, no. 4, pp. 95–106, Aug. 2008. [3] M. Sherman, A. N. Mody, R. Martinez, C. Rodriguez, and R. Reddy, “IEEE standards supporting cognitive radio and networks, dynamic spectrum access, and coexistence,” IEEE Commun. Mag., vol. 46, no. 7, pp. 72–79, Jul. 2008. [4] M. Iwamura, K. Etemad, M.-H. Fong, R. Nory, and R. Love, “Carrier aggregation framework in 3GPP LTE-advanced [WiMAX/LTE update],” IEEE Commun. Mag., vol. 48, no. 8, pp. 60–67, Aug. 2010. [5] Z. Shen, A. Papasakellariou, J. Montojo, D. Gerstenberger, and F. Xu, “Overview of 3GPP LTE-Advanced carrier aggregation for 4G wireless communications,” IEEE Commun. Mag., vol. 50, no. 2, pp. 122–130, Feb. 2012.

1226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

[6] Y. Rui, P. Cheng, M. Li, Q. T. Zhang, and M. Guizani, “Carrier aggregation for LTE-advanced: Uplink multiple access and transmission enhancement features,” IEEE Wireless Commun., vol. 20, no. 4, pp. 101–108, Sep. 2013. [7] P. W. Wong and I. Hunter, “Electronically tunable filters,” IEEE Microw. Mag., vol. 10, no. 6, pp. 46–54, Oct. 2009. [8] F. Gentili, L. Urbani, G. Bianchi, L. Pelliccia, and R. Sorrentino, “P-I-N-diode-based four-channel switched filter bank with low-power TTL-compatible driver,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3333–3340, Dec. 2014. [9] S. J. Park and G. M. Rebeiz, “Low-loss two-pole tunable filters with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 5, pp. 1137–1148, May 2008. [10] X. Y. Zhang, Q. Xue, C. H. Chan, and B.-J. Hu, “Low-loss frequencyagile bandpass filters with controllable bandwidth and suppressed second harmonic,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 6, pp. 1557–1564, Jun. 2010. [11] Q. Xiang, Q. Feng, X. Huang, and D. Jia, “Electrical tunable microstrip LC bandpass filters with constant bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1124–1130, Mar. 2013. [12] Z. Zhao, J. Chen, L. Yang, and K. Chen, “Three-pole tunable filters with constant bandwidth using mixed combline and split-ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 10, pp. 671–673, Oct. 2014. [13] B.-W. Kim and S.-W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [14] A. Anand, J. Small, D. Peroulis, and X. Liu, “Theory and design of octave tunable filters with lumped tuning elements,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4353–4364, Dec. 2013. [15] C.-C. Cheng and G. M. Rebeiz, “High-Q 4–6-GHz suspended stripline RF MEMS tunable filter with bandwidth control,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2469–2476, Oct. 2011. [16] Y.-C. Chiou and G. M. Rebeiz, “A tunable three-pole 1.5–2.2-GHz bandpass filter with bandwidth and transmission zero control,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2872–2878, Nov. 2011. [17] P.-L. Chi, T. Yang, and T.-Y. Tsai, “A fully tunable two-pole bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 5, pp. 292–294, May 2015. [18] T. Yang and G. M. Rebeiz, “Tunable 1.25–2.1-GHz 4-pole bandpass filter with intrinsic transmission zero tuning,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1569–1578, May 2015. [19] W. Tang and J.-S. Hong, “Varactor-tuned dual-mode bandpass filters,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2213–2219, Aug. 2010. [20] J.-R. Mao, W.-W. Choi, K.-W. Tam, W. Q. Che, and Q. Xue, “Tunable bandpass filter design based on external quality factor tuning and multiple mode resonators for wideband applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2574–2584, Jul. 2013. [21] J. S. Sun, N. Kaneda, Y. Baeyens, T. Itoh, and Y.-K. Chen, “Multilayer planar tunable filter with very wide tuning bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2864–2871, Nov. 2011. [22] Y.-H. Cho and G. M. Rebeiz, “Tunable 4-pole noncontiguous 0.7–2.1-GHz bandpass filters based on dual zero-value couplings,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1579–1586, May 2015. [23] F. Lin and M. Rais-Zadeh, “Continuously tunable 0.55–1.9-GHz bandpass filter with a constant bandwidth using switchable varactor-tuned resonators,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 792–803, Mar. 2016. [24] X. Huang, L. Zhu, Q. Feng, Q. Xiang, and D. Jia, “Tunable bandpass filter with independently controllable dual passbands,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3200–3208, Sep. 2013. [25] G. Chaudhary, Y. Jeong, and J. Lim, “Dual-band bandpass filter with independently tunable center frequencies and bandwidths,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 107–116, Jan. 2013. [26] T. Yang and G. M. Rebeiz, “Three-pole 1.3–2.4-GHz diplexer and 1.1–2.45-GHz dual-band filter with common resonator topology and flexible tuning capabilities,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3613–3624, Oct. 2013. [27] Z. H. Chen and Q. X. Chu, “Dual-band reconfigurable bandpass filter with independently controlled passbands and constant absolute bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 2, pp. 92–94, Feb. 2016. [28] C.-X. Zhou, C.-M. Zhu, and W. Wu, “Tunable dual-band filter based on stub-capacitor-loaded half-mode substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 147–155, Jan. 2017.

[29] J. Xu, W. Wu, and G. Wei, “Novel dual-band bandpass filter and reconfigurable filters using lumped-element dual-resonance resonators,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1496–1507, May 2016. [30] R. Gómez-García and A. C. Guyette, “Reconfigurable multi-band microwave filters,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1294–1307, Apr. 2015. [31] D. Psychogiou, B. Vaughn, R. Gómez-García, and D. Peroulis, “Reconfigurable multiband bandpass filters in evanescent-mode-cavity-resonator technology,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 3, pp. 248–250, Mar. 2017. [32] R. Gómez-García, A. C. Guyette, D. Psychogiou, E. J. Naglich, and D. Peroulis, “Quasi-elliptic multi-band filters with center-frequency and bandwidth tunability,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 3, pp. 192–194, Mar. 2016. [33] N. Kumar and Y. K. Singh, “RF-MEMS-based bandpass-to-bandstop switchable single- and dual-band filters with variable FBW and reconfigurable selectivity,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 10, pp. 3824–3837, Oct. 2017. [34] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York, NY, USA: Wiley, 2001. [35] D. Lu, N. S. Barker, and X. Tang, “A simple frequency-agile bandpass filter with predefined bandwidth and stopband using synchronously tuned dual-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 11, pp. 983–985, Nov. 2017. [36] D. Lu, B. N. Scott, M. Li, and X. Tang, “Synthesis-applied tunable dualmode BPF with highly selective passband and reconfigurable stopband,” IEEE Trans. Microw. Theory Techn., to be published. [37] R. J. Cameron, R. Mansour, and C. M. Kudsia, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. Hoboken, NJ, USA: Wiley, 2007. [38] F.-C. Chen et al., “Design of wide-stopband bandpass filter and diplexer using uniform impedance resonators,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4192–4203, Dec. 2016. [39] M. A. El-Tanani and G. M. Rebeiz, “A two-pole two-zero tunable filter with improved linearity,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 4, pp. 830–839, Apr. 2009. [40] T. Yang and G. M. Rebeiz, “A 1.26–3.3 GHz tunable triplexer with compact size and constant bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 10, pp. 786–788, Oct. 2016. [41] S.-C. Weng, K.-W. Hsu, and W.-H. Tu, “Compact and switchable dualband bandpass filter with high selectivity and wide stopband,” Electron. Lett., vol. 49, no. 20, pp. 1275–1277, Sep. 2013. [42] M. L. Chuang and M. T. Wu, “Switchable dual-band filter with common quarter-wavelength resonators,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 62, no. 4, pp. 347–351, Apr. 2015. [43] J. Xu, “Compact switchable bandpass filter and its application to switchable diplexer design,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 1, pp. 13–15, Jan. 2016. [44] C. Zhu, J. Xu, W. Kang, and W. Wu, “Microstrip switchable filtering power divider with three operating modes,” Electron. Lett., vol. 52, no. 25, pp. 2046–2048, Dec. 2016. Di Lu (S’14) was born in Kunming, China, in 1987. He received the B.S. degree from the Electronic Engineering School, Chengdu University of Information and Technology, Chengdu, China, in 2013. He is currently pursuing the Ph.D. degree at the University of Electronic Science and Technology of China (UESTC), Chengdu, China. Since 2015, he has been a Visiting Student with the University of Virginia, Charlottesville, VA, USA. His current research interests include design microwave filters, tunable filters, frequency multipliers, mixers, millimeter-wave circuits, and RF MEMS. Xiaohong Tang (M’08) received the B.S. and Ph.D. degrees in electromagnetism and microwave technology from the University of Electronic Science and Technology of China (UESTC), Chengdu, China. He is currently a Professor with UESTC. He has authored or co-authored more than 100 journal and conference papers. His current research interests include microwave and millimeter communication and computational electromagnetics. Dr. Tang was a recipient of several national and provincial awards.

LU et al.: SINGLE-BAND AND SWITCHABLE DUAL-/SINGLE-BAND TUNABLE BPFs

N. Scott Barker (S’94–M’99–SM’13) received the B.S.E.E. degree from the University of Virginia, Charlottesville, VA, USA, in 1994, and the M.S.E.E. and Ph.D. degrees in electrical engineering from the University of Michigan at Ann Arbor, Ann Arbor, MI, USA, in 1996 and 1999, respectively. From 1999 to 2000, he was a Staff Scientist with the Naval Research Laboratory. In 2001, he joined the Charles L. Brown Department of Electrical and Computer Engineering, University of Virginia, where he is currently a Professor. He recently co-started the company Dominion Micro Probes Inc., Charlottesville, to develop the terahertz frequency wafer probe technology coinvented by his group at the University of Virginia. He has authored or coauthored over 60 publications. His current research interests include applying microelectromechanical systems (MEMS) and micromachining techniques to the development of millimeter-wave and terahertz circuits and components. Prof. Barker has been serving on the MTT-21 Technical Committee on RF-MEMS since 2000 and was the Committee Chair from 2008 to 2011. He has also served for many years on the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and International Microwave Symposium (IMS) Technical Program Review Committee. In 2011, he served on the Steering Committee, IEEE MTT-S IMS, Baltimore, MD, USA. He was the Technical Program Committee Vice-Chair for the 2014 IEEE MTT-S IMS, Tampa, FL, USA. He was an Associate Editor of IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS from 2008 to 2010. He is currently an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECH NIQUES . He was a recipient of the Charles L. Brown Department of Electrical and Computer Engineering New Faculty Teaching Award in 2006 and the Faculty Innovation Award in 2004, the 2003 National Science Foundation CAREER Award, the 2000 IEEE Microwave Prize, and first Second Place in the Student Paper Competition of the IEEE MTT-S IMS.

1227

Yukang Feng received the M.S. degree in electrical and computer engineering from Northeastern University, Boston, MA, USA, in 2014. He is currently pursuing the Ph.D. degree at the University of Virginia, Charlottesville, VA, USA. Since 2014, he has been a Graduate Research Assistant with the University of Virginia, focusing on millimeter-wave and terahertz electronic. His current research interests include RF MEMS switches, filters, and reconfigurable RF subsystems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A New Class of K-Band High-Q Frequency-Tunable Circular Cavity Filter Seunggoo Nam, Boyoung Lee, Changsoo Kwak, and Juseop Lee , Senior Member, IEEE

Abstract— A new type of K-band high-Q frequency-tunable waveguide filters is proposed in this paper. The presented filter structure adopts a new technique for tuning the resonant frequency of each resonator. A dielectric plate is inserted in each resonator and rotating it leads to the frequency tuning. Unlike the conventional frequency tuning methodologies for tunable waveguide cavity filters, the new frequency tuning technique alleviates the electrical grounding issue for tuning devices. In addition, we demonstrate a new design method that allows the filter to have an absolute constant bandwidth in the frequency tuning range without using tunable coupling structures. Index Terms— Bandpass filter, frequency tuning, waveguide cavity filter.

I. I NTRODUCTION

R

ECENTLY, the interest in frequency-tunable RF microwave components has been increasing with the rapid growth of frequency-agile communications systems [1], [2]. Hence, various frequency-tunable reconfigurable filter structures have been proposed [3]–[10]. Typical microwave bandpass filters operating below 10 GHz are able to change their center frequencies electrically by virtue of tuning components such as varactors or microelectromechanical systems (MEMS) switches. For example, a structure capable of adjusting the resonant frequency and bandwidth by using T-shaped microstrip line resonators with varactors is introduced in [3]. Similarly, Tsai et al. [4] proposed a microstrip line structure with varactor-loaded loop-shaped resonators which can adjust the frequency and bandwidth. In addition, the work in [5] shows a filter structure with a pair of transmission zeros capable of tuning the center frequency and bandwidth. The papers mentioned above mainly describe filter structures capable of tuning both the center frequency and the bandwidth. Hence, these filters can be tuned to have a constant bandwidth by virtue of tunable coupling structures. However, lossy tuning

Manuscript received May 2, 2017; revised September 7, 2017; accepted October 28, 2017. This work was supported by the Institute for Information and Communications Technology Promotion (IITP) grant funded by the Korean Government (MSIT) (2014-0-00031), Development of Flexible Payload Technologies for Next Satellite Broadcasting and Communications (Corresponding author: Juseop Lee.) S. Nam, B. Lee, and J. Lee are with the Department of Computer and Communications Engineering, Korea University, Seoul, South Korea (e-mail: [email protected]). C. Kwak is with the RF and Satellite Payload Research Team, Electronics and Telecommunications Research Institute, Daejeon 34129, South Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2778075

devices used in the resonators and coupling structures produce loss. Therefore, a lot of efforts have been made to reduce the insertion loss of frequency-tunable filters with a constant absolute bandwidth by employing static coupling structures. Since the static coupling structures have no tuning devices, it leads to a smaller insertion loss when adopted in filter structures. In [6] and [7], planar frequency-tunable filters with a constant bandwidth are reported. Since the loss of the tuning devices such as varactors increases with the frequency in general, it is not preferred to use such devices in designing frequency-tunable filters operating above 10 GHz. Hence, mechanically tunable filters have been studied extensively in pursuing excellent electrical performances such as low loss. In [8] and [9], a K-band fourth-order waveguide bandpass filter structure capable of varying the center frequency and the bandwidth is reported. It uses two sets of tunable resonators: main resonators and coupling resonators. The coupling resonators are used as tunable coupling structures, which allow to adjust all coupling values. Yassini et al. [10] also show a Ka-band fully reconfigurable filter that has been designed based on cascading a low-pass filter and a high-pass filter. By adjusting cutoff frequencies of the low-pass and highpass filters, the center frequency and the bandwidth of the passband can be reconfigured. Yassini et al. [11] present a Ku-band frequency-tunable filter using TE113 dual mode. In this structure, a micrometer-driven drive plate has been used to adjust the resonator size and the center frequency. In [12], a K-band frequency-tunable waveguide filter with a constant absolute bandwidth is reported. A design method for the slots in the filter structure intended to have a constant bandwidth is described. The frequency tuning of the filters in [8]–[12] are based on adjusting the height of each resonator, and this type of frequency tuning method is required to give careful consideration to stable electrical grounding of the moving parts used for changing the height of each resonator since it is challenging to make the moving parts move freely while having an excellent electrical grounding. Other types of frequency-tunable cavity filters are presented in [13]–[16]. Pelliccia et al. [13] and Huang et al. [14] present electrically tunable waveguide filters using MEMS technology that can be tuned discretely and continuously, respectively. However, they have a relatively low Q-factor than a mechanically tunable filter. Vahabisani et al. [15] show a structure for tuning the resonant frequency using liquid metal. This requires a high electrical conductivity of the liquid metal

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

and is slower than electrically tunable filters in terms of tuning speed. Prigaud et al. [16] present a Ku-band frequency-tunable filter using dielectric perturbers. It shows a good frequency tuning capability by means of using dielectric pieces that do not require electrical grounding. However, it is challenging to use the mode of the resonator reported in [16] in designing a K-band filter since the resonator size becomes extremely small. This paper presents a K-band frequency-tunable filter design using a higher-order resonant mode. In general, using a higherorder mode in a filter design gives a higher Q-factor, but a number of neighboring modes exist close to the passband of a filter. Hence, this paper also presents effective measures to suppress undesired neighboring modes. This paper is mainly intended to alleviate the grounding issue of the moving parts used for the frequency tuning. We propose a new method to adjust the resonant frequency of a K-band waveguide cavity resonator and show its application to K-band frequency-tunable filters. The new tuning method inserts a dielectric plate into each resonator and rotates it. Since the dielectric plate is used as a tuning device, no electrical grounding is needed, which enables unsophisticated physical implementation. This paper also presents an analytic method for designing a frequency-tunable constant-bandwidth filter that adopts the presented tuning technique. More specifically, we demonstrate an approach to design the static coupling structures such that their coupling values agree with the theoretical desired values for a constant absolute bandwidth over a frequency tuning range. With the aids of the presented tuning technique and design approach for the coupling structures, we have designed and measured K-band frequency-tunable constant-bandwidth waveguide cavity filters.

Fig. 1. Proposed structure and concept of the frequency tunable cavity resonator.

Fig. 2.

II. R ESONATOR C ONCEPT AND D ESIGN The main idea of this paper is to use a dielectric plate in a cylindrical cavity resonator. Rotating the dielectric plate leads to the frequency tuning of the resonator. One of the unique features of the presented frequency tuning method is that the tuning device, the dielectric plate, does not require electrical grounding. Fig. 1 illustrates the structure and concept of the frequency-tunable cavity resonator proposed by this paper. A hole is formed in the lower part of the cavity resonator to insert a shaft for supporting the dielectric plate. From the perspective of the field leakage, the resonance mode should be determined in a way to minimize the loss due to the hole formed at the center. Taking into account the fact that a hole must be placed at bottom center of the cavity, we have analyzed TEnm1 mode whose field components are zero at the center in theory. The field components of TEnm1 mode of the air-filled cylindrical cavity are given by    πz  pnm ρ Hz = H0 Jn cos(nφ) sin a d    πz  ρ βa H0  pnm Hρ =  Jn cos(nφ) cos pnm a d

Bessel functions and their derivatives.

   πz  pnm ρ −βa 2 n H0 sin(nφ) cos J  )2 ρ n ( pnm a d     2 j kηa n H0 pnm ρ πz  Jn Eρ = sin(nφ) sin  2 ( pnm ) ρ a d    πz  ρ j kηa H0  pnm Eφ = Jn cos(nφ) sin  pnm a d

Hφ =

(1)

where ρ, φ, and z are the cylindrical coordinates, and where Jn and Jn denote nth-order Bessel function of the first kind and its derivative, respectively. When n ≥ 2, Jn and Jn are zero with ρ = 0 as shown in Fig. 2. This indicates that the cavity has null field at its center. Hence, we can create a small hole at the center of the cavity having negligible field leakage. As mentioned above, we insert a thin dielectric plate and rotate it to adjust the resonant frequency of the cavity resonator. In this paper, we adopt the mode nomenclature and equations for the air-filled cavity in describing the cavity containing a thin dielectric plate since its field distribution is similar to that of the air-filled cavity. In order to choose the resonant mode for the filter design, we have compared three modes, TE211 , TE311 , and TE411 , in terms of the frequency tuning range and the Q-factor. For fair comparison, the sizes

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAM et al.: NEW CLASS OF K-BAND HIGH-Q FREQUENCY-TUNABLE CIRCULAR CAVITY FILTER

3

Fig. 4. (a) Resonant frequency of the TE311 mode and Q-factor versus the angle of the dielectric plate. (b) E-field distribution of TE311 mode.

Fig. 3. (a) Single-cavity filter test model. (b) Resonant frequency of TE211 mode and Q-factor versus the angle of the dielectric plate. (c) E-field distribution of TE211 mode.

of the cavity and the dielectric plate have been scaled keeping the dimension ratios constant and having 19.55 GHz in the frequency tuning range. First, Fig. 3(a) shows a doubly loaded resonator structure. Fig. 3(b) shows the resonant frequency and Q-factor of TE211 mode and Fig. 3(c) shows the field distributions of a cavity when a dielectric plate rotates. When the dielectric plate exists

where the electric field is strong, the resonance frequency and the Q-factor are low. On the other hand, the resonant frequency and the Q-factor increase as the dielectric plate moves toward the position where the electric field is relatively weak. In other words, the field distribution is determined by the location of excitation and the resonant frequency changes as the dielectric plate rotates since the electric field intensity varies in the azimuth direction. The frequency tuning range is from 19.35 to 19.76 GHz and the Q-factor is 10 460 when the loss tangent of the dielectric plate is assumed to zero. It is shown in Fig. 3(b) that the Q-factor decreases as the loss tangent increases. In [17], a static high-Q cavity filter is proposed using TE221 mode. However, TE221 mode is not used in this paper since it has a number of neighboring modes that cannot be suppressed with ease. Next, Fig. 4 shows the Q-factor and resonant frequency of TE311 mode. As is the case with TE211 mode described above, it can be seen that the resonant frequency and the Q-factor vary depending on the rotation angle of the dielectric plate. Since the resonator size must be set to be larger when a higher-order mode is used, the Q-factor of TE311 mode resonator is larger than that of TE211 mode resonator. However, the frequency tuning range decreases. Finally, Fig. 5 shows the variations of the resonant frequency and corresponding Q-factor when using TE411 mode

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

external and internal couplings of our filter for achieving a constant absolute bandwidth. III. C OUPLING D ESIGN In this section, we describe a design method for a secondorder filter utilizing the resonator structure discussed in Section II. It is designed to have a 20-dB equiripple response over the frequency range from 19.4 to 19.7 GHz. In addition, the filter is specified to have a constant absolute 3-dB bandwidth of 150 MHz when tuned over the frequency tuning range. The normalized coupling matrix for the 20-dB equiripple response having 3-dB points at the normalized frequencies of −1 and 1 is given by ⎤ ⎡ 0 M S1 0 0 ⎢ M S1 0 M12 0 ⎥ ⎥ M=⎢ ⎣ 0 M12 0 M L2 ⎦ 0 0 M L2 0 M S1 = M L2 = 0.8007 (2) M12 = 0.7087 where subscripts S and L denote the source and the load, respectively, and the numbers represent the resonators. The relationship between the normalized coupling value and the denormalized coupling value is given by √ kex = fbw · Mex kin = fbw · Min

Fig. 5. (a) Resonant frequency of TE411 mode and Q-factor versus the angle of the dielectric plate. (b) E-field distribution of TE411 mode. TABLE I C OMPARISON OF M ODES

as the dielectric plate rotates. It can be observed that the Q-factor increases and the frequency tuning range decreases as a higher-order mode is in use. Table I summarizes the frequency tuning range and the Q-factor of the modes discussed above. In this paper, we make a compromise between the frequency tuning range and the Q-factor in determining the resonance mode for designing a frequency-tunable filter. Since this paper is to design a tunable filter covering the tuning range from 19.4 to 19.7 GHz, we have chosen TE311 mode in designing our filter. In the following section, we describe the design methods for the

(3)

where fbw is the fractional bandwidth, and where ex and in represent the external and internal couplings, respectively. The desired denormalized coupling values at different frequencies can be obtained from (3). To obtain a constant bandwidth within the target frequency tuning range (19.4–19.7 GHz), the external coupling value should decrease as the frequency increases. Since the change of the coupling value is very small, it must remain almost constant when the frequency varies. Fig. 6(a) shows a traditional resonator and its external coupling structure made of an aperture. A well-known method to tune the resonant frequency of this type of the resonator is adjusting the height of the resonator [8], [10]. As shown in Fig. 6(b), when the height is physically adjusted by using a plunger, the external coupling value generally increases as the frequency increases. In other words, when designing a frequency-tunable filter employing such frequency tuning approach, it is difficult to obtain a coupling value variation suited for obtaining a constant bandwidth from a static coupling structure. Hence, this paper presents a new method for designing a static external coupling structure that can give a desired coupling value variation over a frequency tuning range. Fig. 7(a) shows the presented frequency-tunable resonator structure along with the external coupling slot. By carefully designing the dielectric plate and the coupling slot, the static coupling structure can have a desired coupling value variation. If we use the frequency-tunable resonator structure proposed in this paper, the coupling values for the constant bandwidth can be properly obtained. Fig. 7(b) shows the coupling values of the external

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAM et al.: NEW CLASS OF K-BAND HIGH-Q FREQUENCY-TUNABLE CIRCULAR CAVITY FILTER

5

Fig. 7. (a) Presented frequency-tunable resonator structure. (b) Coupling values of the external coupling structure. Fig. 6. (a) Traditional resonator and its external coupling structure made of an aperture. (b) External coupling coefficient graph.

coupling structure shown in Fig. 7(a) for various values of the dielectric constant of the dielectric plate. It is shown that the slope of the coupling value variation with respect to the frequency decreases as the dielectric constant increases. For example, when the dielectric constant is set to 1.5, the coupling value tends to increase with the frequency. When the dielectric constant increases to 2.2, the coupling value decreases slightly with the frequency. On the other hand, it decreases dramatically with the frequency when the dielectric constant is set to 4.4. Therefore, the dielectric constant has been set to 2.2 in our filter design. Once the dielectric constant has been chosen for acquiring the desired slope of the coupling value variation, the size of the coupling slot must be adjusted to acquire the desired magnitude of the coupling value. In this paper, we have adjusted the size of the slot by varying its height [h in Fig. 7(a)]. Fig. 7(b) shows a larger slot size gives a larger coupling value. In summary, it is required to be able to control independently the magnitude and the slope of the coupling value in the frequency domain. This paper proposes to control them by properly choosing the dielectric constant of the dielectric plate and the size of the coupling slot. The internal coupling structures can also be designed in a similar fashion. Fig. 8(a) and (b) shows the proposed internal coupling structure and its coupling value, respectively. As is

the case with the external coupling, the slope of the internal coupling value variation can be controlled by the permittivity of the dielectric plate, and the overall magnitude of the coupling value can be adjusted by the size of the coupling slot. The height of the internal coupling slot was set to 6.7 mm to meet the required internal coupling values. The structure and dimensions of the second-order bandpass filter based on the above design process are shown in Fig. 9. The thickness of the dielectric plate (Rogers 5880) was set to 3.175 mm. The following section describes the measurement and analysis results of this filter. IV. M EASUREMENT AND A NALYSIS Fig. 10 shows a second-order bandpass filter fabricated by a commercially available milling process. A pair of WR51 waveguide-to-coaxial adapters is connected at both ends. The first measurement result of this filter is shown in Fig. 11. The center frequency is adjustable from 19.38 to 19.8 GHz, with a bandwidth of 149–158 MHz. However, it shows that the unwanted resonant peaks exist in the lower and upper stopbands, and they deteriorate the stopband performance. In order to reduce this deterioration, we have carried out an analysis on these unwanted resonant peaks. The resonance in the lower stopband is TM111 mode and its magnetic field distribution is shown in Fig. 12. This mode can be moved to a lower frequency by perturbing the wall where the electric

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. process.

Second-order bandpass filter fabricated through a typical milling

Fig. 11.

Measured results of the fabricated second-order filter.

Fig. 8. (a) Presented frequency-tunable resonator structure. (b) Coupling values of the internal coupling structure.

Fig. 9. Structure and dimensions of the second-order bandpass filter (a = 12.95 mm, b = 6.48 mm, c = 16 mm, d = 15 mm, e = 3.175 mm, g = 17 mm, h = 5.6 mm, i = 6.7 mm, j = 9 mm, k = 21 mm, and m = 16 mm).

field is strong. The perturbation can be carried out by inserting small screws such that their direction is along with that of the electrical field. This type of perturbation leads to the variation of the capacitance of a resonator, and it has been used in finetuning of various filters [18]–[24].

TM111 mode and TE011 mode of an unperturbed cavity resonate at the same frequency. However, since the dielectric plate is placed in the resonator, in this paper, these two resonant modes do not resonate at the same frequency. TE011 mode resonates at much lower frequencies and is not in the frequency range of interest. Fig. 13 shows the electric field distribution of the resonant peak in the upper stopband, and its mode is degenerate TE311 mode. In order to suppress this degenerate mode, we have used the method presented in [25]. Kuo et al. [25] describe that a better stopband performance can be obtained by placing a higher-order resonance of each resonator at different frequencies. In [25], a coupled line filter has been designed such that the next higher-order mode resonates at different frequencies. In short, staggering the next higher-order mode results in a better rejection in the upper stopband, and this concept can be applied to our filter structure. This paper developed the methodologies for suppressing the neighboring mode mentioned above, and Fig. 14(a) shows the positions of the screws for suppressing the neighboring modes in the second-order filter structure, for demonstration. In resonator 1, a pair of side screws is inserted by 0.7 mm, and the angle of the dielectric plate is 33°. On the other hand,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAM et al.: NEW CLASS OF K-BAND HIGH-Q FREQUENCY-TUNABLE CIRCULAR CAVITY FILTER

7

Fig. 12. H -field distribution of resonance mode occurring on the left side of the passband (TM111 ).

Fig. 13. stopband.

E-field distribution of degenerated TE311 mode in the upper

resonator 2 has no side screw and the angle of the dielectric plate is 26°. In this case, the mode of the two resonators for forming the passband resonates at the same frequency, but the degenerate mode resonates at different frequencies. Both resonators have the screws on the top and bottom surfaces to suppress TM111 mode. Fig. 14(b) compares the two filter structures: One without the screws and the other having the screws mentioned above. It is shown that the filter with the aforementioned screws has a better stopband performance. The aforementioned techniques for suppressing the undesired resonant peaks have been applied to a fourth-order filter design, and it is discussed in the following section. V. F OURTH -O RDER F ILTER The method for designing a constant-bandwidth filter and the one for suppressing the undesired resonant peaks are also applicable to a high-order filter design. In a typical fourthorder bandpass filter structure, nine tunable structures (four tunable resonators and five tunable coupling structures) are needed to obtain a constant bandwidth. However, by using the frequency-tunable resonator structure proposed in this paper, it is possible to obtain a constant bandwidth although static coupling structures are adopted.

Fig. 14. (a) Top view and perspective view of the second-order filter containing screws for suppressing neighboring modes. (b) Comparison between the filters with and without screws for suppressing neighboring modes.

In this paper, we design a fourth-order filter capable of having a constant bandwdith of 180 MHz over 19.4–19.7 GHz. The normalized coupling matrix for a fourth-order Chebyshev response with 15-dB return loss is given by ⎤ ⎡ 0 0 0 0 0 M S1 ⎢ M S1 0 M12 0 0 0 ⎥ ⎥ ⎢ ⎢ 0 0 M23 0 0 ⎥ M12 ⎥ M=⎢ ⎢ 0 0 M23 0 M12 0 ⎥ ⎥ ⎢ ⎣ 0 0 0 M12 0 M L2 ⎦ 0 0 0 0 M L2 0 M S1 = M L2 = 0.8403 M12 = 0.6770 M23 = 0.5424.

(4)

Using the design method presented in Section III, it is possible to determine the physical dimensions of the filter, and they are shown in Fig. 15. In addition, screws are placed for the purpose of suppressing the undesired resonant peaks.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II C OMPARISON OF T UNABLE F ILTERS

Fig. 15. Structure and dimensions of the fourth-order bandpass filter (a = 12.95 mm, b = 6.48 mm, c = 16 mm, d = 15 mm, e = 3.175 mm, g = 16.8 mm, j = 9 mm, k = 21 mm, m = 16 mm, n = 16 mm, o = 18.2 mm, p = 5.9 mm, q = 6.75 mm, and r = 6.65 mm).

Fig. 16 shows the fabricated fourth-order bandpass filter. The eight screws on the top and bottom are intended to suppress TM111 mode. Resonators 2 and 3 do not have screws on the upper and lower surfaces since the filter can obtain a sufficiently large suppression although the screws are inserted only in resonators 1 and 4. The screws on the side walls of the resonators are for suppressing the degenerated TE311 mode. All screws have been set only at the beginning of filter tuning, and they have not been adjusted at the time of center frequency tuning. Fig. 17 shows the measured and simulated results of the fabricated fourth-order bandpass filter. It can be seen that this filter maintains almost a constant bandwidth (178–184 MHz) while being tuned from 19.36to 19.7 GHz. The maximum bandwidth variation is 6 MHz in the frequency tuning range. The insertion loss of the filter including the coaxial-towaveguide adaptors is less than 1.8 dB. For tuning the filter from 19.36 to 19.7 GHz, resonators 1 and 4 rotate by 30°, while resonators 2 and 3 rotate by 33°. This is because the

Fig. 16.

Fabricated fourth-order bandpass filter.

Fig. 17. Measured and simulated results of the fabricated fourth-order bandpass filter.

loading effect on two resonators is different from that on the other two resonators. Four stepper motors from Motor bank (part number: NK201-01AT) are used for tuning the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. NAM et al.: NEW CLASS OF K-BAND HIGH-Q FREQUENCY-TUNABLE CIRCULAR CAVITY FILTER

four resonators. The extracted Q-factor from the measurement of the fabricated filter is from 2600 to 3100. There is a discrepancy between the measured Q-factor and the Q-factor from the full-wave simulation, and it can be attributed to the assumption that the loss tangent of the dielectric piece is 0.0009 and it does not vary with the frequency. This discrepancy can be minimized by using a more accurate loss tangent value at the operating frequency of the filter. Table II summarizes the comparison between the previous similar works and this paper for the reader’s convenience. VI. C ONCLUSION In this paper, we have presented a new frequency-tunable waveguide filter structure having rotating dielectric plates. Unlike conventional frequency-tunable filters, the new structure does not necessitate electrical connection between the tuning element and the resonator. Hence, free movement of the tuning devices is allowed. Furthermore, a design approach for acquiring a constant absolute bandwidth by utilizing static coupling structures has been demonstrated. The new frequency tuning method and filter design approach for obtaining a constant bandwidth has been verified by measurement. R EFERENCES [1] M. Yu, B. Yassini, B. Keats, and Y. Wang, “The sound the air makes: High-performance tunable filters based on air-cavity resonators,” IEEE Microw. Mag., vol. 15, no. 5, pp. 83–93, Jul./Aug. 2014. [2] R. R. Mansour, F. Huang, S. Fouladi, W. D. Yan, and M. Nasr, “High-Q tunable filters: Challenges and potential,” IEEE Microw. Mag., vol. 15, no. 5, pp. 70–82, Jul./Aug. 2014. [3] H.-J. Tsai, B.-C. Huang, N.-W. Chen, and S.-K. Jeng, “A reconfigurable bandpass filter based on a varactor-perturbed, T-shaped dualmode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 5, pp. 297–299, May 2014. [4] H.-J. Tsai, N.-W. Chen, and S.-K. Jeng, “Center frequency and bandwidth controllable microstrip bandpass filter design using loop-shaped dual-mode resonator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3590–3600, Oct. 2013. [5] X. Luo, S. Sun, and R. B. Staszewski, “Tunable bandpass filter with two adjustable transmission poles and compensable coupling,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2003–2013, Sep. 2014. [6] C.-W. Tang, C.-T. Tseng, and S.-C. Chang, “Design of the compact tunable filter with modified coupled lines,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 4, no. 11, pp. 1815–1821, Nov. 2014. [7] F. Lin and M. Rais-Zadeh, “Continuously tunable 0.55–1.9-GHz bandpass filter with a constant bandwidth using switchable varactor-tuned resonators,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 792–803, Mar. 2016. [8] C. Arnold, J. Parlebas, and T. Zwick, “Reconfigurable waveguide filter with variable bandwidth and center frequency,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 8, pp. 1663–1670, Aug. 2014. [9] S. Nam, B. Lee, B. Koh, C. Kwak, and J. Lee, “K-band fully reconfigurable pseudo-elliptic waveguide resonator filter with tunable positive and negative couplings,” IEICE Trans. Commun., vol. 99, no. 10, pp. 2136–2145, Oct. 2016. [10] B. Yassini, M. Yu, and B. Keats, “A Ka-band fully tunable cavity filter,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4002–4012, Dec. 2012. [11] B. Yassini, M. Yu, D. Smith, and S. Kellett, “A Ku-band high-Q tunable filter with stable tuning response,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 2948–2957, Dec. 2009. [12] C. Kwak, M. Uhm, and I.-B. Yom, “Study on slot irises for tunable filters using TE011 mode,” Electron. Lett., vol. 51, no. 3, pp. 266–268, 2015. [13] L. Pelliccia, F. Cacciamani, P. Farinelli, and R. Sorrentino, “High-Q tunable waveguide filters using ohmic RF MEMS switches,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3381–3390, Oct. 2015.

9

[14] F. Huang, S. Fouladi, and R. R. Mansour, “High-Q tunable dielectric resonator filters using MEMS technology,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3401–3409, Dec. 2011. [15] N. Vahabisani, S. Khan, and M. Daneshmand, “Microfluidically reconfigurable rectangular waveguide filter using liquid metal posts,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 10, pp. 801–803, Oct. 2016. [16] A. Périgaud et al., “Continuously tuned Ku-band cavity filter based on dielectric perturbers made by ceramic additive manufacturing for space applications,” Proc. IEEE, vol. 105, no. 4, pp. 677–687, Apr. 2017. [17] B. Yassini and M. Yu, “Ka-band dual-mode super Q filters and multiplexers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3391–3397, Oct. 2015. [18] G. F. Craven and C. K. Mok, “The design of evanescent mode waveguide bandpass filters for a prescribed insertion loss characteristic,” IEEE Trans. Microw. Theory Techn., vol. MTT-19, no. 3, pp. 295–308, Mar. 1971. [19] J. Lee, M. S. Uhm, and I.-B. Yom, “A dual-passband filter of canonical structure for satellite applications,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 271–273, Jun. 2004. [20] H. Hu, K. L. Wu, and R. J. Cameron, “Stepped Circular Waveguide Dual-Mode Filters for Broadband Contiguous Multiplexers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 139–145, Jan. 2013. [21] S. Bastioli, C. Tomassoni, and R. Sorrentino, “A new class of waveguide dual-mode filters using TM and nonresonating modes,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3909–3917, Dec. 2010. [22] C. K. Mok, “Design of evanescent-mode waveguide diplexers,” IEEE Trans. Microw. Theory Techn., vol. MTT-21, no. 1, pp. 43–48, Jan. 1973. [23] S. Moon, H. H. Sigmarsson, H. Joshi, and W. J. Chappell, “Substrate integrated evanescent-mode cavity filter with a 3.5 to 1 tuning ratio,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 8, pp. 450–452, Aug. 2010. [24] S.-J. Park, I. Reines, C. Patel, and G. M. Rebeiz, “High-Q RF-MEMS 4–6-GHz tunable evanescent-mode cavity filter,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 2, pp. 381–389, Feb. 2010. [25] J.-T. Kuo, S.-P. Chen, and M. Jiang, “Parallel-coupled microstrip filters with over-coupled end stages for suppression of spurious responses,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 10, pp. 440–442, Oct. 2003.

Seunggoo Nam was born in Seoul, South Korea, in 1989. He received the B.E. degree in computer and communication engineering from Korea University, Seoul, in 2015, where he is currently pursuing the Ph.D. degree in radio communications engineering. His current research interests include K-band frequency tunable filters.

Boyoung Lee was born in Seosan, South Korea, in 1991. He received the B.E. degree in radio engineering from Hanbat National University, Daejeon, South Korea, in 2014. He is currently pursuing the Ph.D. degree in radio communications engineering at Korea University, Seoul, South Korea. His current research interests include tunable RF components for radar and satellite systems.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Changsoo Kwak was born in Seoul, South Korea, in 1971. He received the B.S. degree in mechanical engineering from Yonsei University, Seoul, in 1996, and the M.S. degree in mechanical engineering and Ph.D. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 1998 and 2013, respectively. From 1998 to 2000, he was with Samsung Aerospace Industries, where he was involved in structural analysis and design. Since 2000, he has been with the Electronics and Telecommunications Research Institute, Daejeon, where he has been involved in the development of communications, the oceans, and meteorological satellite, which is the first geostationary satellite developed by South Korea. He was also involved in the development of output multiplexers and electrical analysis of faceted reflectors. His current research interests include microwave filters, multiplexers, mechanically tunable filters, structural/thermal analysis, and optimization.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Juseop Lee (A’02–M’03–SM’16) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, South Korea, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 2009. He joined the Electronics and Telecommunications Research Institute, Daejeon, South Korea, in 2001, where he was involved in the design of passive microwave equipment for Ku- and Ka-band communications satellites. In 2005, he joined the University of Michigan, where he was a Research Assistant and a Graduate Student Instructor with the Radiation Laboratory, and was involved in research activities focused on millimeter-wave radars and synthesis techniques for multiple-passband microwave filters. In 2009, he joined Purdue University, West Lafayette, IN, USA, where he was a Post-Doctoral Research Associate, and was involved in the design of adaptable RF systems. In 2012, he joined Korea University, where he is currently an Associate Professor. His current research interests include RF and microwave components, satellite transponders, wireless power transfer, and electromagnetic theories. Prof. Lee was a recipient of the Graduate Fellowship from the Korea Science and Engineering Foundation, Daejeon, and the Rackham Pre-Doctoral Fellowship from the Rackham Graduate School, University of Michigan. He was also a recipient of the IEEE Microwave Theory and Techniques Society Graduate Fellowship. He is currently an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES .

1238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Tunable SIW Cavity-Based Dual-Mode Diplexers With Various Single-Ended and Balanced Ports Mohamed F. Hagag , Student Member, IEEE, Mohammad Abu Khater , Member, IEEE, Mark D. Hickle , Member, IEEE, and Dimitrios Peroulis, Fellow, IEEE

Abstract— This paper presents, for the first time, tunable dual-mode substrate integrated waveguide (SIW) diplexers with various single-ended (SE) or balanced (BAL) ports. Dual-mode diplexing reduces the required volume by half while signal routing is achieved by proper coupling sections. Furthermore, the SIW resonators result in low loss and wide tunability. This paper experimentally demonstrates three designs with SE–SE, SE–BAL, and BAL–BAL port configurations. The SE–SE, SE–BAL, and BAL–BAL diplexers can be tuned starting from 2.07, 2.2, and 2 GHz, respectively, with a tuning range of 45%, 57.2%, and 63.5%, respectively. The average measured insertion loss is 1.32 dB for the SE–SE, 1.95 dB for the SE–BAL, and 2.15 dB for the BAL–BAL. The average size of the diplexer is 55×55 mm2 . For the proposed SE–BAL and BAL–BAL diplexers, the measured in-band common-mode rejection is better than 40 dB throughout the tuning range. Index Terms— Balanced (BAL) diplexer, diplexer, dual-mode, evanescent-mode cavity, tunable diplexer, tunable resonators.

I. I NTRODUCTION

D

IPLEXERS play a significant role in frequency division duplexing (FDD) systems. There are many measures associated with the performance of diplexers, such as size, isolation, loss, integration, and tunability. As a result, designing a diplexer that meets all such measures is very challenging. Conventional architectures consist of two bandpass filters (BPF) operating at two different frequencies, combined using a distribution network [1]–[3]. The size and performance overhead caused by the distribution network can be eliminated by using dual-mode diplexing [4]–[8]. On the other hand, substrate integrated waveguide (SIW) resonators often present a good compromise between volume and performance due to their compact size and high quality factors [9]–[11]. This technology has also been successfully utilized to implement tunable designs by integrating high-Q tuners, such as electrostatic MEMS or piezoelectric actuators [12]–[17]. Single-ended (SE) RF front ends are easier to implement compared with balanced (BAL) ones. However, the latter is often chosen to resolve common-mode interference and evenorder nonlinearities caused by spectrum crowding and scaling

Manuscript received July 7, 2017; revised September 22, 2017; accepted November 1, 2017. Date of publication December 12, 2017; date of current version March 5, 2018. (Corresponding author: Mohamed F. Hagag.) The authors are with the School of Electrical and Computer Engineering, Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2777978

of electronics [18]–[20]. However, to the best of the authors’ knowledge, no BAL SIW diplexers have been reported in the open literature thus far. Indeed, only a limited number of research efforts integrate the balun functionality into the diplexer. In [21]–[23], static-frequency SE–BAL diplexers were realized using planar resonators. The best achieved insertion loss (IL), amplitude imbalance, phase imbalance, common-mode rejection (CMR), and isolation were 1.5 dB, 1 dB, 2°, 40 dB, and 46 dB, respectively. On the other hand, the only realization of tunable SE–BAL diplexer was done by using planar stepped impedance resonators and varactors as tuners [24]. While each port can be tuned independently, the associated IL was more than 5 dB, and the tuning range was less than 30%. As for BAL–BAL diplexers, the available literature only shows planar static frequency implementations [25]–[28]. For the first time, tunable SIW-based diplexers, with various SE and BAL capabilities, with state-of-the-art performance are introduced. Furthermore, we introduce a unique dualmode diplexing concept that reduces the required volume by half, compared to previous implementations such as [1]. The presented implementations also allow for wideband tuning (around 50%) while maintaining low IL and high isolation. These concepts are experimentally demonstrated by designing SE–SE, SE–BAL, and BAL–BAL diplexers. The main difference between the three diplexers is in the external coupling structure, which provides the SE and BAL ports. The dualmode operation results in a dependent tuning between the two ports. The SE–SE, SE–BAL, and BAL–BAL diplexers can be tuned starting from 2.07, 2.2, and 2 GHz, respectively, for the low band and 2.71, 2.8, and 2.56 GHz, respectively, for the high band, with a tuning range of 45%, 57.2%, and 63.5%, respectively. The average measured IL is 1.32 dB for the SE–SE, 1.95 dB for the SE–BAL, and 2.15 dB for the BAL–BAL. The size of each one of the diplexers is 0.45λg ×0.48λg for the SE–SE, 0.7λg ×0.6λg for the SE–BAL, and 0.5λg × 0.44λg for the BAL–BAL, where λg is the guided wavelength of a 50- microstrip line at the beginning of the tuning range in the low band. However, the diplexing structures for the three diplexers are almost the same size. For the proposed SE–BAL and BAL–BAL diplexers, the in-band CMR is better than 40 dB throughout the tuning range. First, the design details of the dual-mode diplexing are presented including isolation optimization in Section II. Then, the external coupling design, and diplexer implementation

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

HAGAG et al.: TUNABLE SIW CAVITY-BASED DUAL-MODE DIPLEXERS

1239

Fig. 1. Architecture of dual-mode diplexer. The two channels are created by exciting two modes in a common dual-mode resonator. Inset: Cross section of a dual-mode split-post loaded SIW evanescent-mode cavity.

Fig. 2. Magnetic field distribution of the resonators. (a) Mode I. (b) Mode II. (c) Resonator regions classification based on magnetic field distribution of the modes.

and measurements of the SE–SE, SE–BAL, and BAL–BAL diplexers are demonstrated in Sections III–V. II. D UAL -M ODE D IPLEXING C ONCEPT A. Diplexing Architecture The dual-mode diplexing concept is shown in Fig. 1. The incident wave at the source, port 1, excites two modes in a common dual-mode resonator (resonator A), mixed-modes coupling resonator. Mode II is coupled to resonator B, Mode II coupling resonator, (creating high channel on port 2), while the Mode I is coupled to resonator C, Mode I coupling resonator, (creating low channel on port 3). While resonator B can be designed to support only Mode II, it is designed as a dual-mode resonator to match the Q in all the resonators of the mode of interest. Resonator C is not necessarily dualmode resonator because it has to support only Mode I. The resonators used here are a split-post loaded SIW evanescentmode cavities, shown in Fig. 1 [29], [30]. The magnetic field distribution of both modes is shown in Fig. 2(a) and (b). Mode I, shown in Fig. 2(a), can be excited from anywhere in the cavity except the area between the posts [region II in Fig. 2(c)]. On the other hand, Mode II, shown in Fig. 2(b), can be excited only in between the posts or behind them

Fig. 3. (a) Coupling slots in different regions to excite the mode of interest. (b) Resonant frequency of the modes versus coupling aperture angle and length at fixed R1 = 7.4 mm, g = 10 μm, R3 = 2.5 mm, Dp1 = 4.5 mm, d1 = 2 mm, and W1 = W2 = W3 = 2.5 mm. (c) MFR of the modes at different slot widths versus coupling aperture angle and length at fixed R1 = 7.4 mm, g = 10 μm, R3 = 2.5 mm, Dp1 = 4.5 mm, and d1 = 2 mm.

[regions I and II in Fig. 2(c)]. The magnetic field distribution and the resonant frequency of each mode are affected differently by introducing coupling slots in the corresponding regions shown in Fig. 2(c). B. Resonant Frequency Misalignment Introducing a slot in different regions in Fig. 2(c), shown in Fig. 3(a), results in different resonant frequencies [Fig. 3(b)] and different mode frequency ratios (MFRs) [Fig. 3(c)].

1240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 4. Resonant frequency of the modes versus capacitance gap size (g) at fixed R1 = 7.4 mm, R3 = 2.5 mm, Dp1 = 4.5 mm, φ1 = 35°, φ1 = 45°, d1 = 2 mm, Ls = 6 mm, and W1 = W2 = W3 = 2.5 mm. An example is shown in the figure. The same resonant frequency (2.5 GHz) of both modes for resonator B and C occurs at a different gap g.

Fig. 5. Structure of the proposed diplexing schematic. (a) Top view. (b) Cross section of resonator B.

The MFR is calculated using MFR =

f Mode II f Mode I

(1)

where f Mode II and f Mode I are the resonant frequencies of Mode II and Mode I, respectively. Having different MFRs for each resonator gives the advantage of detuning the undesired mode in the high or low channels, which mainly provides the required diplexing. Fig. 4 shows the resonant frequency dependence of the two modes in each resonator on the capacitance gap (g). As illustrated in the figure, when the frequencies of Mode II (the desired mode) in resonators A and B are aligned, their undesired Mode I frequencies are misaligned. Likewise, when the Mode I frequencies of resonators A and C are aligned, their Mode II frequencies are misaligned. The frequency misalignment of the undesired modes provides some degree of isolation between the output ports, as does the appropriate choice of external coupling, which will be discussed in the next section. The schematic of the proposed diplexer is shown in Fig. 5. In order to design a dual-mode resonator (resonant frequency of Mode I and the MFR), first, the dual-mode resonator can be designed as a single-mode resonator setting Dp1 = 0. Based on the desired resonant frequency of Mode I, as in [31], we have   1 l = (2) Z o tan 2π fr c 2π fr Cpost where fr is the resonant frequency of Mode I, l is the post height, c is the speed of light in the used substrate, Cpost is the effective capacitance of the post, and Z o is the characteristic impedance of the coaxial line, which is determined by the post and cavity diameters. Then, as shown in Fig. 6, changing variable Dp1 mainly determines the resonant frequency of Mode II

Fig. 6. MFR and resonant frequency of the Mode I versus the distance between the two posts Dp1 and the distance between the post vias d1 of the common resonator, A, at fixed R1 = 8 mm, φ1 = 35°, W1 = 2.5 mm, and g = 10 μm. For the variation of d1 , Dp1 , and R3 are kept fixed at 4.5 and 4 mm, respectively, while d1 and R3 are kept fixed at 2 and 2.5 mm, respectively, for the variation of Dp1 .

and has a slight effect on the resonant frequency of Mode I. At that point, the external coupling is designed, as shown in the following sections. Since the size of the external coupling slot affects the resonant frequency, the dimensions d1 and Dp1 (in Fig. 5) are adjusted to achieve the desired MFR and resonant frequency. Fig. 6 shows how both the MFR and the resonant frequency of Mode I change with Dp1 and d1 , at fixed g. Increasing Dp1 will decrease the MFR with a slight change in the resonant frequency. However, the MFR starts to increase as the post vias become close to the cavity wall-vias.

HAGAG et al.: TUNABLE SIW CAVITY-BASED DUAL-MODE DIPLEXERS

1241

Fig. 7. Inter-resonator coupling coefficient K 12 of the upper and lower channels as a function of resonators’ center-to-center distance, L 1 and L 2 , computed at 2.1 GHz, for the lower channel, and 2.7 GHz, for the upper channel. For each channel, the width of the iris is kept fixed, W r1 = 11.3 mm for the lower channel and W r1 = 7.3 mm the upper channel.

C. Inter-Resonator Coupling Fig. 7 shows the effect of varying the resonators’ center to center distance on the intercoupling coefficient of the channels. The inter-resonator coupling is calculated when each mode is aligned in frequency at different resonators using the method introduced in [32]. The inter-resonator coupling also plays a role in suppressing Mode II in the lower channel. This is due to the fact that Mode II is nearly zero in the direction of this coupling [Fig. 2(b)]. Consequently, as shown in Fig. 7, the coupling of Mode II in the lower channel is practically zero. As a result, making resonator C a single-mode or dualmode does not further improve the isolation as will be shown in the following sections. III. SE–SE D IPLEXER I MPLEMENTATION AND M EASUREMENT In order to achieve an SE or a BAL port, the external coupling structure of a diplexer has to be designed accordingly. As a result, the external coupling structure for each one of the proposed diplexers is presented first, followed by the implementation details and measurements. Each channel of the diplexers is synthesized as a secondorder BPF using the coupling matrix method [32]. The employed coupling coefficients are based on a Chebyshev filter response (for 0.2 dB passband ripple). Then, the diplexers are simulated and optimized using ANSYS high-frequency structure simulator (HFSS). A. External Coupling Fig. 8 shows the external coupling coefficient (K e ) factor of the three resonators versus the size of the coupling aperture, at the beginning of the tuning range. K e is calculated, as in [32], using 1 Ke =  (3) ωo ·τ S11 (ωo ) 4

Fig. 8. Simulated external coupling coefficient K e of the three resonators as a function of coupling aperture length and angle, computed at 2.1 GHz, for the lower channel, and 2.7 GHz, for the upper channel. The slot width is kept fixed, W1 = W2 = W3 = 2.5 mm for the three resonators. A 30-mil thick 4350B Roger substrate is employed.

where τ S11 is the group delay of S11 at resonant radian frequency ωo . As shown in the figure, the external coupling of resonator A couples into both modes, while resonators B and C primarily couple into Mode II and Mode I, respectively. This further improves the isolation between the channels. As can be seen in Fig. 8, the leakage in resonator B from Mode I results in a finite amount of coupling. However, Mode I in resonators A and B occurs at differ frequencies, as shown in Fig. 3(a). As a result, the leaked coupling shows no significant effect on isolation. Fig. 8 also shows that, at all ports, the external coupling aperture excites a single shorted transmission line (to maximize the magnetic field coupling), providing an SE operation. B. Implementation and Results An exploded view of the simulated HFSS model of the SE–SE diplexer is shown in Fig. 9. The cavities and signal substrates are 60- and 30-mil thick RO4350B substrates, respectively. The SE–SE diplexer is fabricated using multilayer PCB process, and the final product is shown in Fig. 10. The total size of the diplexer is 0.45λg × 0.48λg (40 × 43 mm) (λg at 2.1 GHz). The bottom of each resonator is covered with a flexible 1-mil thick silver disk attached to a T216-A4NO-273X piezoelectric actuator using silver epoxy. The actuator is used to tune the resonant frequency of the resonators by changing gap (g) between the posts and the silver disk, as shown in Fig. 5(b). The fabricated physical dimensions are shown in Table I. Fig. 11(a) presents the measured and simulated S-parameters of the proposed SE–SE diplexer at the

1242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 9. Exploded view of the simulated HFSS model of the SE–SE diplexer.

Fig. 10. Photograph of the proposed SE–SE diplexer. (a) Top view. (b) Bottom view. (c) Side view. The piezoelectric tuner is removed from the common resonator to show the split post. TABLE I D ESIGN PARAMETERS OF THE SE–SE D IPLEXER Fig. 11. (a) Simulated and measured S-parameters of SE–SE diplexer. (b) Passbands magnification of lower and upper channels associated with the subfigures of (a).

beginning, middle, and the end of the tuning range. The lower channel can be tuned from 2.07 to 3 GHz, and the 3-dB fractional bandwidth changes from 4.35% to 5.9% within

the tuning range. The upper channel is tuned (with the lower channel) from 2.71 to 3.9 GHz with a 3-dB fractional bandwidth changing from 2.5% to 3.6%. Fig. 11(b) shows the magnified ILs for the lower and upper channels at the three measured frequencies. Throughout the tuning range, the IL varies between 1.1 to 1.54 dB for the lower channel and from 1.6 to 2 dB for the upper channel. The measured channel-to-channel isolation is better than 36 dB throughout the tuning range.

HAGAG et al.: TUNABLE SIW CAVITY-BASED DUAL-MODE DIPLEXERS

1243

Fig. 13. Photograph of the proposed SE–BAL diplexer. (a) Top view. (b) Bottom view. TABLE II D ESIGN PARAMETERS OF THE SE–BAL D IPLEXER

Fig. 12. (a) Schematic of the BAL external coupling structure showing that BAL excitation creates an electric wall in the coupling slot, which maximizes the magnetic field coupling while common excitation creates magnetic wall, which suppresses the coupling of the magnetic field. (b) Simulated external coupling coefficient K e of the three resonators as a function of coupling aperture length and angle, computed at 2.2 GHz, for the lower channel, and 2.8 GHz, for the upper channel. The slot width is kept fixed, W1 = 2 mm, W3 = 1.2 mm, and W S3 = 1.5 mm, for the three resonators. A 16-mil thick 4350B Roger substrate is employed.

IV. SE–BAL D IPLEXER A. External Coupling The external coupling of the common resonator A is similar to that of the SE–SE one. For resonators B (M-II) and C (M-I), the external coupling is a transmission line, with the coupling aperture below its middle point (symmetry plane), as shown in Fig. 12 [33]. An external excitation to the BAL port can be analyzed as a combination of a differential- and common-mode excitation. A differential-mode excitation creates a maximum current at the coupling point due to the electric wall at the symmetry plane. This maximizes the magnetic field coupling to the cavity. A common-mode excitation results in zero current due to the magnetic wall at the symmetry plane. This suppresses the coupling of the common mode into the resonator. These concepts are demonstrated in Fig. 12(a). Resonator C is designed with a single post (single-mode) to increase the magnetic field at the coupling location, providing

sufficient BAL coupling. This also eliminates the second mode in the resonator. Using dual-mode cavity does not provide sufficient BAL coupling because region III (in Fig. 2) would have weaker magnetic field compared to region I, and small slots are used for BAL excitation to decrease CMR. Fig. 12(b) shows the simulated external coupling values for the SE–BAL resonators as a function of the coupling aperture size. K e is calculated using (1). For the SE port, the group delay of S11 is employed while, for the BAL ports, the group delay of the differential-mode reflection coefficient Sdd11 is employed. Sdd11 is obtained by Sdd11 = (S11 − S21 − S12 + S22 )/2.

(4)

The achieved external coupling covers the required values for the presented design with reasonable coupling size. B. Implementation and Results The SE–BAL diplexer is fabricated using a similar process as described in Section III-B. The materials used are a 60- and 16-mil thick RO4350B substrates for the cavities and the signal substrates, respectively. The total size of the diplexer is 0.7λg × 0.6λg (60 mm × 50 mm) (λg at 2.2 GHz). While the diplexing structure is almost the same size compared to the SE–SE diplexer, the external coupling is adding the extra size. A photograph of the implemented diplexer is shown in Fig. 13. The piezoelectric tuners are identical to the ones used in the SE–SE diplexer. The fabricated physical dimensions are shown in Table II. Each channel is measured separately by

1244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 14. Simulated and measured S-parameters of SE–BAL diplexer channels. (a) Lower channel is measured and upper channel is terminated with 100- differential load. (b) Upper channel is measured and lower channel is terminated with 100- differential load. (c) Amplitude and phase imbalance within the 10-dB bandwidth associated with the tuning states shown in (a) and (c).

terminating the other channel in a matched load. First, with matching the upper channel (port 2), Fig. 14(a) presents the measured port 3 (lower channel) S-parameters, and the simulated S-parameters of the proposed SE–BAL diplexer at the beginning, middle, and at the end of the tuning range. The lower channel can be tuned from 2.2 to 3.46 GHz, and the 3-dB fractional bandwidth changes from 2.4% to 3% across the tuning range. Second, with matching the lower channel (port 3), Fig. 14(a) and (c) presents the measured port 2 (upper channel) S-parameters, and the simulated S-parameters of the diplexer at the same tuning states. The upper channel is tuned (with the lower channel) from 2.8 to 4.4 GHz with a 3-dB fractional bandwidth changing from 1.6% to 1.8%. Fig. 14(b) shows the amplitude and phase imbalance within the 10-dB bandwidth associated with the tuning states shown in Fig. 14(a) and (c). Fig. 15 presents the maximum amplitude and phase imbalance within the 3-dB bandwidth of the diplexer channels for several tuning states across its tuning range. In all the cases, the amplitude imbalance is less than 0.5 dB, and the phase imbalance is less than 0.7° for both the channels. In all the measured states, the maximum observed CMR is better than 44 dB for both the channels. Throughout the tuning range, the IL varies between 2.2 dB at 2.2 GHz to 1.7 dB at 3.4 GHz for the lower channel, and from 2.1 dB at 2.8 GHz to 2.7 dB at 4.4 GHz for the upper channel. The maximum leakage measured from port 1

Fig. 15. Measured worst case amplitude and phase imbalance within the 3-dB bandwidth of the SE–BAL diplexer for several tuning states across its tuning range.

to the upper channel (S21 ) within the passband of the lower channel is 28 dB, while the maximum observed leakage to the lower channel (S31 ) within the passband of the upper channel is 42 dB. To measure the isolation, four ports are needed to

HAGAG et al.: TUNABLE SIW CAVITY-BASED DUAL-MODE DIPLEXERS

1245

TABLE III D ESIGN PARAMETERS OF THE BAL–BAL D IPLEXER

Fig. 16. Simulated external coupling coefficient K e of the three resonators in the BAL–BAL diplexer as a function of coupling aperture length and angle, computed at 2 GHz, for the lower channel, and 2.7 GHz, for the upper channel. The slot width is kept fixed, W s1 = 1.5 mm, W3 = 1.2 mm, and W S3 = 1.5 mm, for the three resonators. A 10-mil-thick 5880 Roger substrate is employed.

Fig. 17. Photograph of the proposed BAL–BAL diplexer. (a) Top view. (b) Bottom view.

measure the two BAL ports, and a fifth port is needed to align the common resonator (port 1). Due to the four-port limitation in the measurement setup, only simulated isolation is shown in Fig. 14. The isolation is expected to be lower than the leakage since the signal path of the isolation (S32 or S23 ) goes through the three resonators, compared to two resonators in the leakage case. This is supported in the simulation results in Fig. 14. As a result, the leakage values are considered as an upper bound for the isolation. V. BAL–BAL D IPLEXER A. External Coupling The external couplings in the BAL–BAL diplexer for resonators B and C are identical to the external couplings in

Fig. 18.

Simulated and measured S-parameters of BAL–BAL diplexer.

the SE–BAL. The common resonator (resonator A) is differentially excited at region I [from Fig. 2(c)] such that it excites both modes. The external coupling values are simulated as a function of the coupling size, and plotted in Fig. 16. The coupling values cover the design requirements with reasonably sized apertures.

1246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

TABLE IV C OMPARISON W ITH SE–SE D IPLEXERS

TABLE V C OMPARISON W ITH SE–BAL D IPLEXERS

TABLE VI C OMPARISON W ITH BAL–BAL D IPLEXERS

B. Implementation and Results The BAL–BAL diplexer is fabricated using multilayer PCB process. The total size of the diplexer is 0.5λg × 0.44λg (60 × 50 mm) (λg at 2 GHz). Also, the diplexing structure does not exceed in size the one proposed in Section III-B. A photograph of the implemented diplexer is shown in Fig. 17. A 60-mil thick RO4350B substrate is employed as a cavity substrate, and a 10-mil thick RO5880 substrate are employed as a signal substrate. The tuning is done using the same aforementioned manner. The fabricated physical dimensions are shown in Table III. As in Section IV-B, each channel is measured separately by matching the other channel. However, here, for the sake of compactness, upper channel and lower channel results are plotted in one figure. Fig. 18 presents the measured and simulated S-parameters of the proposed BAL–BAL diplexer at the beginning, middle, and the end of the tuning range. The lower channel can be tuned from 2 to 3.27 GHz, and

the 3-dB fractional bandwidth changes from 2.3% to 2.8% within the tuning range. The upper channel is tuned (with the lower channel) from 2.56 to 4.23 GHz with a 3-dB fractional bandwidth changing from 1.2% to 1.4%. In all the measured states, the maximum observed CMR is less than 35 dB for both the channels. Throughout the tuning range, the IL varies between 2.5 to 1.8 dB for the lower channel and from 3 to 2.4 dB for the upper channel. The same difficulty in measuring the isolation exists. However, based on the simulated isolation and the leakage between channels, the measured channel-to-channel isolation should be better than 35 dB, throughout the tuning range. VI. M EASUREMENTS OVERVIEW As shown in Figs. 14 and 18, in terms of CMR, the proposed SE–BAL diplexer is better than the proposed BAL–BAL diplexer. There are three reasons for that. First, the used SMA connectors are lower quality in Fig. 17 compared with Fig. 13.

HAGAG et al.: TUNABLE SIW CAVITY-BASED DUAL-MODE DIPLEXERS

This was necessary due to the specific layout and is not related to the actual diplexer. Second, in the case of the BAL–BAL diplexer, semirigid extension cables are used to measure the diplexer, due to the tight distance between the ports. This also increases the imbalance. Finally, the cross-coupling between the ports is higher in the BAL–BAL case as can be seen from the measurements. As shown in Fig. 18, CMR between ports 1 and 3 is better than that between ports 1 and 2. A voltage range (−200 to 200 V) has been used, in all implementation, to achieve the frequency tuning. The variations in the range of g is due to fabrication tolerances. Comparisons between other state-of-the-art SE–SE diplexers, SE–BAL diplexers, and BAL–BAL diplexers are shown in Table IV, Table V, and Table VI, respectively. As shown in Table IV, the proposed SE–SE diplexer has the lowest IL with good tuning range and compact size. As shown in Table V, the proposed SE–BAL diplexer has the lowest IL, highest CMR, and tuning range with relatively compact size and reasonable isolation. Regarding the proposed BAL–BAL diplexer, it is the first presented tunable diplexer that supports BAL outputs in all its ports. VII. C ONCLUSION A novel compact tunable low-loss SIW cavity-based SE–SE, SE–BAL, and BAL–BAL diplexers are presented for the first time. Based on the demonstrated design concepts, any combination of BAL and SE ports can be achieved. The only difference between the three presented designs is in the external coupling structures. The measured isolation, CMR, and tuning range is better than 30 dB, 40 dB, and 45%, respectively, for all presented designs. This diplexer design methodology is feasible for SE or BAL multiband FDD systems. R EFERENCES [1] M. A. Khater, Y.-C. Wu, and D. Peroulis, “Tunable cavity-based diplexer with spectrum-aware automatic tuning,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 3, pp. 934–944, Mar. 2017. [2] E. E. Djoumessi and K. Wu, “Electronically tunable diplexer for frequency-agile transceiver front-end,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1472–1475. [3] K. Saitou and K. Kageyama, “Tunable duplexer having multilayer structure using LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3. Jun. 2003, pp. 1763–1766. [4] H. Ezzeddine et al., “Design of a compact dual-band diplexer with dual-mode cavities,” in Proc. 42nd Eur. Microw. Conf., Oct. 2012, pp. 455–458. [5] V. Radoni´c, V. Crnojevi´c-Bengin, A. Baskakova, and I. Vendik, “Multilayer microwave diplexers based on dual-mode resonators for ISM/WiFi bands,” in Proc. Medit. Microw. Symp. (MMS), Dec. 2014, pp. 1–4. [6] T. V. Duong, W. Hong, Z. C. Hao, W. C. Huang, J. X. Zhuang, and V. P. Vo, “A millimeter wave high-isolation diplexer using selectivityimproved dual-mode filters,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 2, pp. 104–106, Feb. 2016. [7] X. Guan, F. Yang, H. Liu, and L. Zhu, “Compact and high-isolation diplexer using dual-mode stub-loaded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 6, pp. 385–387, Jun. 2014. [8] E. A. Ogbodo, Y. Wu, and Y. Wang, “Microstrip diplexers with dual-mode patch resonant junctions,” in Proc. 46th Eur. Microw. Conf. (EuMC), Oct. 2016, pp. 1155–1158. [9] R. S. Chen, S.-W. Wong, L. Zhu, and Q.-X. Chu, “Wideband bandpass filter using u-slotted substrate integrated waveguide (SIW) cavities,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 1, pp. 1–3, Jan. 2015.

1247

[10] L. Cao, S. Qiu, F. Xu, and J. Pu, “A compact bandpass SIW filter with transmission zero at lower frequency side,” in Proc. IEEE Int. Conf. Ubiquitous Wireless Broadband (ICUWB), Oct. 2016, pp. 1–3. [11] H. Y. Chien, T. M. Shen, T. Y. Huang, W. H. Wang, and R. B. Wu, “Miniaturized bandpass filters with double-folded substrate integrated waveguide resonators in LTCC,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 7, pp. 1774–1782, Jul. 2009. [12] M. D. Hickle and D. Peroulis, “Octave-tunable constant absolute bandwidth bandstop filter utilizing a novel passively-compensated coupling method,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–4. [13] E. J. Naglich, J. Lee, and D. Peroulis, “Tunable bandstop filter with a 17-to-1 upper passband,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [14] V. Sekar, M. Armendariz, and K. Entesari, “A 1.2–1.6-GHz substrateintegrated-waveguide RF MEMS tunable filter,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 866–876, Apr. 2011. [15] E. J. Naglich, A. C. Guyette, and D. Peroulis, “High-Q intrinsicallyswitched quasi-absorptive tunable bandstop filter with electrically-short resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [16] D. Peroulis, E. Naglich, M. Sinani, and M. Hickle, “Tuned to resonance: Transfer-function-adaptive filters in evanescent-mode cavityresonator technology,” IEEE Microw. Mag., vol. 15, no. 5, pp. 55–69, Jul./Aug. 2014. [17] J. Lee, E. J. Naglich, H. H. Sigmarsson, D. Peroulis, and W. J. Chappell, “New bandstop filter circuit topology and its application to design of a bandstop-to-bandpass switchable filter,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1114–1123, Mar. 2013. [18] E. Säckinger, Broadband Circuits for Optical Fiber Communication. New York, NY, USA: Wiley, 2005. [19] G. Vasilescu, Electronic Noise and Interfering Signals: Principles and Applications. Berlin, Germany: Springer, 2006. [20] A. Peyton and V. Walsh, Analog Electronics With OP-Amps: A Source Book of Practical Circuits. Cambridge, U.K.: Cambridge Univ. Press, 1993. [21] C.-H. Wu, C.-H. Wang, and C. H. Chen, “A novel balanced-tounbalanced diplexer based on four-port balanced-to-balanced bandpass filter,” in Proc. 38th Eur. Microw. Conf., Oct. 2008, pp. 28–31. [22] Q. Xue, J. Shi, and J.-X. Chen, “Unbalanced-to-balanced and balancedto-unbalanced diplexer with high selectivity and common-mode suppression,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2848–2855, Nov. 2011. [23] Z.-H. Bao, J.-X. Chen, E. H. Lim, and Q. Xue, “Compact microstrip diplexer with differential outputs,” Electron. Lett., vol. 46, no. 11, pp. 766–768, May 2010. [24] P.-L. Chi and T. Yang, “Novel 1.5–2.4 GHz tunable single-to-balanced diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 10, pp. 783–785, Oct. 2016. [25] Y. Zhou, H.-W. Deng, and Y. Zhao, “Compact balanced-to-balanced microstrip diplexer with high isolation and common-mode suppression,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 3, pp. 143–145, Mar. 2014. [26] H. L. Chan, C. H. Lee, and C. I. G. Hsu, “Balanced dual-band diplexer design using microstrip and slot-line resonators,” in Proc. Asia–Pacific Microw. Conf. (APMC), vol. 3. Dec. 2015, pp. 1–3. [27] P.-H. Wen, C.-I. G. Hsu, C.-H. Lee, and H.-H. Chen, “Design of balanced and balun diplexers using stepped-impedance slot-line resonators,” J. Electromagn. Waves Appl., vol. 28, no. 6, pp. 700–715, Dec. 2014. [28] C.-H. Lee, C.-I. G. Hsu, S.-X. Wu, and P.-H. Wen, “Balanced quad-band diplexer with wide common-mode suppression and high differentialmode isolation,” IET Microw., Antennas Propag., vol. 10, no. 6, pp. 599–603, Apr. 2016. [29] H. H. Sigmarsson, A. Christianson, H. Joshi, S. Moon, D. Peroulis, and W. J. Chappell, “In-situ control of tunable evanescent-mode cavity filters using differential mode monitoring,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 633–636. [30] E. J. Naglich, J. Lee, H. H. Sigmarsson, D. Peroulis, and W. J. Chappell, “Intersecting parallel-plate waveguide loaded cavities for dual-mode and dual-band filters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 1829–1838, May 2013. [31] X. Liu, L. P. B. Katehi, W. J. Chappell, and D. Peroulis, “High-Q tunable microwave cavity resonators and filters using SOI-based RF MEMS tuners,” J. Microelectromech. Syst., vol. 19, no. 4, pp. 774–784, Aug. 2010. [32] J.-S. G. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, vol. 167. New York, NY, USA: Wiley, 2004.

1248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

[33] M. D. Hickle and D. Peroulis, “A widely-tunable substrate-integrated balun filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 274–277. [34] P.-L. Chi and T. Yang, “Three-pole reconfigurable 0.94–1.91-GHz diplexer with bandwidth and transmission zero control,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 96–108, Jan. 2017. [35] T. Yang and G. M. Rebeiz, “A compact 1.9–3.4 GHz diplexer with controllable transmission zeros, improved isolation, and constant fractional bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2016, pp. 1–3. [36] C.-H. Ko and G. M. Rebeiz, “A 1.4–2.3-GHz tunable diplexer based on reconfigurable matching networks,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 5, pp. 1595–1602, May 2015. [37] C.-F. Chen, C.-Y. Lin, B.-H. Tseng, and S.-F. Chang, “A compact tunable microstrip diplexer using varactor-tuned dual-mode stub-loaded resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–3.

Mohamed F. Hagag (S’12) received the B.S. and M.S. degrees in electrical and computer engineering from the Military Technical College, Cairo, Egypt, in 2007 and 2013, respectively. He is currently pursuing the Ph.D. degree at Purdue University, West Lafayette, IN, USA. From 2009 to 2014, he was with Military Technical College, where he was a Researcher and a Teaching Assistant with the Electronic Engineering Department. His research has focused on different metamaterial applications in microwave and millimeter bands especially microwave components, miniaturized multiband antennas, and radar absorbers. He is currently with the School of Electrical and Computer Engineering, Birck Nanotechnology Center, Purdue University. His current research interest includes reconfigurable RF front-end components based on high-Q cavity resonators. Mohammad Abu Khater (S’02–M’16) received the Ph.D. degree in electrical and computer engineering from Purdue University, West Lafayette, IN, USA, in 2015. He was with Younivate (Qualcomm licensee), Intel Labs, and Qualcom, San Diego, CA, USA, where he was involved in various high-speed and low-power circuits and systems. He is currently a Post-Doctoral Researcher with Purdue University. His current research interests include wireless tunable filter control, adaptive RF front ends, MEMS devices monitoring, low-power supplies, and system-level design. Dr. Khater was a recipient of the Fulbright Scholarship in 2007 and the Magoon Award for Excellence in Teaching from the College of Engineering, Purdue University, in 2012.

Mark D. Hickle (S’11–M’17) received the B.S. degree in electrical engineering from the Missouri University of Science and Technology, Rolla, MO, USA, in 2012, and the Ph.D. degree in electrical and computer engineering from Purdue University, West Lafayette, IN, USA, in 2016. In 2017, he joined BAE Systems, Inc., Merrimack, NH, USA. While at Purdue University, he was a National Defense Science and Engineering Graduate Fellow. Dr. Hickle was a co-recipient of the First Place Awards of the RF-MEMS Tunable Filter Student Design Competitions at both the 2014 and 2015 IEEE MTT-S International Microwave Symposium and a co-recipient of the First Place Award of the 2015 MTT-S Youtube/YouKu Video Competition.

Dimitrios Peroulis (S’99–M’04–SM’15–F’17) received the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, MI, USA, in 2003. He is currently a Professor of electrical and computer engineering, the Deputy Director of the Birck Nanotechnology Center, and the Graduate Admissions Director with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA. He has co-authored over 300 journal and conference papers. He has been a key contributor on developing high-quality reconfigurable filters and filter synthesis techniques based on tunable miniaturized high-Q resonators. He is also leading unique research efforts in high-power multifunctional RF electronics. His current research interests include reconfigurable electronics, cold-plasma RF electronics, and wireless sensors. Dr. Peroulis was a recipient of the National Science Foundation CAREER Award in 2008. In 2012, he was a recipient of the Outstanding Paper Award from the IEEE Ultrasonics, Ferroelectrics, and Frequency Control Society (Ferroelectrics Section) and the Outstanding Young Engineer Award of the IEEE Microwave Theory and Techniques Society in 2014. He was also a recipient of 10 teaching awards including the 2010 HKN C. Holmes MacDonald Outstanding Teaching Award and the 2010 Charles B. Murphy Award, which is Purdue University’s highest undergraduate teaching honor. His students have received numerous Student Paper Awards and other student research-based scholarships. He has been a Purdue University Faculty Scholar.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Design Methodologies of Compact Orthomode Transducers Based on Mechanism of Polarization Selectivity Ahmed A. Sakr , Walid Dyab, and Ke Wu, Fellow, IEEE

Abstract— An orthomode transducer (OMT) design is presented and implemented for future RF and millimeter-wave applications. The novelty of the proposed OMT stems from a distinct concept of handling dual-polarized signals on the basis of a polarization-selective coupler (PSC). The theory of the PSC is developed and understood through analyzing its constituent waveguides. Such waveguides are hybrid forms of nonradiative dielectric waveguide and substrate-integrated waveguide. An equivalent circuit model is deduced for modeling dispersion characteristics of the PSC. Consequently, design methodologies supported with comprehensive theoretical study and simulation analysis for a PSC-based OMT are developed and examined. The general design of a PSC-based OMT basically depends on a dielectric slab coupler waveguide with a longitudinal periodic PEC polarizer wall in its middle. Practical limitations for this design is discussed and supported with solutions using a proposed top slot coupler instead of the dielectric slab coupler. Closed-form equations are obtained as design recipes for computing structure dimensions and bandwidth based on operating frequency and the material parameters. The proposed structure is prototyped and measured. Measured results show an acceptable agreement with simulation results. Index Terms— Antenna frontends, orthomode transducer (OMT), polarization-selective coupler (PSC), substrate-integrated nonradiative dielectric (SINRD) waveguide, substrate-integrated waveguide (SIW).

I. I NTRODUCTION

W

ITH the current evolutionary growth of mobile systems and wireless communications demand, augmenting the capacity and the efficiency of such systems has become a stipulated research concern [1]. This required capacity enhancement should not be made at the expense of a system bandwidth which is the main resource in a wireless communication system. Fortunately, millimeter-wave (mm-wave) band with its broad bandwidth from 30 to 300 GHz and beyond could be proposed as a candidate for the 5G network to fulfill the required wideband communication services [2], [3]. The importance of the mm-wave band comes in the company of drawbacks such as propagation loss [4], [5] and multipath Manuscript received July 6, 2017; revised September 7, 2017 and October 31, 2017; accepted November 13, 2017. (Corresponding author: Ahmed A. Sakr.) The authors are with the Poly-Grames Research Center, Polytechnique Montréal, Montréal, QC H3T-1J4, Canada (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2784822

reflections from ground, buildings and other physical objects [6]. In order to achieve the highest possible exploitation of the mm-wave band, its efficiency must be boosted to overcome its drawbacks. One potential solution for that is the deployment of polarization diversity [7]. Polarization diversity has gained much interest in many applications; thanks to its capability in enhancing the efficiency of transmitting and/or receiving circuitries [8]–[10]. An orthomode transducer (OMT) is the essential component for polarization diversity. It is a waveguide device with three physical ports which is capable of separating or combining two spatially orthogonal signals within the same frequency band simultaneously. In this paper, a planar OMT with detailed design recipe is presented and studied. The proposed planar design is suitable for the upcoming generations of mobile systems and wireless communications. There are many techniques to construct an OMT, but most of them are complicated and nonplanar. This is because in those designs, the concept of separating and combining orthogonal signals is basically depending on internal transitions in the geometry of the structure. The Boifot approach [11] is among the common approaches used in the past along with the septum polarizer [12] and the turnstile junction [13]. Different variations of these designs have been reported recently with new manufacturing technologies based on platelet (multilayer) micromachining strategies [14]–[16] and also using superimposition of few aluminum blocks [17]. Those variations, however, did not introduce a new separation concept of the orthogonal polarizations as compared to the mentioned common approaches [11]–[13]. Relatively, new methods for separating the orthogonal polarizations were introduced. In [18], the new method is based on a tilted T-junction between square (or circular) waveguide and rectangular single mode waveguide. Whereas in [19], the method for separation was based on dividing the common square waveguide into four single-ridged triangular waveguides. Planar OMT designs were proposed based on substrateintegrated waveguide (SIW) technologies [20], [21]. Those designs are similar to the proposed design in being planar, but they are different in the polarization separation mechanism as explained in the following. In this paper, an OMT is proposed, studied, and demonstrated based on an idea which is different from the previous design schemes. The realization of this idea basically depends on two types of couplers, namely, the dielectric slab

0018-9480 © 2018 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

coupler [22], [23] and the Riblet-like coupler [24] or the Rosenberg coupler [25]. These couplers are able to totally couple the excited mode at the coupled port if the coupler has an appropriate coupling length. In order to separate vertically polarized and horizontally polarized modes using these couplers, a polarization-selective wall is longitudinally added in the middle of the coupler. This wall allows coupling just for the horizontally polarized mode while guiding the vertically polarized mode. We name this component here, a polarization-selective coupler (PSC). The full theoretical and parametric analysis of the PSC is presented in [26] while the work presented in this paper is more related to the design methodologies, limitations, implementations, and considering the typical substrate anisotropy. The proposed idea about separating or combining the two orthogonal signals using the selective coupling mechanism simplifies the analysis and design as introduced through this paper. This is achieved by designing only one coupler which acts differently for different polarizations. This simplifies the geometry when compared to other OMT structures which depends on two separate couplers oriented on different planes such as the one in [27]. This also relatively reduces the structure size compared to other OMT designs. For example, the dielectric-based design in [20] has a physical size of 20.9 × 20.7 × 30 mm3 when operating around 30 GHz with a bandwidth of 150 MHz, but the proposed dielectric-filled PSC-based OMT has a physical size of 45 × 18 × 3 mm3 when working around 38 GHz with a bandwidth of 5 GHz. For the air-filled designs, the structure in [17] has a physical size of 34×52×68 mm3 , without the antenna, when operating around 32 GHz with a bandwidth of 42% while the proposed air-filled PSC-based OMT including the horn antenna has a physical size of 23 × 42 × 69 mm3 when operating around 32 GHz with a bandwidth of 23%. From these comparisons, the proposed OMT structure shows an interesting reduction in profile. Moreover, the design in [28] showed an interesting compact OMT, but it cannot be integrated within dielectric substrates in the printed circuit board (PCB) designs while the proposed OMT with its reduced and planar profile (without the metal enclosure designed only for measurement) shows a great possibility for integration with PCB applications. However, the proposed OMT has a relatively limited bandwidth governed by the appearance of modes necessary for coupling as shown later. Complete analysis and the design of the previously mentioned couplers, and accordingly OMTs, are considered in this paper based on nonradiative dielectric (NRD) guide [29] and SIW [30] technologies. By means of those technologies, a compact OMT with planar profile is designed based on theoretical analysis and experimental observation. This paper is organized as follows. In Section II, the PSC operation is analyzed through its constituent waveguides. This analysis is enhanced by developing an equivalent circuit model from which the coupling characteristics are obtained. Dispersion and field distribution of the PSC are discussed as well. Complete design procedure, fabrication limitation, and prototype measurements are all presented in Section III. Finally, conclusions and discussions are given in Section IV.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Image-NRD waveguide. (a) Physical structure. (b) Field distribution for LSM01 mode. (c) Dispersion curves.

II. P OLARIZATION S ELECTIVE C OUPLER The NRD and SIW waveguide technologies are used together in their image or half-mode forms in order to construct the proposed PSC. For the image-NRD waveguide shown in Fig. 1(a), it consists of a core dielectric region with relative permittivity εd , width a/2 and thickness b. The propagating wave through the dielectric region is bounded at the right-hand side by a vertical PEC wall, bounded at the left-hand side by another dielectric region with lower dielectric constant εeff . This type of waveguide supports two classes of modes, namely, longitudinal section electric (LSE) mode which is vertically polarized and longitudinal section magnetic (LSM) mode which is horizontally polarized. LSM01 is the mode of interest in this waveguide with the field distribution shown in Fig. 1(b). The main difference between image-NRD waveguide and normal NRD waveguide is that the added image PEC wall suppresses all the even-order LSE modes and the odd-order LSM modes. This yields a dispersion diagram, as in Fig. 1(c) with a less number of modes compared to the normal NRD waveguide [29]. Inserting a periodic PEC wall by plating metallic vias on the left-hand side of dielectric region of the image-NRD waveguide yields what we call image-NRD-SIW waveguide, as shown in Fig. 2(a) [31]. The added periodic PEC wall does not affect the LSM modes, while converting the LSE modes to TE modes of the SIW as shown in the field distribution and dispersion curves of Fig. 2(b) and (c), respectively. The TE10 is the mode of interest in this waveguide. The PSC can be constructed by placing the image-NRD and the image-NRD-SIW guides in parallel with an air gap (as an effective medium) in-between as shown in Fig. 3(a) which is the general form of the PSC. This PSC is supposed to be the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAKR et al.: DESIGN METHODOLOGIES OF COMPACT OMTs

3

Fig. 3. PSC. (a) Symbol and physical structure. (b) Field distribution when excited with TE10 and LSM01 modes.

Fig. 2. Image-NRD-SIW waveguide. (a) Physical structure. (b) Field distribution for TE10 mode. (c) Dispersion curves.

basic unit in our proposed OMT structure. One can imagine the wave propagation mechanism through this PSC when exciting the structure with two orthogonally polarized modes simultaneously, namely, TESIW 10 and LSM01 modes, as shown in Fig. 3(b). If the image-NRD-SIW guide is excited with a dual-polarized signal, the vertically polarized signal (TESIW 10 ) propagates only through this guide because it is completely confined by the metallic vias without coupling to the adjacent guide. On the contrary, for the horizontally polarized signal (LSM01 ), the periodic conducting wall is transparent for such a horizontal mode, so this mode penetrates evanescently through the air gap (which represents the effective medium in this case) then couples to the adjacent image-NRD guide, and gets fully received there if it has an appropriate coupling length. So the vertically polarized mode TESIW 10 is received at the end of the image-NRD-SIW guide while the horizontally polarized mode LSM01 is received at the end of the image-NRD guide. Therefore, the function of the PSC appears clearly through the field distribution of Fig. 3(b). The vertically polarized TE10 mode is incapable to get coupled from the image-NRDSIW guide to the image-NRD guide, while the horizontally polarized LSM01 mode has the ability to couple from the image-NRD-SIW guide to the image-NRD guide which is the main function of an OMT. In order to facilitate the analysis and design of the PSCbased OMT, an equivalent circuit model is derived as shown in Fig. 4(a). This equivalent circuit model simplifies the understanding of the PSC operation and facilitates the derivation of closed-form design equations for such structures. The modes of interest to be analyzed by this equivalent circuit are the LSMmn modes which are the essential modes for

Fig. 4. (a) PSC equivalent circuit model. (b) Dispersion curves. (c) Power alternation ratio between guide E (excited) and guide C (coupled).

coupling, while the vertically polarized modes are not coupled due to the existence of the periodic wall as stated earlier, and hence they follow the general rules of a rectangular waveguide. The equivalent circuit consists of two transmission lines connected together and terminated with a short circuit load which represents the vertical enclosing PEC walls. The gray transmission line represents the thin air gap and it has characteristic admittance Yeff and length d while the green transmission line represents the dielectric region and it has characteristic admittance Yd and length a/2. The input admittance of this equivalent circuit can be easily written as Yin = Yeff

Yeff + Yd cot(ua/2) coth(vd/2) Yeff coth(vd/2) + Yd cot(ua/2)

(1)

where Yd = j ωεo εr /u

Yeff = j ωεo /v

(2)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 5.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Different views for the general form of a dielectric-filled PSC-based OMT shown in elevation, side view, plan, and isometric cuts.

and u2 + β 2 +

 nπ 2

= k 2 = ω2 μo εr b  nπ 2 −v 2 + β 2 + = k02 = ω2 μ0 ε0 . (3) b Substituting (2) into (1) yields the dispersion relation for the LSMmn modes of the PSC structure. This dispersion relation can be formulated as uεo sin(ua/2) + vεr cos(ua/2) ± (uεo sin(ua/2) − vεr cos(ua/2))e−vd = 0.

(4)

The negative and positive signs give the solution for the even and odd modes, which are achieved at Yin = 0 and Yin → ∞, respectively. From this dispersion relation, the even and odd components of the propagation constant, βe and βo , can be calculated by substituting the solution of (4) into (3) from which the dispersion curves in Fig. 4(b) are obtained. The dispersion curves contain the even and odd components of the LSM01 and LSM21 modes for a PSC filled with dielectric substrate Rogers RT/Duroid 6010 that has a dielectric constant of 10.2, dielectric loss tangent of 0.0023, width a/2 = 2 mm, thickness b = 1.9 mm, and air gap width d = 0.05 mm. The even and odd components of the LSM01 mode are the necessary components for achieving a forward coupling. The appearance of the even component of the LSM21 mode prevents the forward full coupling and limits the bandwidth to about 9 GHz around the operating frequency at 32 GHz. Then, the values of βe and βo of the LSM01 mode are used to obtain the required length for achieving full coupling L c [32] by applying the following equation: π . (5) Lc = βe − βo The power percentage plot in Fig. 4(c) shows the power alternation of the LSM01 mode between the main imageNRD-SIW guide and the coupled image-NRD guide. It can be clearly observed that there is a critical point of operation at 40 mm at which the full coupling is achieved. The design value

of the coupling length is deduced from (5). The implementation of an OMT based on the PSC is explained in Section III where different design possibilities are discussed. III. OMT D ESIGN BASED ON PSC In this paper, the concept of the proposed PSC facilitates the OMT design to be in a systematic procedure. In this section, the design procedure of the planar OMT device is explained. The OMT structure dimensions are deduced according to the required operating frequency and medium parameters. The general case of a PSC-based OMT is studied in Section III-A where some limitations prevent an accurate physical realization of this structure. In Sections III-B and III-C, these limitations are overcome by using dielectric-filled and airfilled fused couplers, respectively. The air-filled structure is integrated with a horn antenna as a validation for the simultaneous separation, or combination, of the two orthogonal polarizations. A. OMT Design Based on Isotropic Dielectric Material Filling With Air Gap In this section, an isotropic dielectric PSC-based OMT with an air gap is presented. Different views of the complete physical structure are presented in Fig. 5. In this case, matching sections to standard waveguides should be added for the purpose of measurements over the frequency range of interest. The effective medium as described in Figs. 1(a) and 2(a) for the image-NRD and image-NRD-SIW guides is considered to be air. Therefore, an air gap should appear between both waveguides as shown in the side view of Fig. 5. The OMT structure is supposed to be excited by a dual-polarized horn antenna. In practice, the antenna may receive an arbitrarily polarized wave. It will be the function of the OMT to decompose the received wave into vertical and horizontal polarizations. Therefore, it is a must to design one input and two independent output sections, specifically suitable for each corresponding

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAKR et al.: DESIGN METHODOLOGIES OF COMPACT OMTs

5

polarization. Regarding the input section, it is required to support the TE10 and LSM01 modes in the same frequency range. This restricts the cross section of the input guide to be almost a square. For the output sections, it is required to support single mode operation for each polarization at its corresponding output port. Since the band allocated for the 5G cellular network includes the starting edge of the mm-wave band in 28– 38 GHz, we choose this band as a proof of concept to design this OMT at the center frequency of 32 GHz. For the vertically and horizontally oriented output waveguides, two matching sections to WR28 waveguides have been optimized for integration with the Ka-band components. The dimensions are calculated using the procedure explained in detail below. The PSC has a near-square cross section a/2 × b. These dimensions should be selected carefully to guarantee single for the mode operation for each polarization being TESIW 10 vertically polarized mode with cutoff frequency c fcTESIW = √ (6) a εr 10 and LSM01 for the horizontally polarized mode with cutoff frequency   2  2 1 c 1 + . (7) f cLSM01 < √ 2 εr a b From (6) and (7), the dimensions a and b can be evaluated under the following constraint which guarantees that the operating frequency does not permit the propagation of the next odd LSM21 mode: fcLSM01 < fop < f cLSM21 .

(8)

Based on the previous discussions, for this dielectric PSCbased OMT to operate at 32 GHz using a Roger RT/Duroid6010 substrate with dielectric constant 10.2, a/2 and b should be 2 and 1.9 mm, respectively. The gap width between both waveguides, denoted by d, is selected to have the lowest possible value feasible in the fabrication process in order to guarantee the best coupling between the guides before the occurrence of a complete exponential decay of the LSM01 mode in the air gap. This value should be the same as that of the laser beamwidth used for the substrate micromachining which is 0.05 mm in this case. Using the previously obtained parameters, we can apply them to (5) in order to calculate the longitudinal length of the structure which gives 22.5 mm. The final structure after applying the above-mentioned specified parameters is implemented on the HFSS simulation package from which scattering parameters and field distributions are obtained in Fig. 6(a) and (b) for the vertically and horizontally polarized modes, respectively. It can be clearly observed that the vertically polarized TE10 mode is received at port 2 with a complete isolation from the horizontally polarized LSM01 mode. On the other hand, the horizontally polarized LSM01 mode is received at port 3 with an acceptable isolation from the vertically polarized TE10 mode. This attractive feature reflects what is expected through the previous discussions. Nevertheless, some problems will appear if this

Fig. 6. Scattering parameters and field distribution for the structure in Fig. 5 at: (a) port 2 for TE10 mode and (b) port 3 for LSM01 mode.

structure is implemented experimentally and would affect the results, so this should be taken into consideration. The first problem appears because of the laser beam used in Poly-Grames Research Center for cutting the substrate in order to realize the air gap. Since the thickness of the used substrate is relatively large, 1.9 mm, it is really difficult to have a homogeneous air gap over this thickness which results in a rough-tapered air gap. This inhomogeneity causes some reflections and even standing waves may appear as well. The other and main problem is related to realizing the metallic vias. According to the fabrication limitations in many labs, filling the vias with conductor restricts the vias diameter to be at least a half of substrate thickness. This restriction contradicts with our last mentioned restriction for the waveguide cross section to be almost square. In other words, half of the main waveguide cross section will be occupied just for realizing the metallic vias. This affects dramatically the TE10 mode where high reflections take place due to a huge periodic conducting wall. An interesting solution to avoid the previously mentioned restrictions is to nullify the spacing d in Fig. 3(a) altogether

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

and make it simply 0. That is, the image-NRD and imageNRD-SIW guides touch each other, and then the resulting coupler is converted from a dielectric slab coupler to a fused coupler instead. The detailed operation, design steps, and physical implementation of this structure are presented in Section III-B. The last issue, which should not be considered as a problem if carefully taken into consideration, is the substrate anisotropy. For the substrates with relatively large dielectric constants, such as the one in this example, there is no escape from the anisotropy effect [33], especially for dual-polarized structures. This is because there are two orthogonal modes propagating through the substrate which means each mode experiences a different dielectric constant which should be taken into account. Considering this, anisotropy extremely complicates the analysis if the air gap exists. Moreover, the dielectric substrates are commonly used for the vertically polarized dominant TE10 mode, so the substrate dielectric constant is usually known in that direction, while in the perpendicular direction, the exact values of the substrate parameters are not specified precisely. For example, regarding the Roger RT/Duroid 6010 substrate used in this paper, Rogers Corporation officially reported to the authors that the dielectric constant in the horizontal direction for this substrate ranges between 13 and 14. This cannot lead to accurate design calculations. In addition, frequency dispersion in connection with dielectric substrate may come into play at mm-wave frequencies, which may yield a different value of dielectric permittivity compared to the commercial datasheet. This requires adding some modification or tuning to the design to facilitate the consideration of such an anisotropy effect. B. OMT Design Based on Gapless Anisotropic Dielectric Material Filling For the discussion mentioned in Section III-A, if the air gap disappears resulting in a homogeneous dielectric substrate, the coupler converges to be a fused coupler. The proposed fused coupler acts as a Riblet junction [24] for the polarization tangential to the slot, while the same coupler acts as a Rosenberg junction [25] for the polarization perpendicular to the common wall. Adding the periodic PEC wall at the center of the coupler preserves the same explained coupling mechanism for the TE01 mode while prevents the coupling of the TE10 mode. Based on that, the OMT functionality is achieved. Thus, this modified version of the proposed coupler, with the periodic PEC wall and the square cross section of input–output waveguides, can be called as polarization-selective top slot coupler, since the slot is on top of the tip of electric field vector of the coupled TE01 mode. In this case, the above-mentioned problems in the design with the air gap can be handled because the PSC unit is simplified to be a single dielectric substrate enclosed in a rectangular waveguide plated with metallic vias longitudinally in its middle as in Fig. 7(c). Since the PSC-based OMT handles two orthogonal signals, the substrate anisotropy [33] should be taken into

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

consideration. In this case, the input waveguide cross section is not restricted to be square due to different values of the relative permittivity in the cross section and the longitudinal directions ε X Y and ε Z , respectively. The selected operating frequency f op in this design is 38 GHz and the cross-sectional dimensions can be obtained from the following equations: c c a/2 = 1.2 √ b = 1.2 √ . (9) 2 ε Z f op 2 ε X Y fop The metallic vias can take now an appropriate diameter suitable for the conductor filling process which was not possible in the general case due to the existence of the air gap. Now, the whole PSC width can be considered as a + g where g is effectively considered as half of the vias diameter. This is because when adding the metallic vias with their relatively large diameters according to the plating restriction mentioned earlier, reflections could affect the transmission of the guided TE10 mode. In order to overcome this problem, the width of the common waveguide with length L c should be enlarged with at least a half via diameter which reasonably results in neglecting the caused reflections. The rectangular waveguide filled with an anisotropic material is solved in [34] where the optic axis is aligned with the longitudinal direction of the waveguide. Here, the optic axis of the dielectric substrate is perpendicular to the plane of the substrate, i.e., perpendicular to the direction of propagation. In this case, the dispersion characteristics can be obtained by applying the following relations:   (10) det |k|2 I−kk−ko2 ε¯ r = 0    nπ  mπ aˆ z . (11) k= aˆ x +β y aˆ y + a+g b In our solution using the commercial substrate Rogers RT/Duroid 6010, the permittivity in the z-direction ε Z is different from that of the xy plane ε X Y [35]. Therefore, the optic axis in our design is the y-axis which means that the solution inside the waveguide cannot be written as TE y or TM y as in [36]. Thus the coinciding dispersion curves, TE11 and TM11 which has a cutoff of 36 GHz in this example, will split into two separate modes, as shown in Fig. 7(a). We call them ordinary mode and extraordinary mode with the order“11.” The necessary modes for coupling are the TE01 mode and the extraordinary component of the “11” mode because its main component is horizontally polarized. The next mode which has a major horizontal polarization is the extraordinary mode with order “21,” thus the bandwidth of this structure is between 35 and 41 GHz. Based on this discussion, the coupling length relation in (5) can be rewritten in the following form according to the modes necessary for coupling: π . (12) Lc = β y (TE01 ) − β y (Extraordinary Mode11 ) The variation of the coupling length over the operating bandwidth is shown in Fig. 7(b) where the optimal coupling length at 38 GHz is 9.75 mm. Then, the field distribution and the scattering parameters of the designed structure are shown in Fig. 7(c) and (d), respectively. A complete separation between the vertically polarized TE10 mode and the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAKR et al.: DESIGN METHODOLOGIES OF COMPACT OMTs

7

Fig. 7. PSC-based OMT filled with anisotropic dielectric material (Roger RT/Duroid 6010). (a) Dispersion curves. (b) Full coupling length versus frequency. (c) Field distribution. (d) Simulated S-parameters.

horizontally polarized TE01 mode is achieved with an isolation between the two output ports. Fig. 7(d) shows the simulated S-parameters of the OMT where the labels in the graph indicate S (input port number: mode number, output port number: mode number). The port numbering is presented in Fig. 7(c) and mode 1 represents the TE01 mode while mode 2 represents the TE10 mode. It can be observed from the results that the input dual-polarized signal is decomposed into two separated orthogonal signals where the vertically polarized TE10 mode is received at port 2 while the horizontally polarized TE01 is received at port 3. In order to measure, not to realize, this structure, matching sections to the standard air filled WR28 waveguide should be designed. Since we make use of a substrate with high dielectric constant to show the anisotropy effect, the required matching sections are hard to realize. Therefore, we chose to use a dielectric substrate with a lower dielectric constant such as Rogers RT/Duroid 6002 where εXY and ε Z are approximately equal 2.94. This is to validate the concept of the gapless dielectric-filled PSC-based OMT. Although the dielectric used

in this implementation is nearly isotropic, it serves to validate the essence of the idea. The overall structure is prototyped as shown in Fig. 8(a) with the parameters specified in the caption where they can be obtained according to the previously explained procedure. The circular vias presented in Fig. 8(a) is plated with a good conducting material through a chemical deposition process. The implemented structure is measured by the vector network analyzer where the S-parameters are obtained. For the measuring mechanism of this structure, port 1 is excited first with a vertically polarized signal, and then, the output is measured at port 2 while the isolation is measured at port 3. Similarly, port 1 is excited with a horizontally polarized signal and the output is measured at port 3 while the isolation is measured at port 2. The results of the latter case are shown in Fig. 8(b) and compared with the simulation results. It is clear from this comparison that there is an acceptable agreement between the simulation and measured results. This prototype has achieved a bandwidth of about 6 GHz where the design frequency is 38 GHz. This bandwidth is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

WR28 cannot support two modes at a time. In order to analyze the vertical polarization, different matching sections suitable for this polarization should be designed. The horizontally polarized mode is the mode that is selected to be tested in this section because it depends on coupling which mainly governs the OMT design while the vertically polarized mode is received through a normal waveguide without coupling and it has excellent S-parameters in the simulation. According to the previous discussion, for testing both polarizations in a simultaneous manner, a square horn antenna is designed for visualizing the simultaneous performances for both polarizations as explained in Section III-C. For sake of simplicity, and to appreciate the generality of the proposed design methodology, the structure integrated with the horn antenna is implemented as an air-filled structure. This is explained in Section III-C. C. Air-Filled OMT Design In this example, there is no filling material inside the conducting enclosure. Thus, the dielectric coupler converges to an air-filled top slot coupler. This fact significantly simplifies the structure analysis and the design steps as well. The overall structure is integrated with a square horn antenna, as shown in Fig. 9(a). Mathematically, the input admittance obtained in (1) reduces to the following expression when the air gap disappears:

Yeff + Yd cot(ua/2) coth(vd/2) Yin = lim Yeff d→0 Yeff coth(vd/2) + Yd cot(ua/2) (13) = Yd cot(ua/2).

Fig. 8. PSC-based OMT filled with isotropic dielectric material (Roger 6002). (a) Prototype and (b) measured versus simulated S-parameters of the coupled mode TE01 . Coupling length L c = 21 mm, optimized matching length L m = 9.2 mm, and the waveguide cross section of each port is 3 mm × 3 mm.

measured in the Ka-band where 40 GHz is the maximum frequency. Therefore, the real bandwidth may be wider than the mentioned bandwidth limited by the appearance of higher order mode [as in Fig. 7(a)]. However, a slightly high insertion loss, S31 , around 2 dB is observed due to the dielectric loss of the substrate. There is a discrepancy about 1 dB between the simulation and measurement results. This is because the loss in the simulation is based on a very small loss tangent of 0.0012 for the Rogers 6002 substrate. This value is reported in the Rogers datasheet with working conditions up to 10 GHz. The material, however, is used in our design around 38 GHz. We believe this is the cause of that observed discrepancy. Furthermore, the isolation is represented by the value of S23 . The proposed OMT shows an isolation which is better than 20 dB over the whole band. In addition, S21 for the horizontally polarized mode is approximately below −20 dB over the operating band as well. The low values of S23 and S21 guarantee avoiding the reception of unwanted polarization. In Fig. 8(a), the structure feeding-tapered junctions are the standard WR28 waveguide to coaxial transitions. The

More interestingly, closed-form relations can be obtained for the even and odd components of the propagation constant βe and βo , respectively, as in the following equations: π βe (TE01 ) = ko2 − ( )2 (14) b   π 2  π 2 2 βo (TE11 , TM11 ) = ko − − . (15) b a+g So the full coupling length can be easily written in a closed form as follows: π Lc = . (16)

π 2

2  π  2 ko2 − b − ko2 − πb − a+g The last expression in (16), obtained from the equivalent circuit model, provides an important design engine for any air-filled (or isotropic dielectric filled such as the prototype in Section III-B) PSC-based OMT by knowing the proper dimensions needed for the required operating frequency range. The coupling length closed form in (16) is valid only whenever the modes propagating through the waveguide are only the necessary modes for achieving coupling which are TE01 mode and TE11 (or the degenerate TM11 ) mode in our case. The appearance of the TE21 mode will prevent the occurrence of the expected full coupling. This limits the bandwidth of the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAKR et al.: DESIGN METHODOLOGIES OF COMPACT OMTs

9

Fig. 10. Performance analysis for the structure shown in Fig. 9. (a) Simulated field distribution in the receiving case. The polarization state of the incoming wave is arbitrarily chosen to be circular and (b) measured and simulated S-parameters in the transmitting mode at the two input ports, port 1 and port 2.

Fig. 9. Air-filled PSC-based OMT integrated with horn antenna. (a) Isotropic view of the design. (b) Implemented prototype and (c) measurement setup in antenna anechoic chamber.

structure to be between the TE11 mode and the TE21 mode as in the following relation: ⎛ ⎞    2  2 2  2 c 1 1 2 1 ⎠. BW = ⎝ + − + 2 a+g b a+g b (17) The design parameters based on the specified criteria are a/2 = b = 6 mm and L c = 27 mm at f op = 30 GHz. The resulting BW based on (17) is 6 GHz. For sake of completeness, a 20-mm square horn antenna is integrated to the OMT with length of 20 mm. The final structure is implemented through the HFSS simulation and physically fabricated using CNC machining. The physical structure is easy to fabricate because it is only about the implementation of the conducting enclosure. An important question raised is, how the metallic vias can be implemented although there is no dielectric substrate. This is simply treated by embedding metallic cylinders as protrusions from the metallic enclosure itself as in [37] where each cylinder is divided into two equal parts; each is b/2 in length, where one part is connected to the upper half of the enclosure, while the other part is connected to its lower half, as shown in Fig. 9(b). The structure is measured

in the antenna anechoic chamber as a receiving antenna as in the setup shown in Fig. 9(c). In the simulation, the antenna is used in the reception state as shown in Fig. 10(a). An incoming circularly polarized wave is simulated at 30 GHz propagating at angels ϕi = θi = 90°. From the field distribution in Fig. 10(a), a pure vertically polarized wave is received at port 1, while a pure horizontally polarized wave is received at port 2. This means that the incoming circularly polarized wave is decomposed into its linear orthogonal components where the vertical component is received at port 1 while the horizontal component is completely coupled and received at port 2. The same concept occurs with any incoming polarization (linear, circular or elliptical). For a transmission mode operation of the PSC-based OMT, the measured and simulated S-parameters over a band between 28 and 33 GHz are shown in Fig. 10(b). In Fig. 10(b), the absolute value of S11 represents the return loss of the vertical component at port 1, the absolute value of S22 represents the return loss of the horizontal component at port 2 and the absolute value of S21 represents the isolation between the orthogonal components at port 1 and port 2. It can be observed that the return loss for both polarizations is below 12 dB over a bandwidth of 4 GHz with isolation below 20 dB. The spikes which appear over the S11 and S22 curves are caused by the matching sections to the WR28 waveguide for measurements, which is not related to the OMT functionality. This can be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Simulation and measured results at (a) port 1: azimuth cut, (b) port 2: azimuth cut, (c) port 1: elevation cut, and (d) port 2: elevation cut.

verified from the S-parameters in Fig. 7(d) before adding the matching sections. To validate the full functionality of the prototype, the antenna radiation pattern is measured in an anechoic chamber for the two polarizations at 30 GHz. The measured gain patterns are shown in Fig. 11. The prototype is setup as the receiving antenna where a standard gain horn antenna is used as the transmitting antenna. First, the transmitting antenna sends a vertically polarized wave and the received signal is measured at port 1 while port 2 is terminated with a matched load. The measured gain in this case is plotted as the V-pol curve marked with “♦” versus azimuth and elevation angles in Fig. 11(a) and (c), respectively. Then, the transmitting antenna is used to send a horizontally polarized wave, and the measured signal at port 1 is plotted as the H-Pol curve marked with “O” in Fig. 11(a) and (c) for the azimuth and elevation cuts, respectively. The whole procedure is then repeated while port 1 is terminated with a matched load and the signal is measured at port 2. The measured results in this case are shown in Fig. 11(b) and (d) for azimuth and elevation cuts, respectively. From Fig. 11(b) and (d), it is deduced that the

OMT prototype is functional for all the azimuth and elevation angles with an isolation better than 25 dB at 30 GHz. IV. C ONCLUSION A practical design procedure for the proposed planar OMT is presented and evaluated. The core idea of the proposed OMT depends on the design of a PSC. The PSC consists of two parallel image-NRD and image-NRD-SIW waveguides and studied through a simple equivalent circuit model by which the dispersion characteristics are obtained. The general form of a PSC-based OMT structure with the matching sections is designed, and the fabrication limitations are discussed, namely, the hard-to-realize gap width and the metallic via conductor plating. As a solution for the fabrication limitations, the imageNRD and image-NRD-SIW waveguides are fused into one waveguide. The anisotropy of typical materials is taken into account with an exact analytical procedure. A complete design recipe is explained for obtaining structure dimensions and operation bandwidth for a specific frequency range. This analytical procedure that takes the anisotropy into account is validated through full wave simulations. However, it was not

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SAKR et al.: DESIGN METHODOLOGIES OF COMPACT OMTs

physically realized due to the complicated required matching sections to air-filled waveguide transitions. Two prototypes are implemented and measured. The first prototype is to validate the design procedure and concept of the isotropic dielectricbased fused PSC. In this prototype, the air gap is totally eliminated to overcome the hard realization of a uniform gap width. Finally, the dielectric material is removed altogether, getting rid of the dielectric loss at the expense of a larger physical size. This might be suitable to the applications where the sensitivity and reliability are more important than the size. As another proof of concept, this air-filled design is realized and integrated with a square horn antenna. An excellent agreement is achieved with the simulation results. The design of transitions to other transmission lines such as CPW and microstrip lines is considered as a future work. This will facilitate the full integration of the proposed OMT with lowprofile handheld equipment. ACKNOWLEDGMENT The authors would like to thank the reviewers for their efforts to enhance the readability and clarity of this paper’s manuscript. They would also like to thank the technicians of the Poly-Grames Research Center for their help with the fabrication and measurements of the prototypes. R EFERENCES [1] A. Goldsmith, Wireless Communications. Cambridge, U.K.: Cambridge Univ. Press, 2005. [2] M. Elkashlan, T. Q. Duong, and H.-H. Chen, “Millimeter-wave communications for 5G: Fundamentals: Part I,” IEEE Commun. Mag., vol. 52, no. 9, pp. 52–54, Sep. 2014. [3] M. Elkashlan, T. Q. Duong, and H.-H. Chen, “Millimeter-wave communications for 5G—Part 2: Applications,” IEEE Commun. Mag., vol. 53, no. 1, pp. 166–167, Jan. 2015. [4] A. I. Sulyman, A. Alwarafy, G. R. MacCartney, T. S. Rappaport, and A. Alsanie, “Directional radio propagation path loss models for millimeter-wave wireless networks in the 28-, 60-, and 73-GHz bands,” IEEE Trans. Wireless Commun., vol. 15, no. 10, pp. 6939–6947, Oct. 2016. [5] A. I. Sulyman, A. T. Nassar, M. K. Samimi, G. R. MacCartney, Jr., T. S. Rappaport, and A. Alsanie, “Radio propagation path loss models for 5G cellular networks in the 28 GHz and 38 GHz millimeter-wave bands,” IEEE Commun. Mag., vol. 52, no. 9, pp. 78–86, Sep. 2014. [6] H. Zhao et al., “28 GHz millimeter wave cellular communication measurements for reflection and penetration loss in and around buildings in New York city,” in Proc. IEEE Int. Conf. Commun., Jun. 2013, pp. 5163–5167. [7] K. Fujimoto, Mobile Antenna Systems Handbook. Norwood, MA, USA: Artech House, 2008. [8] J. Helander, K. Zhao, Z. Ying, and D. Sjöberg, “Performance analysis of millimeter-wave phased array antennas in cellular handsets,” IEEE Antennas Wireless Propag. Lett., vol. 15, pp. 504–507, 2015. [9] J. Song, J. Choi, S. G. Larew, D. J. Love, T. A. Thomas, and A. A. Ghosh, “Adaptive millimeter wave beam alignment for dualpolarized MIMO systems,” IEEE Trans. Wireless Commun., vol. 14, no. 11, pp. 6283–6296, Nov. 2015. [10] S. Liao et al., “Passive millimeter-wave dual-polarization imagers,” IEEE Trans. Instrum. Meas., vol. 61, no. 7, pp. 2042–2050, Jul. 2012. [11] A. M. Boifot, E. Lier, and T. Schaug-Pettersen, “Simple and broadband orthomode transducer (antenna feed),” Proc. Inst. Elect. Eng.—Microw., Antennas Propag., vol. 137, no. 6, pt. H, pp. 396–400, Dec. 1990. [12] D. Davis, O. Digiondomenico, and J. Kempic, “A new type of circularly polarized antenna element,” in Proc. Antennas Propag. Soc. Int. Symp., Oct. 1967, pp. 26–33. [13] M. A. Meyer and H. B. Goldberg, “Applications of the turnstile junction,” IRE Trans. Microw. Theory Techn., vol. MTT-3, no. 6, pp. 40–45, Dec. 1955.

11

[14] C. A. Leal-Sevillano, K. B. Cooper, J. A. Ruiz-Cruz, J. R. Montejo-Garai, and J. M. Rebollar, “A 225 GHz circular polarization waveguide duplexer based on a septum orthomode transducer polarizer,” IEEE Trans. THz Sci. Technol., vol. 3, no. 5, pp. 574–583, Sep. 2013. [15] C. A. Leal-Sevillano, Y. Tian, M. J. Lancaster, J. A. Ruiz-Cruz, J. R. Montejo-Garai, and J. M. Rebollar, “A micromachined dual-band orthomode transducer,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 1, pp. 55–63, Jan. 2014. [16] G. Virone, O. A. Peverini, M. Lumia, G. Addamo, and R. Tascone, “Platelet orthomode transducer for Q-band correlation polarimeter clusters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 7, pp. 1487–1494, Jul. 2014. [17] D. Dousset, S. Claude, and K. Wu, “A compact high-performance orthomode transducer for the atacama large millimeter array (ALMA) band 1 (31–45 GHz),” IEEE Access, vol. 1, pp. 480–487, 2013. [18] J. Esteban and C. Camacho-Peñalosa, “Compact orthomode transducer polarizer based on a tilted-waveguide T-junction,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 10, pp. 3208–3217, Oct. 2015. [19] J.-H. Hwang and Y. Oh, “Compact orthomode transducer using singleridged triangular waveguides,” IEEE Microw. Compon. Lett., vol. 21, no. 8, pp. 412–414, Aug. 2011. [20] M. K. Mandal, K. Wu, and D. Deslandes, “A compact planar orthomode transducer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [21] M. Esquius-Morote, M. Mattes, and J. R. Mosig, “Orthomode transducer and dual-polarized horn antenna in substrate integrated technology,” IEEE Trans. Antennas Propag., vol. 62, no. 10, pp. 4935–4944, Oct. 2014. [22] C. Yeh, F. Manshadi, K. F. Casey, and A. Johnston, “Accuracy of directional coupler theory in fiber or integrated optics applications,” J. Opt. Soc. Amer., vol. 68, no. 8, pp. 1079–1083, 1978. [23] A. A. Sakr, W. Dyab, and K. Wu, “Image theory based miniaturization of nonradiative dielectric coupler for millimeter wave integrated circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 463–465. [24] H. J. Riblet, “The short-slot hybrid junction,” Proc. IRE, vol. 40, no. 2, pp. 180–184, Feb. 1952. [25] U. Rosenberg and W. Speldrich, “A new waveguide directional coupler/hybrid type-favorably suited for millimeter wave application,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3. Jun. 2000, pp. 1311–1314. [26] A. A. Sakr, W. M. Dyab, and K. Wu, “Theory of polarization selective coupling and its application to design of planar orthomode transducers,” IEEE Trans. Antennas Propag., to be published. [27] O. A. Peverini, R. Tascone, G. Virone, A. Olivieri, and R. Orta, “Orthomode transducer for millimeter-wave correlation receivers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 2042–2049, May 2006. [28] U. Rosenberg and R. Beyer, “Compact T-junction orthomode transducer facilitates easy integration and low cost production,” in Proc. 41st Eur. Microw. Conf., Oct. 2011, pp. 663–666. [29] T. Yoneyama and S. Nishida, “Nonradiative dielectric waveguide for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 11, pp. 1188–1192, Nov. 1981. [30] D. Deslandes and K. Wu, “Accurate modeling, wave mechanisms, and design considerations of a substrate integrated waveguide,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2516–2526, Jun. 2006. [31] W. Dyab, A. A. Sakr, and K. Wu, “Characterization of substrate integrated non radiative dielectric slab waveguide for cross-polarized mmwave components,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 1798–1800. [32] R. Mongia, I. J. Bahl, P. Bhartia, and S. J. Hong, RF and Microwave Coupled-line Circuits. Norwood, MA, USA: Artech House, 2007. [33] J. Coonrod, “General information of dielectric constants for circuit design using Rogers high frequency materials,” Rogers Corporation, Rogers, CT, USA, Tech. Rep., 2010. [34] S. Liu, L. W. Li, M. S. Leong, and T. S. Yeo, “Rectangular conducting waveguide filled with uniaxial anisotropic media: A modal analysis and dyadic Green’s function,” J. Electromagn. Waves Appl., vol. 14, no. 1, pp. 45–47, Jan. 2000. [35] J. C. Rautio and S. Arvas, “Measurement of planar substrate uniaxial anisotropy,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 10, pp. 2456–2463, Oct. 2009. [36] J. A. Kong, Electromagnetic Wave Theory. Hoboken, NJ, USA: Wiley, 1990. [37] A. Navarrini and R. Nesti, “Symmetric reverse-coupling waveguide orthomode transducer for the 3-mm band,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 1, pp. 80–88, Jan. 2009.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

Ahmed A. Sakr received the B.Sc. degree (with distinction) in electronics and electrical communication and M.Sc. degree in engineering physics from the Faculty of Engineering, Cairo University, Giza, Egypt, in 2010 and 2014, respectively. He is currently pursuing the Ph.D. degree at the Department of Electrical Engineering, Polytechnique Montréal (University of Montréal), Montréal, QC, Canada. From 2011 to 2014, he was a Teaching and Research Assistant with the Department of Engineering Physics, Cairo University. He is a currently a member of the Poly-Grames Research Laboratory, Polytechnique Montréal. His current research interests include the design of dual-polarized millimeterwave integrated circuits, antennas, computational electromagnetics, slow-wave structures, and electromagnetic modelling of composite materials. Mr. Sakr was a recipient of the PERSWADE Scholarship Award funded by the Natural Sciences and Engineering Research Council of Canada for his Ph.D. studies.

Walid Dyab was born in Alexandria, Egypt, in 1981. He received the B.Sc. and M.Sc. degrees in electrical engineering from the University of Alexandria, Alexandria, in 2003 and 2007, respectively, and the Ph.D. degree in electrical and computer engineering from Syracuse University, Syracuse, NY, USA, in 2014. From 2003 to 2005, he was a Teaching Assistant with the Alexandria Institute of Engineering and Technology, Alexandria. From 2005 to 2006, he was a Technical Support Engineer with the Radio Network Department, Alcatel, Egypt. From 2006 to 2009, he was a Teaching and a Research Assistant with the German University, Cairo, Cairo, Egypt. From 2012 to 2014, he was a Teaching and a Research Assistant with the Department of Electrical Engineering, Syracuse University. Since 2015, he has been a Post-Doctoral Fellow with the Ecole Polytechnique de Montréal, Montréal, QC, Canada. His current research interests include electromagnetic theory, antennas and electromagnetic wave propagation, propagation of electromagnetic waves on top of imperfect ground planes, antenna measurements, adaptive antenna systems, adaptive signal processing, design and analysis of microwave passive circuits, time reversal electromagnetics, and electromagnetic surface waves. Dr. Dyab was the recipient of the Doctoral Research Award of the IEEE Antennas and Propagation Society in 2012 and the FRQNT Postdoctoral Fellowship Award from the Government of Quebec in 2016. He held the Syracuse University Graduate Fellowship Award from 2009 to 2012.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Ke Wu (M’87–SM’92–F’01) received the B.Sc. degree (with distinction) in radio engineering from Southeast University, Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees (with distinction) in optics, optoelectronics, and microwave engineering from the Institut National Polytechnique de Grenoble, University of Grenoble, Grenoble, France, in 1984 and 1987, respectively. He was the Director of the Poly-Grames Research Center, Montréal, QC, Canada. He was the Founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT) and a Tier-I Canada Research Chair of RF and Millimeter-Wave Engineering. He has held guest, visiting, and honorary professorships with many universities. He is currently a Professor of electrical engineering and an NSERC-Huawei Industrial Research Chair of Future Wireless Technologies with the Polytechnique Montréal (University of Montreal), Montréal. He is also with the School of Information Science and Engineering, Ningbo University, Ningbo, China, on leave from his home institution, leading a special 5G and future wireless research program. He has authored or co-authored over 1100 referred papers and a number of books/book chapters. He has filed more than 50 patents. His current research interests include substrate-integrated circuits, antenna arrays, field theory and joint field/circuit modeling, ultrafast interconnects, wireless power transmission and harvesting, megahertz-through-terahertz transceivers and sensors for wireless systems and biomedical applications, and modeling and design of microwave and terahertz photonic circuits and systems. Dr. Wu is a Fellow of the Canadian Academy of Engineering and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of the Electromagnetics Academy, Sigma Xi, URSI, and IEEE Eta Kappa Nu. He was a recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada, the 2009 Thomas W. Eadie Medal of the Royal Society of Canada, the Queen Elizabeth II Diamond Jubilee Medal in 2013, the 2013 FCCP Education Foundation Award of Merit, the 2014 IEEE MTT-S Microwave Application Award, the 2014 MarieVictorin Prize (Prix du Quebec—the highest distinction of Québec in the natural sciences and engineering), the 2015 Prix d’Excellence en Recherche et Innovation of Polytechnique Montréal, and the 2015 IEEE Montreal Section Gold Medal of Achievement. He has held key positions in and has served on various panels and international committees including the Chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. In particular, he was the General Chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He served on the Editorial/Review Boards of many technical journals, transactions, proceedings, and letters, as well as scientific encyclopedia, including as an Editor or a Guest Editor. He was the Chair of the joint IEEE chapters of MTT-S/AP-S/LEOS, Montreal, QC, Canada. He is currently the Chair of the newly restructured IEEE MTT-S Montreal Chapter. He was an elected IEEE MTT-S Administrative Committee (AdCom) member from 2006 to 2015 and served as the Chair of the IEEE MTT-S Transnational Committee, the Member and Geographic Activities Committee, and the Technical Coordinating Committee among many other AdCom functions. He was an IEEE MTT-S Distinguished Microwave Lecturer from 2009 to 2011. He was the 2016 IEEE MTT-S President. He is the Inaugural Representative of North America as a member of the European Microwave Association General Assembly.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Compact Design of Planar Quadrature Coupler With Improved Phase Responses and Wide Tunable Coupling Ratios Feng Lin , Member, IEEE

Abstract— This paper presents a compact planar tunable quadrature coupler with improved phase responses. Wide coupling-tuning ratio is achieved by using two varactors loaded on the center of the transmission lines of the modified coupler. Closed-form equations are derived for design parameters. For verification, a 1-GHz tunable coupler is designed and measured. The measured results agree well with the simulated ones. The measured power-dividing ratio can be tuned in a range from 16.2 to −35 dB (from 42 to 3.2E-4) with better than 20-dB return loss and isolation, while the phase imbalance is smaller than 10°. The coupler size is 0.21λg × 0.08λg and reduced by 73.1% compared with the conventional branch-line coupler. The theoretical analysis shows that the phase imbalance and insertion loss are mostly caused by the loss from varactors. Then, a tunable coupler with improved phase and loss responses is proposed, where the additional phase difference and insertion loss resulting from the varactors are compensated for by introducing a negative resistance from the negative impedance converter. Measured results of a demonstrative 1-GHz coupler show a power-dividing ratio tuning range from 24.4 to −22.2 dB (from 275.4 to 6E-4) while maintaining 20-dB return loss and isolation. The phase imbalance is smaller than 1° and the insertion loss is improved by 1 dB and nearly close to theoretical values across the tuning range. Index Terms— Coupler, microstrip, planar circuits, powerdividing ratio, reconfigurable.

I. I NTRODUCTION

Q

UADRATURE couplers [1], which exhibit two 90° phase-difference outputs with perfect isolation and all ports matched, are frequently used in microwave and millimeter-wave systems. In the past, quadrature couplers were extensively studied for multiband/broadband [2], [3], size miniaturization [4], and arbitrary power-dividing ratio [5] applications. There is an increasingly high demand for compact, low-loss reconfigurable couplers in various advanced wireless applications: adaptive antennas, multiport amplifiers [6], and modern reconfigurable transceivers. The Manuscript received July 9, 2017; revised September 25, 2017 and October 27, 2017; accepted November 13, 2017. This work was supported in part by the National Natural Science Foundation of China under Grant 61601026 and in part by the Open Project of the State Key Laboratory of Millimeter Waves under Grant K201701. The author is with the School of Information and Electronics, Beijing Institute of Technology, Beijing 100081, China, and also with the State Key Laboratory of Millimeter Waves, Southeast University, Nanjing 210096, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2783375

quadrature couplers with tunable coupling ratio can find applications in the design of polarization [7] and pattern [8] reconfigurable antennas. Several designs have been reported for implementing reconfigurable couplers. Planar transmission-line couplers [9]–[16] offer wider tuning range and a simple structure compared to other alternative implementations, such as lumped LC couplers [17]–[19] and microelectromechanical systems (MEMS) couplers [20]. For lumped LC couplers, the main tuning elements are achieved by CMOS active inductors and capacitors [17]–[19]. A CMOS coupler tuning from 2 to 6 GHz with coupling coefficients from 1.3 to 9 dB was proposed in [18] by using varactors and tunable active inductors. By mechanically changing the geometry of 3-D micromachined coupled transmission lines with integrated MEMS actuators, two coupling states of the MEMS coupler with bandwidth from 10 to 18 GHz were realized in [20]. Similar to the design of tunable power divider [11], there are also three basic design methods for planar tunable transmission-line couplers. The first one is the switched transmission-line configuration, which uses switch networks to select different feeding paths to vary the power-dividing ratio [12], [13]. In [13], the power-dividing ratio of the quasi-lumped coupler can be tuned among the four operation modes by controlling the p-i-n diodes. The drawback of this method is the limited tuning states and complicated design for antenna array applications. The second method is varying the transmission-line characteristic impedance of the couplers to tune the coupling ratio. In [14], a 3.5-GHz patch hybrid coupler was demonstrated with a continuously tuned coupling from −4.2 to −10 dB by varactors loaded transmission lines. However, for this method, the coupling tuning range is limited by the tuning range of characteristic impedances to ensure the port isolation and matching. In the third type, the couplers are designed as special circuit structures by using varactor diodes to simultaneously realize continuous coupling tuning and good matching and isolation [15], [16]. In [16], a two-section branch-line coupler with a coupling from 0 to 20 dB was proposed by tuning varactors. The port matching and isolation are improved while obtaining a wide tuning range. On the flip side, the circuit needs to be further miniaturized, and its tuning range is mainly limited by the phase imbalance ( 0, ω2 L e C gd < 1.

(24)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN: COMPACT DESIGN OF PLANAR QUADRATURE COUPLER

Fig. 13.

7

Simulated S-parameters versus different capacitances C D . (a) |S11 |. (b) |S21 |. (c) |S31 |. (d) |S41 |. (e) Phase differences.

From (24), the frequency range showing the negative resistance can be obtained as 2π

1 < f < √ L d Cd

 2π L d

1 

C d C gd C d +C gd

.

(25)

When designing the feedback circuit, L d and Cd are first determined to make sure the designed frequency is in the frequency range showing negative resistance. Then, one can adjust Rd in the consideration of stability and negative resistance value. Fig. 10(c) shows the layout configuration of the improved tunable coupler implemented on a single substrate. The dc blocking capacitors Cblock (100 pF), fixed capacitors C0 (3.6 pF), and C1 (4.7 pF) are realized by ATC 600S series capacitors. The varactors C D and transistors are implemented by MA46H202 GaAs diodes (C D , from 0.7 to 10 pF, Rs = 1  in simulation) and Avago Technologies ATF53189 transistors, respectively. The negative resistance is obtained at 1 GHz by choosing Cd of 6 pF, L d of 7 nH, and Rd of 2  in the feedback structure. The bias circuit for ATF53189 is realized using two 47-nH inductors (L D and L G ). The dc blocking capacitor C g of 100 pF is connected in series with varactor to isolate the bias voltage from the varactor. Inductor L g is set to 2.5 nH to guarantee that the capacitance tuning

Fig. 14. Comparison of the simulated results versus power dividing ratio at f 0 of the proposed tunable coupler with and without negative impedance converter. (a) −90° mode. (b) 90° mode.

range of varactor C D will not be interfered with. The bias circuit for C D is realized using one 180-k resistor (Rbias). Fig. 11 shows the simulated resistance values of the negative

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 15.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Measured S-parameters for different power dividing ratios. (a) |S11 |. (b) |S21 |. (c) |S31 |. (d) |S41 |. (e) Phase differences.

impedance converter and stability factors of the whole coupler for different capacitances C D . The simulated real part of input impedance [Z in in Fig. 10(c)] for the negative impedance converter including the varactor is from −2 to 0  at 1 GHz in bias conditions of VG of 0.5–0.7 V and V D of 0.8–2.3 V. The simulated stability factor of the whole coupler with the active circuit integrated (ports 1 and 3) is larger than 1 for all states, which means the circuit is absolutely stable. B. S-Parameter Response For experimental demonstration, an improved reconfigurable coupler with negative impedance converter operating at center frequency 1 GHz was designed and fabricated. Fig. 10(c) shows the final layout of the tunable coupler with negative impedance converter. Final dimensions of the coupler are as follows: W1 = 2.4, W2 = 0.94, W3 = 1.5, W4 = 1.5, L 1 = 43, L 2 = 9.8, L 3 = 7.5, g1 = 1, g2 = 0.3, g3 = 3.3, and g4 = 3.1 (all in millimeters). Figs. 12–14 show the simulated results of the improved tunable coupler with negative impedance converter. These results include the insertion losses, phase difference, return losses, and isolation versus different C D (0.7–10 pF). Fig. 12 shows the simulated insertion losses and phase differences at f 0 .

Fig. 13 shows that ideal return losses and port isolation are both realized at the center frequency of 1 GHz. The simulated bandwidth with return loss and port isolation of 15 dB is found to be about 10% for all states. Fig. 14 shows the comparison of the simulated insertion losses and phase differences versus power-dividing ratio at f 0 of the proposed tunable coupler with and without negative impedance converter. With the negative impedance converter, the simulated results show that the phase imbalance is less than 2° for all states. The insertion loss is close to the theoretical value. Figs. 15 and 16 show the measured S-parameters of the fabricated coupler with negative impedance converter for different power-dividing ratios. The measured results indicate that the tunable power-dividing output has been achieved around the center frequency of 980 MHz. The small discrepancies between the simulation and measurement are mainly caused by the dielectric constant tolerance of the substrate and the limited accuracy of circuit model of the negative impedance converters and varactors. Fig. 15 shows that the measured 15-dB return loss bandwidth is better than 80 MHz over the entire power-dividing ratio tuning range from about 24.4 to −22.2 dB (from 275.4 to 6E-4). The discrepancies between the design specification

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN: COMPACT DESIGN OF PLANAR QUADRATURE COUPLER

9

TABLE II P ERFORMANCE C OMPARISON OF THE R EPORTED Q UADRATURE T UNABLE C OUPLER

Fig. 17. Photograph of the fabricated tunable coupler with negative impedance converter.

without negative impedance converter. The measured phase imbalances at the center frequency are smaller than 1° for all states. The insertion loss is improved by 1 dB when compared with the one without active circuits. Fig. 17 shows an image of the fabricated tunable coupler with negative impedance converter. The coupler area is only 0.21λg × 0.1λg. Fig. 16. Comparison of the measured results versus power dividing ratio at the center frequency of the proposed tunable coupler with and without negative impedance converter. (a) −90° mode. (b) 90° mode. (Insets: close-up performance comparisons).

and measured power-dividing ratio range may be due to the parasitic inductance or capacitance from the negative impedance converter and bias circuit, which change the total capacitance tuning range. When tuning the voltage from 0 to 24 V, the insertion loss between ports 3 and 1 varies from 24.5 to 0.08 dB then to 1.02 dB (including connector loss). While the insertion loss between ports 4 and 1 varies from 0.06 to 22.3 dB then to 7.2 dB. The measured return loss and isolation are both better than 20 dB for all states at the center frequency. Fig. 16 shows the comparison of the measured insertion losses and phase differences versus powerdividing ratio at f0 of the proposed tunable coupler with and

C. Comparison Table II compares the performance of the tunable coupler in this paper with reported couplers with reconfigurable powerdividing ratio. Note that the couplers in [17] and [20] are made with RF MEMS and CMOS tunable active inductors, which increases the complexity and cost of the circuit fabrication. As shown, the presented tunable planar coupler of this paper offers the widest tuning range, a moderate coupler size, lowest insertion loss, and smallest phase imbalance. VI. C ONCLUSION This paper presented a compact planar continuously tunable coupler composed of a pair of transmission lines with the three fixed capacitors and two tunable capacitors. The closed-form design equations were derived by employing even–odd-mode analysis. For verification, a coupler operating at 1 GHz was

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

demonstrated with power-dividing ratio from 16.2 to −35 dB (from 42 to 3.2E-4). By introducing the negative impedance converter in the coupler, the insertion loss was improved by 1 dB and phase imbalance was improved from 10° to 1°. The excellent performances including wide power-dividing tuning ratio, small size, low insertion loss, and small phase imbalance was demonstrated. ACKNOWLEDGMENT The author would like to thank the editors and reviewers of this paper for their valuable comments and suggestions. R EFERENCES [1] D. M. Pozar, Microwave Engineering, 4th ed. Hoboken, NJ, USA: Wiley, 2011. [2] F. Lin, Q.-X. Chu, and Z. Lin, “A novel tri-band branch-line coupler with three controllable operating frequencies,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 12, pp. 666–668, Dec. 2010. [3] L. Chiu and Q. Xue, “Investigation of a wideband 90° hybrid coupler with an arbitrary coupling level,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 4, pp. 1022–1029, Apr. 2010. [4] C.-L. Hsu, J.-T. Kuo, and C.-W. Chang, “Miniaturized dual-band hybrid couplers with arbitrary power division ratios,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 1, pp. 149–156, Jan. 2009. [5] X. Wang, W.-Y. Yin, and K.-L. Wu, “A dual-band coupled-line coupler with an arbitrary coupling coefficient,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 945–951, Apr. 2012. [6] H. L. Lee, D.-H. Park, M.-Q. Lee, and J.-W. Yu, “Reconfigurable 2×2 multi-port amplifier using switching mode hybrid matrices,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 2, pp. 129–131, Feb. 2014. [7] F. Ferrero, C. Luxey, R. Staraj, G. Jacquemod, M. Yedlin, and V. Fusco, “A novel quad-polarization agile patch antenna,” IEEE Trans. Antennas Propag., vol. 57, no. 5, pp. 1563–1567, May 2009. [8] Y.-S. Liu and J.-S. Row, “Back-to-back microstrip antenna fed with tunable power divider,” IEEE Trans. Antennas Propag., vol. 63, no. 5, pp. 2348–2353, May 2015. [9] E. E. Djoumessi, E. Marsan, C. Caloz, M. Chaker, and K. Wu, “Varactortuned dual-band quadrature hybrid coupler,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 603–605, Nov. 2006. [10] F. Ferrero, C. Luxey, R. Staraj, G. Jacquemod, and V. F. Fusco, “Compact quasi-lumped hybrid coupler tunable over large frequency band,” Electron. Lett., vol. 43, no. 19, pp. 1030–1031, Sep. 2007. [11] Y. Xiao, F. Lin, H. Ma, X. Tan, and H. Sun, “A planar balanced power divider with tunable power-dividing ratio,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 12, pp. 4871–4882, Dec. 2017. [12] S.-Y. Wang, D.-Y. Lai, and F.-C. Chen, “A low-profile switchable quadripolarization diversity aperture-coupled patch antenna,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 522–524, 2009. [13] J.-S. Row and M.-J. Hou, “Design of polarization diversity patch antenna based on a compact reconfigurable feeding network,” IEEE Trans. Antennas Propag., vol. 62, no. 10, pp. 5349–5352, Oct. 2014.

[14] S. Y. Zheng, W. S. Chan, and Y. S. Wong, “Reconfigurable RF quadrature patch hybrid coupler,” IEEE Trans. Ind. Electron., vol. 60, no. 8, pp. 3349–3359, Aug. 2013. [15] K.-K. M. Cheng and S. Yeung, “A novel rat-race coupler with tunable power dividing ratio, ideal port isolation, and return loss performance,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 55–60, Jan. 2013. [16] M. Zhou, J. Shao, B. Arigong, H. Ren, R. Zhou, and H. Zhang, “A varactor based 90° directional coupler with tunable coupling ratios and reconfigurable responses,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 416–421, Mar. 2014. [17] M. A. Y. Abdalla, K. Phang, and G. V. Eleftheriades, “A compact highly reconfigurable CMOS MMIC directional couplerr,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 305–319, Feb. 2008. [18] J. Sun, C. Li, Y. Geng, and P. Wang, “A highly reconfigurable lowpower CMOS directional coupler,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2815–2822, Sep. 2012. [19] B. Hur and W. R. Eisenstadt, “Tunable broadband MMIC active directional coupler,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 168–176, Jan. 2013. [20] U. Shah, M. Sterner, and J. Oberhammer, “High-directivity MEMStunable directional couplers for 10–18-GHz broadband applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3236–3246, Sep. 2013. [21] Y.-H. Chun, J.-R. Lee, S.-W. Yun, and J.-K. Rhee, “Design of an RF low-noise bandpass filter using active capacitance circuit,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 2, pp. 687–695, Feb. 2005. [22] F. Lin, S. W. Wong, and Q.-X. Chu, “Compact design of planar continuously tunable crossover with two-section coupled lines,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 3, pp. 408–415, Mar. 2014. [23] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York, NY, USA: McGraw-Hill, 1992. [24] E. M. T. Jones and J. T. Bolljahn, “Coupled-strip-transmission-line filters and directional couplers,” IRE Trans. Microw. Theory Techn., vol. MTT-4, no. 2, pp. 75–81, Apr. 1956.

Feng Lin (M’14) received the B.Eng. degree in information engineering and Ph.D. degree in electromagnetic fields and microwave technology from the South China University of Technology, Guangzhou, China, in 2008 and 2013, respectively. His Ph.D. dissertation concerned design theory and realization of multiband couplers and power dividers. From 2013 to 2015, he was a Post-Doctoral Research Fellow with the University of Michigan, Ann Arbor, MI, USA. In 2016, he joined the School of Information and Electronics, Beijing Institute of Technology, Beijing, China, as an Associate Professor. His current research interests include RF microelectromechanical systems and millimeter-wave reconfigurable devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1475

Homodyne Digitally Assisted and Spurious-Free Mixerless Direct Carrier Modulator With High Carrier Leakage Suppression Weiwei Zhang, Graduate Student Member, IEEE, Abul Hasan, Graduate Student Member, IEEE, Fadhel M. Ghannouchi, Fellow, IEEE, Mohamed Helaoui, Member, IEEE, Yongle Wu, Senior Member, IEEE, Lingxiao Jiao, and Yuanan Liu, Member, IEEE

Abstract— In this paper, a new method to design a digitally assisted and spurious-free direct carrier mixerless modulator based on the six-port correlator is proposed. The calibration of the modulator based on modified Cartesian memory polynomial (MCMP) is used to linearize and mitigate hardware impairment of the whole system. The modulation and the up conversion are performed by using the variable loads controlled by the differential in-phase and quadrature-phase baseband voltages together with common-mode voltages. The proposed MCMP is able to compensate for nonlinearity, frequency responses, residual carrier leakage, crosstalk between the in-phase and the quadrature-phase data. The proof-of-concept of digitally assisted mixerless modulator is developed and its performance is assessed at 2.6 GHz with modern communication signals. The error vector magnitudes between the input ideal baseband signals and the up-converted radio frequency signals are all between 2% and 4%. The residual carrier leakage, which remains present after imperfect suppression through hardware means, degrades the overall system performance and it can be suppressed completely by means of the proposed memory polynomial model. Index Terms— Carrier leakage, Cartesian memory polynomial, direct carrier modulator, memory effect, six-port correlator. Manuscript received April 26, 2017; revised June 22, 2017; accepted July 25, 2017. Date of publication August 15, 2017; date of current version March 5, 2018. This work was supported in part by the National Natural Science Foundations of China under Grant 61422103 and Grant 61671084, in part by the National Key Basic Research Program of China (973 Program) under Grant 2014CB339900, in part by BUPT Excellent Ph.D. Students Foundation under Grant CX2016303, in part by the China Scholarship Council, in part by the Alberta Innovates Technology Future, in part by the National Science and Engineering Research Council of Canada, and in part by the Canada Research Chairs Program. (Corresponding author: Weiwei Zhang.) W. Zhang is with the Beijing Key Laboratory of Work Safety Intelligent Monitoring, School of Electronic Engineering, Beijing University of Posts and Telecommunications, Beijing 100876, China, and also with the Intelligent RF Radio Laboratory, Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB T2N 1N4, Canada (e-mail: [email protected]). A. Hasan, F. M. Ghannouchi, and M. Helaoui are with the Intelligent RF Radio Laboratory, Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB T2N 1N4, Canada (e-mail: [email protected]; [email protected]; [email protected]). Y. Wu, L. Jiao, and Y. Liu are with the Beijing Key Laboratory of Work Safety Intelligent Monitoring, School of Electronic Engineering, Beijing University of Posts and Telecommunications, Beijing 100876, China (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2735408

I. I NTRODUCTION INCE the six-port correlator was used for direct digital receiver for retrieving the phase shift keying (PSK) and quadrature amplitude modulation (QAM) baseband signals for the first time in [1], the homodyne structures based on the multiport techniques as radio frequency (RF) front-end, have attracted more attention. The RF front-ends using multiport techniques can be classified into multiport demodulators [2]–[8] and multiport modulators [9]–[30]. Different from the multiport demodulator, the multiport modulator, which is shown in Fig. 1(a), can realize the function of frequency up-conversion from baseband signal to RF signal without a mixer and hence it can replace the traditional direct conversion modulator based on two mixers and one phase shifter, as shown in Fig. 1(b) in the modern wireless communication systems due to the easy fabrication, low-cost, low-power consumption, and wideband coverage. As shown in Fig. 1(a), the direct carrier mixerless modulator essentially consists of one six-port correlator and variable loads, which are controlled by the baseband signals to generate different reflection coefficients for RF modulation. Hence, the optimization and design of variable loads are very important as they directly affect the overall system performance. Many six-port modulators (SPMs) with different circuit structures [9]–[30] have been proposed since [9]. There are mainly three different methods to realize the variable loads, namely, RF switches [9]–[17], diodes [18]–[22], as well as transistors [23]–[30]. However, there are still many problems in the SPMs which deteriorate the system performance that need to be solved, and some of them are listed here. First, carrier leakage exists in almost all of the SPMs reported in the literature [12], [16], [18], [21], [26], and [28], which degrades the system performance and lowers the error vector magnitude (EVM). The carrier leakage is very prominent in [16] that uses only four ports for implementation and measurement. The method based on phase shifters together with differential baseband signal is adopted in [18]–[21], [26], [28], and [29], however, the carrier leakage cannot be suppressed completely from the modulated RF signal if the diodes are not identical, the electrical length of the transmission line implementing 90° phase shift is not

S

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1476

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

System configurations of direct carrier modulator based on (a) multiport correlator and (b) two mixers.

optimal at the operating frequency, or the six-port correlator is not ideal. Second, the crosstalk between the in-phase and quadrature-phase (I/Q) channels is another factor that influences the system performance, and it mainly results from the nonideal characteristic of the six-port correlator, or the variable loads. It can be seen from [19] and [24] that reflection coefficients of the variable loads are complex when the loads are realized by the diodes and the transistors which will lead to the I/Q signal imbalance. Third, RF switches [9]–[17] are limited to low switching rate, and some specific loads, such as open and short terminations, are required for the quadrature phase shift keying (QPSK) [9], [12]–[17] and QAM [11], [13] signals modulation. Though the diodes [18] and the transistors [24] feature high-speed performance for the SPM, they exhibit nonlinearity and memory effects similar to power amplifiers (PAs) [31]–[34] which negatively impact the SPM performance. Notably, the architecture [29], [30] avoids the nonlinearity issue of the reflection coefficients from the impedance loads in that only binary baseband data are utilized, however, the increased number of the power dividers (PDs) and transistors make the circuit very complicated. Finally, only QPSK and QAM signals have been tested in the SPMs [9]–[30]. The whole system performance for practical communication signals such as wideband code division multiple access (WCDMA) signal, and long term evolution (LTE) signal have not been used before to assess the suitability of such modulator/up-convertor in realistic modern conditions. On the other hand, the digital predistortion (DPD) model based on the memory polynomial [35]–[40], which is an effective method to linearize the PAs, has been successfully used in behavioral modeling of the PAs to decrease adjacent channel leakage ratio and improve linearity as well as efficiency. System imperfections and hardware impairments can be compensated greatly when the DPD method is adopted in that it can mimic inverse characteristic of the PAs. However, the DPD method has not been used in the SPMs [9]–[30] based transmitting systems despite its architectural similarity with the DPD-PA system. Therefore, a method based on the modified Cartesian memory polynomial (MCMP) is proposed in this paper to realize a direct carrier mixerless SPM with high performance that features: 1) high linearity and relatively very good EVMs between 2% and 4%; 2) complete carrier leakage suppression;

Fig. 2.

System configuration of an SPM based on voltage variable loads.

3) the nonlinearity and the memory effect of the diodes being taken into consideration in the mixerless SPM for the first time; 4) modern communication signals, e.g., WCDMA and LTE signals, used in the SPM systems evaluation. The remainders of this paper are organized as follows. Section II mainly discusses the circuit structure of the SPM, the baseband control circuit, the six-port correlator, and some associated problems. Then, the DPD model based on the MCMP is proposed and analyzed in Section III. A complete test setup and a simple measurement procedure are provided in Section IV. Furthermore, the commonly used modulated signals are used to test the proposed mixerless modulator in Section V. Besides, the discussion about the MCMP, and comparison with other state-of-the-art SPMs are also presented. Finally, a conclusion is drawn in Section VI. II. C IRCUIT A NALYSIS OF THE S IX -P ORT M ODULATOR AND P ROBLEM D ISCUSSION Schematic of a typical SPM [18] is shown in Fig. 2, which essentially consists of one PD, three quadrature couplers (QCs), two transmission lines Z 0 with the electrical length θ0 at the operating frequency f 0 , and four variable loads Z k (k = 3, . . . , and 6). The local oscillator (LO) signal aLO (t), which goes into port 1 on the left-hand side, experiences different paths to the kth port of the six-port correlator, and then gets reflected because of impedance mismatch.

ZHANG et al.: HOMODYNE DIGITALLY ASSISTED AND SPURIOUS-FREE MIXERLESS DIRECT CARRIER MODULATOR

Fig. 3.

1477

(a) Schematic of the baseband control circuit and (b) manufactured baseband control circuit board (units: mm).

Finally, parts of the reflected signal, which contain the I/Q data information, are combined at port 2 to generate the desired modulated RF signal. It is worth noting that the variable loads Z k are all controlled by the baseband I/Q signals, which play a key role in the realization of the direct carrier modulator. A theoretical analysis about ideal operation of the SPM has been discussed in [18], however, the measured results reported therein leave further scope of improvements, which cannot be avoided in any practical implementation. Hence, a generalized circuit theory for SPM is provided and the existing problems are discussed in this section. An efficient method to address some of these challenges is proposed in Section III to improve the system performance. Fig. 4. Measured reflection coefficients (3 and 4 ) versus the dc voltages.

A. Baseband Control Circuit Analysis The Schottky diodes HSM286Y from Broadcom Limited are adopted in this paper due to their high speed and low complexity [18]. Besides, the cost of the diodes and their power consumptions are much lower than those of the transistors [23]–[30]. The baseband control circuit consisting of a quarter-wavelength transmission line Z 0 , the Schottky diodes, and a bias circuit is shown in Fig. 3(a). A manufactured baseband control circuit board with optimized dimensions is illustrated in Fig. 3(b). It can be seen that the differential baseband signal together with the bias common-mode (CM) voltage (Vk ) is used to change the input impedances of the Schottky diodes. Capacitors C1 and C2 are used as RF choke to prevent the LO signal from going into the direct current (dc) source, and to filter the harmonic signals from the voltages Vk , simultaneously. In addition, the quarter-wavelength transmission lines Z 1 are used to block the LO signal, which comes from ports k(k = 3, 4, . . . , 6). The capacitor C3 is used to prevent the voltage Vk from flowing into the six-port correlator. Here, the parameters are chosen as: Z 1 = Z 0 = 50 , θ0 = 90°, C1 = 220 pF, C2 = 1000 pF, and C3 = 470 pF. Then, the reflection coefficient (k ) can be measured by using a vector network analyzer N5230A from the Keysight Technologies under different dc voltages. Since the baseband

control circuits are identical, only the top part at ports 3 and 4 for the in-phase signal in Fig. 2 is discussed, and the corresponding measured results (3 and 4 ) are plotted in Fig. 4. It can be seen that the complex reflection coefficients (V ) are not linear from 0 to 400 mV, and only quasi-linear in the range of 100 to 200 mV. The complex reflection coefficient (V ) can be expanded by Taylor series at V0 , and we can derive that (V ) = (V0 ) +  (1) (V0 ) · (V − V0 ) + +

1 (2)  (V0 ) · (V − V0 )2 2!

1 (3) 1  (V0 ) · (V − V0 )3 +  (4) (V0 ) · (V − V0 )4 . . . 3! 4! (1)

where (V0 ) is the reflection coefficient (V ) at voltage V0 ,  (m) (V0 ) is the mth derivative of (V ) at V0 , and m is a positive integer. Notably, the coefficients ((V0 ),  (1) (V0 ), . . . ,  (m) (V0 ), . . .) in (1) are all complex. In this paper, the differential baseband voltages (v I or v Q ) plus the CM voltage (Vcm ) [18] are

1478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

used to control the Schottky diodes, namely, V3 = Vcm + v I V4 = Vcm − v I .

(2a) (2b)

It is worth noting that the baseband control circuits at ports 3 and 4 and ports 5 and 6 have been assumed identical, and we can get that

Then we can obtain the reflection coefficients 3 and 4 after substituting (2) into (1) if we assume V = V3 (V4 ), and V0 = Vcm (1)

3 (V3 ) = 3 (Vcm ) + 3 (Vcm ) · v I +

1 (2)  (Vcm ) · v 2I 2! 3

1 (3) 1 (4) + 3 (Vcm ) · v 3I + 3 (Vcm ) · v 4I . . . 3! 4! (3a) 1 4 (V4 ) = 4 (Vcm ) − 4(1) (Vcm ) · v I + 4(2) (Vcm ) · v 2I 2! 1 (3) 1 (4) 3 − 4 (Vcm ) · v I + 4 (Vcm ) · v 4I . . . . 3! 4! (3b) If the quasi-linear part of the reflection coefficient in Fig. 4 is used (Assumption 1), the high-order terms (higher than first-order) can be omitted, and (3) can be simplified into 3 (V3 ) = 3 (Vcm ) + 3(1) (Vcm ) · v I

(4a)

4 (V4 ) =

(4b)

(1) 4 (Vcm ) − 4 (Vcm )

· v I .

The reflection coefficients 3 (V3 ) and 4 (V4 ) can be viewed symmetrical along the middle line ( = 0) in Fig. 4 if we assume all Schottky diodes are identical and the electrical length θ0 of the transmission line Z 0 is 90° at the operating frequency (Assumption 2). Then, we can get (5) under Assumptions 1 and 2 4 (Vcm ) = −3 (Vcm )

(1) 4 (Vcm )

=

(5a)

(1) −3 (Vcm ).

(5b)

After combining (4) and (5), the reflection coefficients 3 (V3 ) and 4 (V4 ) can be written as 3 (V3 ) = 3 (Vcm ) + 3(1) (Vcm ) · v I

(6a)

4 (V4 ) = −3 (Vcm ) +

(6b)

(1) 3 (Vcm ) · v I .

The same analysis can also be extended to the baseband control circuits at ports 5 and 6, and we can derive that 5 (V5 ) = 6 (V6 ) =

(1) 5 (Vcm ) + 5 (Vcm ) (1) 6 (Vcm ) − 6 (Vcm )

· v Q

(7a)

· v Q .

(7b)

Similarly, (8) can be satisfied under Assumptions 1 and 2 6 (Vcm ) = −5 (Vcm ) 6(1) (Vcm ) = −5(1) (Vcm ).

(8a) (8b)

Finally, the reflection coefficients 5 (V5 ) and 6 (V6 ) can be written as 5 (V5 ) = 5 (Vcm ) + 5(1) (Vcm ) · v Q

(9a)

6 (V6 ) = −5 (Vcm ) +

(9b)

(1) 5 (Vcm ) · v Q .

3 (Vcm ) = 5 (Vcm )

(10a)

3 (Vcm ) = 5 (Vcm ).

(10b)

(1)

(1)

B. Analysis of the Six-Port Correlator On the other hand, the total output waves at port 2 [18] can be calculated as aRF (t) = aLO (t)

6 

Sk1 k S2k

k=3

= aLO (t)(S31 3 S23 + S41 4 S24 + S51 5 S25 + S61 6 S26 ). (11) There are four different terms in (11), and each term is generated by the following three steps [18]. 1) The LO signal is transferred to port k. 2) The signal at port k is reflected by the variable loads Z k . 3) All the reflected signals are combined at port 2. Assuming that the six-port correlator is ideal (Assumption 3), its scattering parameters can be obtained as ⎤ ⎡ S11 S12 S13 S14 S15 S16 ⎢ S21 S22 S23 S24 S25 S26 ⎥ ⎥ ⎢ ⎢ S31 S32 S33 S34 S35 S36 ⎥ ⎥ [S] = ⎢ ⎢ S41 S42 S43 S44 S45 S46 ⎥ ⎥ ⎢ ⎣ S51 S52 S53 S54 S55 S56 ⎦ S61 S62 S63 S64 S65 S66 ⎤ ⎡ 0 0 1 j j −1 ⎢ 0 0 −1 j −1 j ⎥ ⎥ ⎢ ⎥ 1⎢ 1 −1 0 0 0 0 ⎥. ⎢ (12) = ⎢ ⎥ j j 0 0 0 0 2⎢ ⎥ ⎣ j −1 0 0 0 0 ⎦ −1 j 0 0 0 0 After substituting (12) into (11), we can get 1 aRF (t) = − aLO (t)[(3 + 4 ) + j (5 + 6 )]. 4

(13)

Then the modulated RF signal can be obtained after combining (6) and (9)–(11)

(1) 1 (1) aRF (t) = − aLO (t) 3 (Vcm ) · v I + j 5 (Vcm ) · v Q 2 1 (1) (14) = − aLO (t)3 (Vcm )(v I + j v Q ). 2 It can be seen that the first term in (14) is the modulating in-phase signal, and the second term is the modulating quadrature-phase signal. Therefore, this SPM can realize frequency up-conversion from the baseband to RF if the Assumptions 1–3 are all satisfied.

ZHANG et al.: HOMODYNE DIGITALLY ASSISTED AND SPURIOUS-FREE MIXERLESS DIRECT CARRIER MODULATOR

1479

C. Problems Discussion For any practical implementation of the SPM system, the ideal conditions leading to (14) cannot be guaranteed due to many factors which cannot be omitted, and some of which are discussed here. 1) The reflection coefficient (V ) is not linear, which can be seen from Fig. 4. Hence, there are many highorder terms (higher than first-order) generated as listed in (3a) and (3b), leading to spectrum regrowth and signal distortion in the modulated RF signal at port 2. 2) The reflection coefficient (V ) is only quasi-linear from 100 to 200 mV, which limits the range of v I (v Q ) leading to worse performance especially for modulated signal with high peak to average power ratio (PAPR), such as WCDMA signal and LTE signal. 3) The memory effects of the Schottky diodes will affect the overall system performance which must also be compensated in the system modeling. 4) If the electrical length θ0 of the transmission line Z 0 deviates from 90° at the operating frequency, or the Schottky diodes are not identical, namely, the actual reflection coefficients (3 (V3 ) and 4 (V4 ) or 5 (V5 ) and 6 (V6 )) are not symmetrical along the middle line ( = 0), (15) can be derived after combining (4) and (7) under Assumptions 1 and 3 3 + 4 = (3 (Vcm ) + 4 (Vcm ))  Carrier Leakage

(1)

(1) + 3 (Vcm ) − 4 (Vcm ) · v I 

(15a)

Fundamental Signal

5 + 6 = (5 (Vcm ) + 6 (Vcm ))  Carrier Leakage

(1)

(1) + 5 (Vcm ) − 6 (Vcm ) · v Q . (15b) 

Fig. 5.

Block diagrams of the (a) SPM and (b) DPD-based linear SPM.

It can be observed from (16) that the carrier leakage exists in the modulated RF signal, and the crosstalk phenomenon arises because the complex coefficients of the v I and v Q are not equal. From the above analysis, we can conclude that the carrier leakage, I/Q signals crosstalk, as well as the nonlinearity and the memory effects of the diodes cannot be removed after taking all the practical conditions into consideration, and they deteriorate the overall system performance. III. L INEARIZATION OF THE S IX -P ORT M ODULATOR Based on the theoretical analysis of the SPM in Section II, the MCMP, which can be viewed as an inverse model of the SPM, is proposed to make the whole system quasi-linear in this section. Therefore, the carrier leakage, the I/Q signals crosstalk, the nonideal characteristic of the six-port correlator, as well as the nonlinearity and the memory effects of the diodes can be taken into consideration if the DPD technique is applied in the SPM system. A. Six-Port Modulator Model As shown in Fig. 5(a), the input baseband signal x in (n) and its corresponding signal yout (n) from output RF signal can be expressed as

Fundamental Signal

It can be seen that the first term in (15) contributes to the carrier leakage, which will have a negative impact on the modulator performance. The complex coefficients of the second term in (15a) and (15b) are not equal, which brings about the crosstalk phenomenon between the in-phase and the quadrature-phase components of the signal. 5) The nonideal characteristic of the six-port correlator also results in the carrier leakage and the I/Q signal crosstalk. Equation (16), shown at the bottom of the page, can be obtained after substituting (6), (9) into (11) under Assumptions 1 and 2.

x in (n) = x I (n) + j x Q (n)

(17a)

yout (n) = y I (n) + j y Q (n).

(17b)

The MCMP model of the SPM is proposed in (18) according to the theoretical analysis of the direct carrier modulator in Section II, namely, y I (n) = α0 +

k  M N  

k− p

αkpm x I

p

(n − m) · x Q (n − m)

k=1 p=0 m=0

(18a) y Q (n) = β0 +

k  M N  

k− p

βkpm x I

p

(n − m) · x Q (n − m).

k=1 p=0 m=0

(18b)

(1) (1) aRF (t) = aLO (t) S31 S23 (3 (Vcm ) + 3 (Vcm ) · v I ) + S41 S24 (−3 (Vcm ) + 3 (Vcm ) · v I ) (1)

(1)

+ S51 S25 (5 (Vcm ) + 5 (Vcm ) · v Q ) + S61 S26 (−5 (Vcm ) + 5 (Vcm ) · v Q ) = aLO (t) S31 S23 3 (Vcm ) − S41 S24 3 (Vcm ) + S51 S25 5 (Vcm ) − S61 S26 5 (Vcm )  + (S31 S23 +

Carrier Leakage (1) S41 S24 )3 (Vcm ) · v I + (S51 S25



Fundamental Signals





+ S61 S26 )5(1) (Vcm ) · v Q  Fundamental Signals





(16)

1480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

where parameter N denotes the nonlinearity order, M is the memory depth, and coefficients (α0 , β0 , αkpm , and βkpm ) are all real-valued system constants. Notably, the unknown parameters α0 and β0 represent the carrier leakage. Actually, the output signal yout (n) can be written in a more compact form after combining (17b) and (18), namely, yout (n) = δ0 +

k  M N  

k− p

δkpm x I

p

(n − m) · x Q (n − m)

k=1 p=0 m=0

(19) where δ0 = α0 + jβ0

Fig. 6.

Block diagram of the proposed DPD model for SPM.

Fig. 7.

Complete test setup for the SPM.

(20a)

δkpm = αkpm + jβkpm .

(20b)

B. Nonlinearity Analysis of the Six-Port Modulator Model If we assume M = 0 and N = 3, the output signal of the SPM in (19) can be simplified into a memoryless model, which is listed in the following: yout (n) = δ0  Carrier Leakage

+ δ100 x I (n) + δ200 x I2 (n) + δ300 x I3 (n) 

+δ110 x Q (n) +

In-Phase Signal and its Higher-Order Terms 2 3 δ220 x Q (n) + δ330 x Q (n)





Quadrature Signal and its Higher-Order Terms + δ210 x I (n)x Q (n)+δ310 x I2 (n) · x Q (n)+δ320 x I (n)





2 · xQ (n) . 

Cross-Products of the In-Phase and Quadrature Signals

(21) It can be seen from (21) that this expression contains not only the carrier leakage, the I/Q signals, and their high-order terms, but also the high-order cross products of the I/Q signals. Hence, this model can approximate the behavior modeling of the SPM in Fig. 5(a). C. DPD Model for Linearization The inverse model of the SPM, namely, the DPD model as shown in Fig. 5(b), can be easily derived from (19) by using the similar form u out_DPD (n) = ε0 +

M N  k  

k− p

εkpm w I

(n − m)

k=1 p=0 m=0 p · w Q (n

− m)

(22)

where win_DPD (n) = w I (n) + j w Q (n) is the input signal of the DPD model, the unknown coefficients (ε0 and εkpm ) are all complex, and the model coefficient ε0 is used to suppress the carrier leakage. Equation (22) can be written in a matrix form as shown in the following: → −−−→ − u out_DPD (n) = E · W (n)

(23)

where − → E = [ε0 ε100ε101 . . . εkpm . . . εNNM ] ⎡ ⎤ 1 ⎢ ⎥ w I (n) ⎢ ⎥ ⎢ ⎥ w I (n − 1) ⎢ ⎥ ⎢ ⎥ .. −−−→ ⎢ ⎥ . W (n) = ⎢ ⎥. p ⎢ k− p ⎥ ⎢ w I (n − m)w Q (n − m) ⎥ ⎢ ⎥ .. ⎢ ⎥ ⎣ ⎦ . N (n − M) wQ

(24a)

(24b)

In addition, the block diagram of the DPD model shown in Fig. 6 can be seen as a combination of one constant ε0 , which is used to suppress the carrier leakage, and (M + 1) polynomial functions, each of which is applied to a different time delayed version of the input signal. IV. C OMPLETE T EST S ETUP AND M EASUREMENT P ROCEDURE The complete measurement setup for the SPM operating at 2.6 GHz is illustrated in Fig. 7. The original baseband signals, such as QAM signal, WCDMA signal, and LTE signal, are downloaded into the arbitrary waveform generator (AWG) 81180A through the ethernet cable, and the outputs are the baseband differential I /Q signals (v I and v Q ) plus the CM voltages (Vcm ). These four voltage signals (Vcm ± v I and Vcm ± v Q ) are used to control the Schottky diodes to change their impedances. The LO signal from the vector signal

ZHANG et al.: HOMODYNE DIGITALLY ASSISTED AND SPURIOUS-FREE MIXERLESS DIRECT CARRIER MODULATOR

Fig. 8. (a) Constellations and (b) power spectra of a 16-QAM signal with 4-MHz bandwidth without using the DPD model.

generator (VSG) N5182A is fed into the six-port correlator. Then, the output modulated RF signal generated from the modulator is fed into a mixed signal oscilloscope (MSO) 9404A, and captured by using vector signal analyzer (VSA) 89600. The AWG 81180A, VSG N5182A, MSO 9404A, and the VSA 89600 are all from the Keysight Technologies. The peak-to-peak voltages of the differential I/Q signals is set to be 150 mV, the CM voltage Vcm is 100 mV, and the amplitude of the LO signal is set to be −10 dBm in all the measurements in this paper. Furthermore, the measurement procedure is given here for better understanding of the system. 1) Download the original baseband signal x in (n) into AWG 81180A, and capture the corresponding output signal yout (n) by using the measurement setup as shown in Fig. 7. 2) Determine the unknown coefficients of the DPD model in (22) in which the input as well as the output signals are win_DPD (n) = yout (n)/G u out_DPD (n) = x in (n)

(25a) (25b)

1481

Fig. 9. Power spectra of (a) WCDMA signal with 3.84-MHz bandwidth and (b) LTE signal with 1.4-MHz bandwidth without using the DPD model.

where G is the conversion gain of the SPM, and it should be less than 1, which indicates that there is no signal amplification in the system. The first 1000 data symbols are enough in this paper to calculate the unknown coefficients in (22). Apparently, the nonlinearity order (N) and the memory depth (M) should be determined in advance. 3) Obtain the new input signal of the SPM u out_DPD (n) by applying the original baseband signal x in (n) to the DPD model, namely, win_DPD (n) = x in (n).

(26)

4) Download the new baseband signal u out_DPD (n) into AWG 81180A, and capture the final output signal z out (n) from the modulated RF signal. 5) Calculated the EVM in (27) by comparing the final output signal from the RF signal with the original baseband signal. The final output signals should be normalized to the conversion gain of the SPM for amplitude scaling, and rotated by

1482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 10. (a) Signal constellations and (b) power spectra of a 16-QAM signal with 4-MHz bandwidth based on the proposed DPD model.

a certain angle to align its phase with the original signal   L 1  L |Z (n) − x in (n)|2  n=1 out  × 100%. (27) EVM =  L  1  2 |x in (n)| L n=1

Here L is the total length of the baseband signal. V. R ESULTS AND D ISCUSSION Some of the concerns of the conventional SPM have been discussed in Section II, and an effective method for digital compensation based on the MCMP model is proposed in Sections III and IV. Here, the common modulated signals, such as QAM signal, WCDMA signal, and LTE signal, are used to validate the proposed method in this section. A. Measured Results Without DPD The measured results without applying the DPD model to the SPM are discussed first to explain why the system performance can be improved greatly after taking the proposed MCMP model into consideration.

Fig. 11. Power spectra comparison of (a) WCDMA signal with 3.84-MHz bandwidth and (b) LTE signal with 1.4-MHz bandwidth based on the proposed DPD model.

The 20 000 random symbols for 16-QAM signal with 4-MHz bandwidth are generated by matrix laboratory (MATLAB) software from the MathWorks. The sampling frequency is 80 MHz, and its PAPR is 6.7622 dB. Only step (1) in Section IV is used to obtain the output signal. Fig. 8(a) shows the constellations of the 16-QAM signal, and the corresponding power spectra are plotted in Fig. 8(b). Notably, the carrier frequency of the original baseband signal is set to be 2.6 GHz for better comparison. The calculated EVM is 13.2%, and the symbols of the output signal in Fig. 8(a) deviate from their ideal desired positions resulting in very bad constellation and system performance. Besides, 20 491 random symbols of a WCDMA signal with 3.84-MHz bandwidth, and 15 360 random symbols of a LTE signal with 1.4-MHz bandwidth are also tested. Their sampling frequencies are 61.44 and 7.68 MHz, respectively. In addition, the PAPR is 9.5155 dB (8.9325 dB) for WCDMA (LTE) signal. The power spectra are plotted in Fig. 9, and the EVM is 20.2% (14.5%) for the WCDMA (LTE) signal. It can be seen that the measured results are very bad, and the EVMs are all larger than 10%. The carrier leakage has

ZHANG et al.: HOMODYNE DIGITALLY ASSISTED AND SPURIOUS-FREE MIXERLESS DIRECT CARRIER MODULATOR

1483

TABLE I S UMMARY OF THE M EASUREMENT R ESULTS

Fig. 12. Constellations of a 64-QAM signal with 10-MHz bandwidth based on (a) DPD-1 and (b) DPD-2. The power spectra of the 64-QAM signal with 10-MHz bandwidth based on (c) DPD-1 and (d) DPD-2.

been suppressed for the 16-QAM signal in Fig. 8(b), however, it still exists in Fig. 9, which shows that the method [18] based only on the phase shifters and the differential baseband

voltages cannot remove the carrier leakage completely for the WCDMA and LTE signals. Apart from the carrier leakages, the I/Q crosstalk, as well as the nonlinearity and the memory

1484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

effects of the diodes deteriorate the system performance, which lead to unacceptable system performance. B. Measured Results Based on the Proposed DPD Model In order to verify that the proposed DPD model can address all the concerns raised here and can improve the system performance greatly, the same signals are also measured by using the test procedure described in Section IV. The constellation and the power spectra of the 16-QAM signal with 4-MHz bandwidth are plotted in Fig. 10, and the power spectra of the WCDMA as well as LTE signals are illustrated in Fig. 11(a) and (b), respectively. The calculated EVM for the 16-QAM is only 2.7% when N = 3 and M = 2, which is improved greatly when compared with the results (13.2%) without the DPD model. As demonstrated by Fig. 10(a), the input (original) signal and the output (modulated RF) signal match very well. In addition, the EVM is 3.9% (3.7%) for the WCDMA (LTE) signal when N is 3 (4), and M is 9 (2). It can be seen that the system performance, in terms of EVM, improves greatly when the proposed DPD model is applied during the measurement. More importantly, the residual carrier leakage, which still exists in Fig. 9, is removed completely, which can be seen in Fig. 11. Besides the modulated signals discussed above, other baseband signals with different bandwidth and PAPR are also tested by using the method proposed in this paper, and the final results are summarized in Table I. It can be seen that the EVMs for all the test conditions are between 2% and 4%, indicating the validity and the performance of the proposed methods for SPM. Fig. 13. Power spectra of the LTE signal with 5-MHz bandwidth obtained based on (a) DPD-1 and (b) DPD-3.

C. Discussion About the I/Q Signal Crosstalk It can be seen that the DPD model in (22) consists of I/Q cross products, which may be caused by the six-port correlator due to the nonideal isolation among four ports k. Therefore, another DPD model (DPD-2), which only consists of the I/Q signals, their higher-order terms and the past values, is proposed in (28) for comparison. The original DPD model in (22) is named as DPD-1 for better explanation u out_DPD (n) = ε0 + +

M N  

k=1 m=0 M N 

ε1km wkI (n − m)

ε2km wkQ (n − m).

(28)

k=1 m=0

The 20 000 random symbols of a 64-QAM signal with 10-MHz bandwidth are tested by applying these two DPD models, namely, DPD-1 in (22) and DPD-2 in (28). The constellations and the corresponding power spectra are illustrated in Fig. 12 for comparison. The final EVMs are 2.9% and 4.1%, respectively, for these two DPD models under the same nonlinearity order and the memory depth (N = 3 and M = 9). The performance improves when the cross-products of the baseband signals are taken into consideration, and the constellation in Fig. 12(a) is better than that in Fig. 12(b).

Fig. 14. Power spectra of the LTE signal with 5-MHz bandwidth from 2.5998 to 2.6002 GHz.

D. Discussion About the Carrier Leakage Since carrier leakage has a negative impact on the final system performance, the measured results based on a DPD model (DPD-3) in (29) are compared with those based on the DPD-1 model in (22) to verify that the coefficient ε0 is used to suppress the carrier leakage.

ZHANG et al.: HOMODYNE DIGITALLY ASSISTED AND SPURIOUS-FREE MIXERLESS DIRECT CARRIER MODULATOR

1485

TABLE II C OMPARISON W ITH THE S TATE - OF - THE -A RT R ESULTS

Fig. 15. Complete set-up based on the signal generator from Keysight Technologies as a RF modulator.

The DPD-3 is written in (29), and the only difference from (22) is that there is no complex coefficient ε0 in the following: u out_DPD (n) =

k  M N  

k− p

εkpm w I

p

(n − m) · w Q (n − m).

k=1 p=0 m=0

(29) The 15 360 random symbols of the LTE signal with 5-MHz bandwidth are tested and their spectra from 2.592 and 2.608 GHz are plotted in Fig. 13. The calculated EVMs are 2.6% (15.4%) based on the DPD-1 (DPD-3) under the same conditions (N = 4 and M = 2), and the overall system performance improves greatly. There is no carrier leakage in Fig. 13(a) for the measured results based on DPD-1, however, it exists in Fig. 13(b), which leads to the degraded system performance. Besides, the spectrum regrowth in Fig. 13(a) is lower than that in Fig. 11(b). It can be fully verified that the coefficient ε0 plays a key role in suppressing the carrier leakage from Fig. 13. Furthermore, the results about the power spectra from 2.5998 to 2.6001 GHz are also plotted in Fig. 14 for clarity. It can be seen that the output signal obtained based on the DPD-1 remain consistent with the original input signal, and no carrier leakage at 2.6 GHz exists. E. Comparison With Signal Generator From Keysight Technologies A comparison with the results obtained by using the VSG N5281A as the RF signal modulator is also made here, and the corresponding measured setup is shown in Fig. 15. It is well known that the VSG N5182A can generate high-performance modulated RF signals. The original signal is downloaded into the VSG N5182A through the ethernet cable with preset amplitude (−10 dBm) and frequency (2.6 GHz). The output RF signal goes into one of the ports of the MSO9404A, and captured by using the VSA 89 600. It can be seen that the MSO9404A and the software VSA 89 600 are both used for capturing the final RF signal. The 40 000 random symbols of the 16-QAM signal with 1 MHz are tested by using the two setups in Fig. 7

(DPD-SPM system) and Fig. 15 (VSG), respectively. The constellation and the corresponding spectra are plotted in Fig. 16. The EVM is 3.2% based on the DPD-SPM system, and it is only 3.1% by using the VSG N5182A, which confirm that the SPM together with the proposed DPD model has the same function as the VSG though the measured results obtained from the VSG is slightly better than those obtained using the proposed DPD-SPM system. Notably, the constellation in Fig. 16(b) is mainly affected by the noise introduced in the system, and the MSO9404A. The similar influence from the noise also affects the performance of the proposed DPD-SPM system. F. Comparison With State-of-the-Art Six-Port Modulators The performance of state-of-the-art SPMs and our work are summarized in Table II. Besides the better performance compared with other results, the SPM system with real communication signals such as WCDMA and LTE signals are reported in this paper with improved system performance. In addition, the method based on conventional SPM together with the DPD model is proposed and tested for the first time. It is worth noting that the proposed MCMP model can be used for not only the Schottky diodes [18]–[22], but also

1486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 16. Constellation of the 16-QAM signal with 1-MHz bandwidth captured by using the (a) DPD-SPM system and (b) VSG. The power spectra of the 16-QAM signal captured by using the (c) DPD-SPM system and (d) VSG.

for the transistors [23]–[30] because they possess the similar characteristics in terms of nonlinearity and memory effects as discussed in Section II. VI. C ONCLUSION A homodyne mixerless and quasi-linear transmitter architecture is designed and implemented in this paper. The proposed architecture is based on the combination of an SPM and a DPD model to suppress the residual carrier leakage and to compensate for system nonidealities. It improves the overall system performance. The basic theory of the SPM and its problems including the carrier leakage, the crosstalk between the in-phase and quadrature signals, nonlinearity and memory effect of the Schottky diodes are clearly discussed. Then, the MCMP model, which consists of not only the present signals as well as their past samples and nonlinear terms, but also the cross-products and the carrier leakage, is proposed to make the whole system quasi-linear. Common modulated signals with different bandwidths and PAPRs are tested to validate the proposed system, and the final EVMs are between 2% and 4%. The measurement results confirm the dual approach of hardware and software based methods for very high carrier leakage suppression in direct carrier SPMs. Digitally assisted SPM

based on the proposed MCMP model would be a low-cost and power efficient solution for RF front-end designs for frequency up-conversion systems. ACKNOWLEDGMENT The authors would like to thank A. Kwan and A. Abdelhafiz, both with the Intelligent RF Radio Laboratory, University of Calgary, Calgary, AB, Canada, for their technical support and suggestions during the measurement. R EFERENCES [1] J. Li, R. G. Bosisio, and K. Wu, “A six-port direct digital millimeter wave receiver,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, USA, May 1994, pp. 1659–1662. [2] P. Perez-Lara, I. Molina-Fernandez, J. G. Wanguemert-Perez, and R. G. Bosisio, “Effects of hardware imperfection on six-port direct digital receivers calibrated with three and four signal standards,” Proc. Inst. Elect. Eng.—Microw., Antennas Propag., vol. 153, no. 2, pp. 171–176, Apr. 2006. [3] J. Osth et al., “Six-port gigabit demodulator,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 1, pp. 125–131, Jan. 2011. [4] C. de la Morena-Álvarez-Palencia and M. Burgos-Garcia, “Fouroctave six-port receiver and its calibration for broadband communications and software defined radios,” Prog. Electromagn. Res., vol. 116, pp. 1–21, 2011. [Online]. Available: http://www.jpier.org/ PIER/pier116/01.11030407.pdf

ZHANG et al.: HOMODYNE DIGITALLY ASSISTED AND SPURIOUS-FREE MIXERLESS DIRECT CARRIER MODULATOR

[5] A. Hasan and M. Helaoui, “Novel modeling and calibration approach for multi-port receivers mitigating system imperfections and hardware impairments,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2644–2653, Aug. 2012. [6] A. Hasan and M. Helaoui, “Performance driven six-port receiver and its advantages over low-IF receiver architecture,” J. Electr. Comput. Eng., vol. 2014, Jan. 2014, Art. no. 198120. [7] S. O. Tatu, A. Serban, M. Helaoui, and A. Koelpin, “Multiport technology: The new rise of an old concept,” IEEE Microw. Mag., vol. 15, no. 7, pp. S34–S44, Nov./Dec. 2014. [8] W. Zhang, A. Hasan, F. M. Ghannouchi, M. Helaoui, Y. Wu, and Y. Liu, “Novel calibration algorithm of multiport wideband receivers based on real-valued time-delay neural networks,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 11, pp. 3540–3548, Nov. 2016. [9] Y. Zhao, C. Viereck, J. F. Frigon, R. G. Bosisio, and K. Wu, “Direct quadrature phase shift keying modulator using six-port technology,” Electron. Lett., vol. 41, no. 21, pp. 1180–1181, Oct. 2005. [10] Y. Zhao, J.-F. Frigon, K. Wu, and R. G. Bosisio, “Multi(six)-port impulse radio for ultra-wideband,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 4, pp. 1707–1712, Jun. 2006. [11] B. Luo and M. Y. W. Chia, “Direct 16 QAM six-port modulator,” Electron. Lett., vol. 44, no. 15, pp. 910–911, Jul. 2008. [12] B. Luo and M. Y. W. Chia, “Performance analysis of serial and parallel six-port modulators,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 9, pp. 2062–2068, Sep. 2008. [13] R. C. Yob, N. Seman, and S. N. A. M. Ghazali, “Error vector magnitude analysis for wideband QPSK and QAM six-port modulator,” in Proc. IEEE Int. RF Microw. Conf. RFM, Seremban, Malaysia, Dec. 2011, pp. 149–153. [14] S. Z. Ibrahim, A. M. Abbosh, and M. A. Antoniades, “Direct quadrature phase shift keying modulation using compact wideband six-port networks,” IET Microw., Antennas Propag., vol. 6, no. 8, pp. 854–861, Jun. 2012. [15] N. S. A. Arshad, W. L. Cheor, S. Z. Ibrahim, and M. S. Razalli, “QPSK modulation using multi-port device,” in Proc. IEEE Symp. Wireless Technol. Appl. (ISWTA), Kota Kinabalu, Malaysia, Sep. /Oct. 2017, pp. 58–63. [16] X. Song et al., “Integrating baseband-over-fiber and six-port direct modulation for high-speed high-frequency wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, USA, May 2016, pp. 1–4. [17] B. Zouggari, C. Hannachi, E. Moldovan, and S. O. Tatu, “Millimeter wave six-port QPSK modulators for high data-rate wireless communications,” in Proc. 46th Eur. Microw. Conf. (EuMC), London, U.K., Oct. 2016, pp. 1035–1038. [18] J. Osth, Owais, M. Karlsson, A. Serban, S. Gong, and P. Karlsson, “Direct carrier six-port modulator using a technique to suppress carrier leakage,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 3, pp. 741–747, Mar. 2011. [19] J. Osth, Owais, M. Karlsson, A. Serban, and S. Gong, “Schottky diode as high-speed variable impedance load in six-port modulators,” in Proc. IEEE Int. Conf. Ultra-Wideband (ICUWB), Bologna, Italy, Sep. 2011, pp. 68–71. [20] J. Osth, M. Karlsson, A. Serban, and S. Gong, “Carrier leakage suppression and EVM dependence on phase shifting network in six-port modulator,” in Proc. Int. Conf. Microw. Millim. Wave Technol. (ICMMT), Shenzhen, China, May 2012, pp. 1247–1250. [21] J. Osth, A. Serban, M. Karlsson, and S. Gong, “LO leakage in sixport modulators and demodulators and its suppression techniques,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, pp. 1–3. [22] H. Moazzen, A. Mohammadi, and R. Mirzavand, “Multilevel outphasing system using six-port modulators and Doherty power amplifiers,” Analog Integr. Circuits Signal Process., vol. 90, no. 2, pp. 361–372, Feb. 2017. [23] H. S. Lim, W. K. Kim, J. W. Yu, H. C. Park, W. J. Byun, and M. S. Song, “Compact six-port transceiver for time-division duplex systems,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 394–396, May 2007. [24] W. Ciccognani, M. Ferrari, F. Giannini, and E. Limiti, “A novel broadband MMIC vector modulator for V-band applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 20, no. 1, pp. 103–113, Jan. 2010. [25] A. Serban, J. Osth, Owais, M. Karlsson, S. Gong, J. Haartsen, and P. Karlsson, “Six-port transceiver for 6–9 GHz ultrawideband systems,” Microw. Opt Technol. Lett., vol. 52, no. 3, pp. 740–746, Mar. 2010. [26] Owais, J. Osth, and S. Gong, “Differential six-port modulator,” in Proc. Int. Conf. Wireless Commun. Signal Process. (WCSP), Nanjing, China, Nov. 2011, p. 4.

1487

[27] W.-S. Lee, K.-S. Oh, D.-Z. Kim, and J.-W. Yu, “Direct six-port modulator using polyphase networks,” Microw. Opt. Technol. Lett., vol. 53, no. 10, pp. 2321–2324, Oct. 2011. [28] A. Serban, M. Karlsson, J. Östh, Owais, and S. Gong, “Differential circuit technique for six-port modulator and demodulator,” in IEEE MTT-S Int. Microw. Symp. Dig., Montreal, QC, Canada, Jun. 2012, 3 pp. [29] J. Osth, M. Karlsson, A. Serban, and S. Gong, “M-QAM six-port modulator using only binary baseband data, electrical or optical,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 6, pp. 2506–2513, Jun. 2013. [30] J. Osth, M. Karlsson, A. Serban, and S. Gong, “A comparative study of single-ended vs. differential six-port modulators for wireless communications,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 2, pp. 564–570, Feb. 2015. [31] N.-C. Kuo, J.-L. Kuo, and H. Wang, “Novel MMIC power amplifier linearization utilizing input reflected nonlinearity,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 542–554, Mar. 2012. [32] T. Sharma, R. Darraji, and F. Ghannouchi, “A methodology for implementation of high-efficiency broadband power amplifiers with secondharmonic manipulation,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 63, no. 1, pp. 54–58, Jan. 2016. [33] Y. Zhang et al., “Characterization for multiharmonic intermodulation nonlinearity of RF power amplifiers using a calibrated nonlinear vector network analyzer,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 9, pp. 2912–2923, Sep. 2016. [34] T. Sharma, R. Darraji, F. Ghannouchi, and N. Dawar, “Generalized continuous class-F harmonic tuned power amplifiers,” IEEE Microw. Compon. Lett., vol. 26, no. 3, pp. 213–215, Mar. 2016. [35] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [36] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [37] S. Saied-Bouajina, O. Hammi, M. Jaidane-Saidane, and F. M. Ghannouchi, “Experimental approach for robust identification of radiofrequency power amplifier behavioural models using polynomial structures,” IET Microw. Antennas Propag., vol. 4, no. 11, pp. 1818–1828, Nov. 2010. [38] F. F. Tafuri, C. Guaragnella, M. Fiore, and T. Larsen, “Linearization of RF power amplifiers using an enhanced memory polynomial predistorter,” in Proc. NORCHIP, Copenhagen, Denmark, Nov. 2012, p. 3. [39] J. Xia, A. Islam, H. Huang, and S. Boumaiza, “Envelope memory polynomial reformulation for hardware optimization of analog-RF predistortion,” IEEE Microw. Compon. Lett., vol. 25, no. 6, pp. 415–417, Jun. 2015. [40] F. Mkadem, A. Islam, and S. Boumaiza, “Multi-band complexityreduced generalized-memory-polynomial power-amplifier digital predistortion,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 6, pp. 1763–1774, Jun. 2016.

Weiwei Zhang (GS’16) received the B.Eng. degree in electrical engineering from the Wuhan University of Technology, Wuhan, China, in 2011. He is currently pursuing the Ph.D. degree in electrical engineering at the Beijing University of Posts and Telecommunications, Beijing, China. Since 2015, he has been a Visiting Ph.D. Student with the Intelligent RF Radio Laboratory, Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. His current research interests include microwave power dividers, radio-frequency power amplifiers, and multiport transceivers.

1488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Abul Hasan (GS’11) received the B.Tech. degree in electronics and communication engineering from IIT Guwahati, Guwahati, India, in 2008, and the M.Sc. degree in electrical and computer engineering from the Schulich School of Engineering, University of Calgary, Calgary, AB, Canada, in 2012, where he is currently pursuing the Ph.D. degree in electrical and computer engineering. He was a Senior Hardware Design Engineer with Geodesic Ltd., Bengaluru, India. His current research interests include multiport techniques and their applications, reconfigurable microwave and RF circuits and systems design, and signal processing for modern communication systems. Fadhel M. Ghannouchi (F’07) has held numerous invited positions with several academic and research institutions in Europe, North America, and Japan. He has provided consulting services to a number of microwave and wireless communications companies. He is currently a Professor and the iCORE/Canada Research Chair with the Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada, and the Director of the Intelligent RF Radio Laboratory. He has authored or co-authored over 650 publications. He holds ten U.S. patents with five pending patents. His current research interests include microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, the design of power and spectrum efficient microwave amplification systems, and the design of intelligent RF transceivers for wireless and satellite communications. Mohamed Helaoui (S’06–M’09) received the M.Sc. degree in communications and information technology from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2003, and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, University of Calgary, Calgary. He has authored or co-authored over 60 publications and has 7 pending patents. His current research interests include digital signal processing, power efficiency enhancement for wireless transmitters, switching mode power amplifiers, and advanced transceiver design for software-defined radio and millimeter-wave applications. Dr. Helaoui is a member of the COMMTTAP Chapter of the IEEE Southern Alberta Section.

Yongle Wu (M’12–SM’15) received the B.Eng. degree in communication engineering and Ph.D. degree in electronic engineering from the Beijing University of Posts and Telecommunications (BUPT), Beijing, China, in 2006 and 2011, respectively. In 2010, he joined the City University of Hong Kong, Hong Kong, as a Research Assistant. In 2011, he joined BUPT, where he is currently a Full Professor with the School of Electronic Engineering. His current research interests include microwave components and wireless systems design.

Lingxiao Jiao received the bachelor’s degree in electronic science and technology from the Beijing University of Posts and Telecommunications (BUPT), Beijing, China, in 2014, where he is currently pursuing the Ph.D. degree. In 2014, he joined BUPT, where he is focused on his research. His current research interests include microwave passive components and microwave transceivers.

Yuanan Liu (M’92) received the B.E., M. Eng., and Ph.D. degrees in electrical engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1984, 1989, and 1992, respectively. In 1984, he joined the 26th Institute of Electronic Ministry of China, Langfang, China, where he was involved in the development of the inertia navigating system. In 1992, he held a post-doctoral position with the EMC Laboratory, Beijing University of Posts and Telecommunications (BUPT), Beijing, China. In 1995, he held a second post-doctoral position with the Broadband Mobile Laboratory, Department of System and Computer Engineering, Carleton University, Ottawa, ON, Canada. Since 1997, he has been a Professor with the Wireless Communication Center, College of Telecommunication Engineering, BUPT, Beijing, where he is involved in the development of next-generation cellular systems, wireless LANs, Bluetooth application for data transmission, electromagnetic compatibility design strategies for highspeed digital systems, and electromagnetic interference and expected value of mean square measuring sites with low cost and high performance.

1512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Direct Error-Searching SPSA-Based Model Extraction for Digital Predistortion of RF Power Amplifiers Noel Kelly , Student Member, IEEE and Anding Zhu , Senior Member, IEEE Abstract— This paper presents a low-complexity architecture to extract model coefficients for digital predistortion of radio frequency power amplifiers. The proposed approach directly updates the model coefficients online using a stochastic optimization algorithm that utilizes random perturbation of the model coefficients to determine the coefficient updating direction and converge toward the optimum solution. This technique avoids resource-intensive matrix operations and the requirement for an offline error model in the conventional model extraction techniques and thus drastically reduces the implementation complexity. The complete model extraction solution has been implemented on a field-programmable gate array, and it is shown that the hardware resource usage is remarkably low. Experimental measurements were conducted on a gallium nitride Doherty amplifier excited by Long Term Evolution signals and the results showed that the proposed technique can achieve linearization performance comparable to that obtained by using the conventional and significantly more complex solutions. Index Terms— Digital predistortion (DPD), linearization, model extraction, power amplifier (PA), stochastic optimization.

I. I NTRODUCTION

D

IGITAL predistortion (DPD) is an advanced linearization technique that is now widely used to compensate for nonlinear behavior of radio frequency (RF) power amplifiers (PAs) in modern wireless communication systems [1], [2]. DPD uses an inverse model of the nonlinear PA to predistort the input signal at digital baseband. To maximize linearity improvement, an accurate behavioral model is required. In recent years, a range of advanced behavioral models for RF PAs have been developed, including modified versions of the Volterra series [3]–[5] and, more recently, the decomposed vector rotation (DVR)-based model which uses the absolute value operator as the basis function [6]. To extract the coefficient values for these models, least squares (LS)-based algorithms are typically used [7]–[9]. The LS algorithm offers high accuracy and fast convergence but comes with a high implementation cost in terms of hardware

Manuscript received May 10, 2017; revised July 10, 2017; accepted August 3, 2017. Date of publication October 16, 2017; date of current version March 5, 2018. This work was supported in part by the Science Foundation Ireland and in part by the European Regional Development Fund under Grant 13/RC/2077 and Grant 12/IA/1267. (Corresponding author: Noel Kelly.) The authors are with the School of Electrical and Electronic Engineering, University College Dublin, 4 Dublin, Ireland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2748128

resources as it requires complex matrix multiplication and inversion operations [10]. High implementation complexity is particularly undesirable for applying DPD in small-cell base stations that are expected to form a large part of future 5G networks. These stations operate at much lower power levels than those in conventional larger cells. The power efficiency and implementation cost of all components in the transmitter chain, including DPD, must be carefully managed [11], [12]. To reduce the computational complexity, iterative coefficient extraction techniques can be considered. In particular, the recursive least-squares (RLS) algorithm has been employed in DPD model extraction [13], [14]. RLS avoids large matrix inversion, but maintaining an accurate approximation of the Hessian matrix still requires significant complexity, particularly for higher order models. In [15] and [16], the authors proposed a model adaption technique based on the least mean squares (LMS) algorithm where large matrix calculations are avoided and thus implementation complexity is greatly reduced. However, because it uses the first-order approximation, LMS is very sensitive to the adaption step size and it typically struggles to achieve the desired model accuracy [17]. In [18], a stochastic optimization-based DPD coefficient calculation technique was proposed as a low-complexity alternative to the LS solution. It is derived from the simultaneous perturbation stochastic approximation (SPSA) algorithm that uses measurements of the loss function with a random perturbation on the model coefficients to determine the coefficient updating direction and converge toward the optimum solution without involving resource-intensive matrix operations [19], [20]. It is shown in [18] that, after a sufficient number of iterations, the technique can achieve accuracy comparable to the existing LS solutions with over 98% reduction in computational complexity. However, to enable quadratic interpolation, new error model outputs must be calculated at each SPSA iteration. If a large number of training samples are used, the calculation of error model outputs still requires a large number of operations, leading to significant resource usage and cost. This paper presents an alternative training architecture that removes the requirement for an additional error model in the coefficient extraction procedure. The proposed technique applies the SPSA algorithm directly on the DPD model to find the optimum coefficients. Experimental results show that the proposed approach can achieve comparable linearization performance to existing solutions but offers a further drastic reduction in hardware resource usage compared with the existing technique in [18].

0018-9480 © 2017 IEEE. Translations and content mining are permitted for academic research only. Personal use is also permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

KELLY AND ZHU: DIRECT ERROR-SEARCHING SPSA-BASED MODEL EXTRACTION FOR DPD OF RF PAs

Fig. 1.

1513

DPD with direct learning model extraction.

This paper is organized as follows. In Section II, the existing direct learning methods using both LS and the SPSA algorithm are discussed. The direct learning model extraction solution proposed in this paper is detailed in Section III. Section IV outlines the implementation complexity of the proposed technique and quantifies the improvement by comparing it against the existing SPSA-based DPD. Finally, Section V reports experimental results with a conclusion in Section VI. II. E XISTING D IRECT L EARNING M ODEL E XTRACTION The principle of DPD is that a digital block is inserted into the transmitter chain to preprocess the input signal before it enters the RF PA. Two primary architectures are commonly used for model extraction: indirect learning (IDL) and direct learning [2], [9], [21]. The IDL architecture estimates the postinverse of the PA first and then copies the coefficients to the preinverse DPD block. The direct learning architecture is usually used in a closed-loop system and it directly compares the PA output with the original input. A. LS-Based Direct Learning Fig. 1 shows the block diagram of a DPD system with direct learning. Many behavioral models can be used for constructing the DPD function. In this paper, we use the DVR-based model [6]. The predistorted signal u(n) ˜ is given by u(n) ˜ =

M 

a˜ i x(n ˜ − i)

+

M S   s=1 i=0 M S  

  ˜ − i )| − βs e j θ(n−i) c˜s,i,1 |x(n

C = [a˜ 1 , a˜ 2 , · · · , c˜s,1,1 , · · · ].

(2)

The direct learning model extraction architecture iteratively adjusts the coefficients in C to minimize the error between y and x. As shown in Fig. 1, this means the DPD model is located inside the training loop. The update equation is given by Ch+1 = Ch − λ · Ch

(3)

where λ is a scalar adaption factor and h is the iteration index. Ch is the coefficient updating vector modeling the error component in the DPD coefficient vector. Provided the error is sufficiently small, the error in the DPD output signal, u error,h , can be approximated by the error in the PA output uerror,h = G −1 (yh − x) ≈ yh − x

(4)

where G −1 (·) is the inverse transfer function of the PA. The coefficient update vector Ch can then be estimated using LS Ch = (X H X)−1 X H (uerror,h )

(5)

B. SPSA-Based Direct Learning

  c˜s,i,21 |x(n ˜ ˜ − i )| − βs e j θ(n−i) |x(n)|

s=1 i=0

+...

samples of the signals x(n), ˜ u(n), ˜ and y˜ (n) in Fig. 1 using the notation x, u, and y, respectively. For convenience, we also group the model coefficients in (1) into a single coefficient vector

where X is the DPD model regression matrix generated using the DPD input signal x [22].

i=0

+

Fig. 2. SPSA-based direct learning DPD [18]. (Note the symbol “⊗” represents the Kronecker product.)

(1)

where x(n) ˜ is the baseband input signal. The constants M and S are the memory length and the number of thresholds, respectively. The operator | · | denotes the absolute value operation and θ (n) is the phase of the signal x(n). ˜ It is common to consider DPD processing to take place in blocks of N samples. In this paper, we represent vectors containing

The LS operation in (5) involves large matrix operations, which are hardware demanding and time consuming. To reduce complexity, in [18], we proposed to replace LS with the SPSA algorithm. SPSA is a stochastic optimization algorithm that iteratively measures a loss function with a random perturbation on the model coefficients to determine the coefficient updating direction and finally find the optimum solution. The coefficient perturbation process only requires a simple addition and subtraction operation, and all coefficients are randomly perturbed together, which leads to substantial savings in hardware resource usage in model extraction.

1514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

To replace LS with SPSA to calculate Ch in (5), we first need to construct an error model with output emod given by emod = XCerr .

(6)

The goal of the SPSA process is to find the optimum error coefficient vector Cerr to enable emod approach uerror , so that Cerr can then be used to replace Ch in (3) to update the DPD coefficients in the next direct learning iteration. As mentioned above, SPSA is an iterative search algorithm and thus, as shown in Fig. 2, multiple internal iterations are required to find the optimum Cerr coefficients each time. The iteration procedure is outlined below. Note that we use the index k to denote internal iterations as opposed to the index h in (3). The iteration begins with perturbing the current estimate of the error model coefficient vector Cerr,k with a random perturbation vector, k , weighted by a scalar, ck , to generate two additional coefficient vectors C+ err,k = Cerr,k + ck k

C− err,k = Cerr,k − ck k .

(7)

The perturbation vector k is given by k = [k,1 , k,2 , · · · , k,K ].

(8)

where K is the number of terms in the model and each entry k,i is either +1 or −1 with equal probability. Using the perturbed coefficients in (7) and the current coefficient set Cerr,k , three error model outputs are calculated emod,k = XCerr,k + emod,k = XC+ err,k

− emod,k = XC− err,k .

(9)

By comparing with the desired error vector uerror , three normalized mean square error (NMSE) loss function measure− ments, L(Cerr,k ), L(C+ err,k ), and L(Cerr,k ) can be obtained. As discussed in [18], since the NMSE is quadratically related to the model coefficients, the next updated coefficient estimate, Cerr,k+1 , can be found by moving directly to the minimum point of the quadratic curve formed by the three loss function measurements. Specifically, the new error coefficient vector is calculated by Cerr,k+1 = Cerr,k − ck k μk

(10)

where the perturbation update weighting, μk is given by1   −   L C+ err,k − L Cerr,k     (11) μk =   +  2 L Cerr,k + L C− err,k − 2L Cerr,k As shown in Fig. 3, as the updating process iterates, the NMSE is reduced in each iteration. The training finishes when the desired accuracy is reached. This method avoids the gradient calculations and resulting resource-intensive matrix operations required by LS. 1 Due to a typing error, the scaling factor of 2 was missing in the

denominator part of the quadratic SPSA coefficients updating equation given in [18]. It is included in (11) now.

Fig. 3.

Evolution of the quadratic SPSA interpolation.

As shown in [18], this approach achieves a reduction in periteration computational complexity of over 98%, while maintaining comparable performance to conventional LS. However, in terms of implementation complexity, the introduction of a secondary error model is not desirable. At each SPSA iteration, new error model outputs must be calculated according to the expressions in (9). Note that the matrix Xh has dimensions N × K , where N is the number of training samples and K is the number of terms in the DPD model. In a practical system, this calculation requires a large number of operations, leading to significant resource usage and cost. III. D IRECT E RROR -S EARCHING SPSA-BASED M ODEL E XTRACTION To further reduce the implementation complexity of the technique in [18], this paper proposes a novel extraction method where the SPSA algorithm is applied directly to the DPD model rather than to the error model. A. Error Analysis In a DPD system, shown in Fig. 1, the goal of the model extraction process is to find a set of ideal coefficients that can generate the ideal output uideal that enters the PA to generate the perfect output, yideal that is equal to the original input x. Clearly, uideal is not available before we find the ideal coefficients, but it can be expressed as uideal = uh − uerror,h

(12)

where uh is the existing DPD output that can be obtained by uh = XCh

(13)

where Ch is the model coefficients vector. As in (4), for a given set of DPD coefficients, the error signal uerror,h at the DPD output can be approximated by the error measured at the PA output, if the error is relatively small [22], [23]. Substituting (4) into (12) gives an approximate value for the ideal DPD output uideal ≈ uh − (yh − x).

(14)

If we know uideal , the optimization task now is to find the ideal DPD coefficients, Cideal , that minimize the error between the

KELLY AND ZHU: DIRECT ERROR-SEARCHING SPSA-BASED MODEL EXTRACTION FOR DPD OF RF PAs

Fig. 5. Fig. 4.

1515

Quadratic fitting.

Single SPSA iteration of the proposed algorithm.

DPD model output, uh , and its ideal value, uideal   Cideal = arg minCh |uh − uideal |2 .

(15)

Considering the quadratic feature of SPSA, this optimization problem can be solved by using SPSA directly, as illustrated in Fig. 4, where the coefficients Ch are on the horizontal axis, while the magnitude square of the output error signal |u error,h |2 is on the vertical axis. Because both uh and uideal are linearly related to the model coefficients, uerror,h is also linearly related to Ch . Therefore, |u error,h |2 is quadratic in relation to Ch . It follows that the next error and the corresponding coefficients can be found by simply forming a quadratic curve using SPSA. As shown in Fig. 4, assuming that Ch corresponds to the existing error |u error,h |2 , if we perturb the coefficients to − 2 2 generate two other errors |u + error,h | , |u error,h | , we can form a quadratic curve. The minimum point of the curve is the next error value |u error,h+1 |2 , and thus, the new corresponding coefficients Ch+1 can be found. The difference compared with the existing approaches described in Section II is shown as Existing: Ch ⇒ uerror,h ⇒ Ch ⇒ Ch+1 Proposed : Ch ⇒ uerror,h ⇒ uerror,h+1 ⇒ Ch+1

(16)

where in the existing approaches, the next coefficients vector is updated using an error coefficients vector generated from the existing error, while in the proposed approach, we find the next DPD error from the SPSA quadratic curve fitting and thus find the next optimum coefficient vector directly. This approach is much simpler in terms of computational complexity and hardware implementation compared with the existing approaches, discussed as follows. B. SPSA Training To apply SPSA, we first define a loss function. In this paper, we propose to use a loss function that is given as the residual sum of squares (RSS) between the DPD output u and the ideal DPD output uideal for a given set of measurements RSS(uideal , u) =

N  n=1

|u(n) ˜ − u˜ ideal (n)|2

(17)

where N is the total number of training samples. It is similar to the standard NMSE. The difference is that the division operation in the NMSE definition is no longer required here as uideal is constant across all three measurements during a single SPSA iteration. As discussed earlier, to perform quadratic SPSA, three loss function measurements are required. One measurement is conducted by using the existing coefficients Ch , while the other two measurements are obtained by applying a random perturbation vector h , weighted by a scalar ch , to the existing coefficients Ch to generate two additional DPD output signals. Intuitively, we would think that we have to feed the coefficients through the DPD block three times to generate three DPD outputs, which will complicate the process. In fact, by taking advantage of the quadratic property of SPSA, it is possible to obtain the other two outputs with simple addition and subtraction operations on the existing output, explained as follows. First of all, note that the two additional perturbed DPD outputs are used to form the quadratic curve and find the next DPD error only. They will not enter the PA to produce new final outputs. The perturbation error levels therefore do not affect the real-time system operation. Second, because we have ensured a quadratic relationship between the coefficients and loss function, with the same input signal, all possible loss function measurements lie on the same quadratic curve, no matter what weighting factor ch is used. As shown in Fig. 5, two solid square points are generated from ch = 1, while two solid round dot points are generated with ch = 0.15. All four points lie on the same quadratic curve. This means that no matter what weighting factor is used, the next minimum point can always be found after three measurements. Although the perturbation errors are bigger in the case of ch = 1 than those with ch = 0.15, these errors do not affect the real system operation since the perturbed coefficients will not be used directly in the real-time DPD operation. As a result, to reduce computational complexity, here we choose ch = 1 and the perturbed model outputs, u+ and u− are given by u+ = X(Ch + h ) u− = X(Ch − h ).

(18)

1516

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Loss function measurement implementation.

Fig. 7.

Proposed direct error-searching SPSA model extraction.

Fig. 8.

Proposed model training routine.

Expanding (18) gives u+ = X(Ch + h ) = uh + (Xh ) u− = X(Ch − h ) = uh − (Xh )

(19)

meaning the coefficient perturbation can be expressed as an additional signal, uh , to be added to and subtracted from the original DPD output u+ = uh + uh u− = uh − uh

(20)

uh = Xh .

(21)

where

Since the elements in h are either +1 or −1, uh in (21) can be calculated with simple addition and subtraction operations between each column of the model regression matrix, X defined in Section II-A ⎡ ⎤ ⎡ ⎤ −1 X 1,1 X 1,2 X 1,3 · · · ⎢ ⎥ ⎢ X 2,1 X 2,2 X 2,3 · · ·⎥ ⎢+1⎥ ⎣ ⎦ ⎢−1⎥ .. .. .. .. ⎣ ⎦ .. . . . . . ⎤ ⎡ −X 1,1 + X 1,2 − X 1,3 + · · · ⎥ ⎢ = ⎣−X 2,1 + X 2,2 − X 2,3 + · · ·⎦ (22) .. . After obtaining uh and considering (14) and (17), three loss function measurements can be conducted L (Ch ) =

N 

2 | y˜h (n) − x(n)| ˜

n=1

  L C+ h =

N 

  L C− h =

N 

| y˜h (n) − x(n) ˜ + u˜ h (n)|2

n=1

| y˜h (n) − x(n) ˜ − u˜ h (n)|2

(23)

n=1

as shown in Fig. 6. The results from (23) along with the three coefficients sets can be used to form a quadratic curve for each coefficient and then new coefficients can be found by using the same equations in (10) and (11). The updated DPD coefficients produce a closer match to uideal at the DPD model output. This operation significantly simplifies the updating process and reduces system cost. More details on the digital implementation will be given in Section IV.

The fact that the measurements in (23) are performed at the DPD output is important for two reasons. First, the desired quadratic interpolation SPSA algorithm can be directly applied. Second, the three loss function measurements can be conducted at the same time and there is no need to feed the two perturbed DPD output signals through the PA to measure the loss function, which means that the model training process does not disrupt the real-time DPD operation. The block diagram of the proposed full system is shown in Fig. 7. C. Complete Model Extraction Procedure Despite the use of the term “ideal” in the notation, the approximation in (4) limits the accuracy of the calculated Cideal coefficients. The signal uideal is not the “truly” ideal DPD output but rather an estimated ideal output based on the approximation in (4). Thus, even if we could train the DPD coefficients to exactly fit uideal , the error at the PA output would not be completely removed. The traditional direct learning architecture faces an identical problem. Furthermore, in the above training, we assume uideal is generated from a fixed set of input signal samples x. In real operation, the input signal x is randomly generated over time and a different x, e.g., xh corresponds to a new uideal,h . To perform accurate coefficient extraction in this environment, multiple iterations of the coefficient extraction process are required. The complete model training flow is depicted in Fig. 8. The training procedure can be described as follows. 1) Set iteration index, h = 1, and choose initial DPD coefficient set C1 .

KELLY AND ZHU: DIRECT ERROR-SEARCHING SPSA-BASED MODEL EXTRACTION FOR DPD OF RF PAs

2) Measure the PA output signal, yh . 3) Calculate the approximate DPD error signal, uerror,h according to (4). 4) Calculate the ideal DPD signal, uideal according to (14). 5) Measure RSS (uideal , uh ), RSS uideal , uh+ , and  RSS uideal , uh− . 6) Calculate Ch+1 using the SPSA update equations in (10) and (11) to minimize error between DPD output uh and uideal . 7) Generate new DPD output using Ch+1 and pass to the PA. If linearization criterion is satisfied, finish training, if not, update h = h + 1 and return to step 2. This iterative training process shares similarities with the conventional direct learning procedure. At each iteration, the DPD coefficients are updated to approach an estimate of the ideal predistorted signal. Provided the approximation in (14) holds, the error at the PA output is reduced after each DPD coefficient update. It follows that the accuracy of the approximation in (4), on which (14) is based, also improves with each update and the DPD coefficients are trained to approach a more accurate estimate of the ideal output at each iteration. D. Comparison With the Existing Approaches Although an iterative coefficient updating process is employed, the proposed technique in this paper is fundamentally different from the conventional iterative approaches. In the existing systems, LMS may be employed but its performance is poor because LMS is a first-order approximation algorithm that converges very slowly and it is sensitive to the adaptation size. The second-order approximation approaches, such as LS and RLS, can achieve high accuracy but come with high implementation complexity. The proposed approach is also different from the conventional SPSA where the gradient is approximated by the first-order line fitting. Such linear approximations are highly sensitive to the choice of weighting factor and can often struggle to converge [19]. By exploiting the quadratic relationship that exists between the loss function and the DPD coefficients, the optimum minimum point can be found directly using quadratic curve fitting instead of gradient approximation. This approach is equivalent to the second-order approximation that significantly speeds up the convergence and guarantees the optimum point can be found at each iteration. Furthermore, due to the quadratic relationship, the perturbation step size is no longer relevant because all the cost function measurement points will fall on the same curve which leads that we could use any perturbation step size. In this paper, we directly use +/ − 1, which enables the cost function to be directly obtained by adding and subtracting the basis waveforms that have already been generated by the DPD model, dramatically simplifying the hardware implementation. While the optimization process is changed to the secondorder approximation, the proposed approach still keeps the core feature of SPSA, namely, the optimum solution is found using loss function measurements with simultaneous random perturbation on model coefficients. It enables the algorithm to achieve comparable accuracy to LS solutions but with a

1517

TABLE I SD-SPSA P ER -I TERATION C OMPLEXITY [18]

very low implementation cost. We call the proposed approach “direct error-searching” SPSA-based model extraction. IV. H ARDWARE I MPLEMENTATION AND C OMPLEXITY C OMPARISON To quantify the improvement offered by the direct errorsearching SPSA approach outlined above, we compare it with the generic SPSA-based DPD extraction method proposed in [18], which we refer to from here on as SD-SPSA. It is worth noting that the SD-SPSA method has already achieved a 98% reduction compared with conventional LS algorithms, as discussed in detail in [18]. To avoid replication, in this paper, we only discuss further reduction from the SD-SPSA solution, without comparison with LS. A. Operations per Iteration Both the SD-SPSA and the direct error-searching SPSA use multiple iterations, in this section, we compare the complexity per iteration. The SD-SPSA solution directly replaces LS with SPSA in the closed-loop direct learning architecture. In this case, the SPSA algorithm calculates the error coefficients, Cerr , using an error model in the same format as the LS estimation technique would be applied. The solution in [18] employs a novel steep descent SPSA algorithm to increase the training speed and results showed that the linearization performance is comparable with the existing LS solutions. However, as discussed in Section II-B, additional processing associated with the error model substantially increases resource usage. Table I reports the operations that account for the majority of the real multiplication and addition operations used in a single iteration of the algorithm in [18]. Generating the error model output accounts for the vast majority of the complexity. Taking a typical example of a DPD model with 50 terms (K = 50) and using 8192 samples to calculate the NMSE on each iteration (N = 8192), running the error model accounts for over 98% of the total real multiplications and over 99% of the total real addition operations performed each iteration. The solution proposed in this paper removes the need for an error model. It finds the next coefficients directly by perturbing

1518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

TABLE II P ROPOSED A PPROACH P ER -I TERATION C OMPLEXITY

Fig. 9.

Proposed hardware implementation accuracy.

TABLE III

the DPD model. As discussed in Section III-B, the real and imaginary components of each term in h are limited to values of +/ − 1. In this scenario, the uh vector can be calculated using only simple addition and subtraction operations across the columns of the matrix X. This amounts to a substantial reduction in the number of operations required per iteration and also allows the SPSA update equation to be evaluated without the need for costly multiplication operations. Table II reports the number of real multiplication and addition operations required per iteration for the proposed approach. Removing the need to run an offline error model each iteration substantially reduces the number of multiplication operations required. To quantify the improvement, resource usage for a practical training scenario with K =50 and N =8192 is shown in brackets. Referring back to Table I, in this scenario, the SD-SPSA technique in [18] requires approximately 2.5 × 106 real multiplications and 5.7 × 106 real additions. By comparison, as shown in Table II, the proposed error-matching SPSA uses approximately 5×104 real multiplications and 1.7 × 106 real additions. It is also important to note that, in addition to the complexity reported in Table I, the technique in [18] requires the DPD matrix X and the captured PA output y to remain constant throughout the offline training segment. This leads to a complexity tradeoff in the design. On the one hand, as proposed in [18], the matrix X can be stored after it has been generated by the DPD model and reused for each SPSA iteration, but this requires a large block of memory resources. On the other hand, the system could store only the input signal x and implement a secondary offline model to generate X each iteration, reducing the memory requirements but significantly increasing the computational complexity. B. Hardware Implementation Complexity The proposed extraction solution was synthesized for implementation in a field-programmable gate array (FPGA). The designed system performs all of the computation necessary to update the model coefficients each iteration: it takes the signals, x, X, and y as inputs and processes them to generate the SPSA update vector.

H ARDWARE I MPLEMENTATION D ETAILS

The complex samples are represented using 32-b precision, 16 b each for the real and imaginary components. A hardware simulation was performed to confirm the design feasibility. The simulation used digital baseband samples and a full precision model of the DPD-PA transmit chain. The DPD model is a DVR-based function with S = 8 and M = 3, and the PA is modeled using a dynamic deviation reduction-based Volterra series with nonlinear terms up to the ninth order and memory length 3 [8]. The PA model is based on data measured from a gallium nitride (GaN) Doherty PA. A 20-MHz Long Term Evolution (LTE) signal serves as the system input. The primary objective of the simulation is to confirm that the 16-b implementation provides sufficient precision that the algorithm convergence is not affected. Fig. 9 shows the NMSE measured after each iteration between the DPD output signal generated using the 16-b FPGA coefficients and the output signal generated using full precision coefficients. The NMSE remains below −70 dB throughout the 40 000 iteration training period, confirming there is no significant degradation due to rounding error. Fig. 9 also reports the NMSE measured between the system input and output for the bit-accurate model extraction scenario. The system NMSE reaches the full precision performance of approximately −43 dB, confirming the implemented design achieves sufficient precision. Table III reports digital hardware resource usage for the implemented system. To demonstrate its simplicity, the complete extraction solution is implemented in lookup tables

KELLY AND ZHU: DIRECT ERROR-SEARCHING SPSA-BASED MODEL EXTRACTION FOR DPD OF RF PAs

(LUTs) and registers only—no specialized DSP units are used. The system loads the DPD matrix columns serially, meaning that the hardware usage reported in Table III is independent of the DPD model length. Serial loading allows a single accumulator to calculate uh . This reduces hardware usage but requires K clock cycles per input sample, where K is the number of terms in the DPD model and thus columns in the DPD matrix. Alternatively, if maximum throughput is required, more than one column may be loaded simultaneously; full parallel loading corresponds to the greatest hardware resource usage but allows a new sample to be processed on every clock cycle. This is one of a number of design tradeoffs that can be made in implementing the proposed system. By requiring only three loss function measurements to perform a coefficient update, the flexibility of the SPSA algorithm permits a large number of possible implementation strategies, tuned to different criteria such as minimum resource usage, maximum data throughput, or minimum power consumption. It is expected that, compared with the proof-of-concept solution reported in Table III, even lower resource usage can be achieved in the future systems by leveraging known application scenarios and employing more advanced hardware implementation tools. Table III also includes power consumption figures for each of the main blocks of the proposed system. The power consumption measurements were obtained using the post implementation power analysis tool in the Xilinx Vivado integrated design environment software. It should be stressed that these figures are reported only to provide the reader with an approximate breakdown of the power consumption in the circuit. In a real implementation, power consumption of the real circuit is highly dependent on the application scenario, e.g., signal bandwidth/sampling rate, number of coefficients used, clock rate, digital circuit chip types (e.g., FPGA part number), and implementation strategy. As a result, the power consumption can vary largely in different cases. Nonetheless, it is interesting to note that the main SPSA operation, i.e., the model coefficient update, only requires an estimated 30 mW to operate. This emphasizes the very low implementation cost of the SPSA algorithm. The majority of the power consumption is due to the loss function calculation. As discussed later in Section VI, the loss function measurement may be implemented in a highly efficient way in the analog domain in the future that may provide the potential for further power reduction. To quantify the reduction in resource usage, we compare the proposed technique with the offline SD-SPSA solution in [18]. In fact, the two designs share much of their infrastructure. We first simplify the SD-SPSA method by swapping NMSE with an RSS loss function and also ignoring the added complexity of the offline steep-descent calculation. The reduced complexity gives the SD-SPSA technique an advantage in the comparisons but allows a clearer comparison between the key features of the systems, namely, generating the loss function measurement signals. For a fair comparison, we use an implementation of the SD-SPSA algorithm in [18], in which the loss function is measured three times each iteration. In terms of computational complexity, the primary advantage of the

1519

TABLE IV E RROR S IGNAL G ENERATION C OMPLEXITY

TABLE V H ARDWARE R ESOURCE U SAGE C OMPARISON

Fig. 10.

Experimental test setup.

proposed SPSA technique is in generating the RSS input signals, as shown in Fig. 6. Table IV compares guideline resource usage between the SD-SPSA solution and the error-searching SPSA technique. It can be seen that the complex multiplications required to run the error model consume far more resources than the simple addition and subtraction operations of the error-searching technique reported in Table III. Note that the resource usage in Table IV is for an efficient serial-loading implementation of the SD-SPSA algorithm, where only three complex multiplications are required. For higher throughput scenarios, complexity will increase with the number of parallel operations. Table V compares the overall resource usage between the two architectures. In addition to the reduced computational resource requirements (i.e., raw LUTs and flip flops), the proposed technique no longer requires large blocks of signal samples to be stored. In [18], the algorithm requires the full Xh matrix and the measured error vector to be stored during the SPSA training run. Assuming 32-b accuracy for each complex value, for a test scenario with K = 50 and N = 8192, this amounts to a storage requirement of 32 × ((N × K ) + N) = 13 369kb. As shown in Table V, the proposed technique requires no additional memory resources, substantially reducing implementation complexity. V. E XPERIMENTAL R ESULTS A full RF test bench, as shown in Fig. 10, was set up to evaluate the performance of the proposed architecture in a

1520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

TABLE VI 20-MHz LTE M EASUREMENT R ESULTS

Fig. 11.

Measured NMSE over 20 000 iterations with 20-MHz LTE signal.

real DPD training scenario. To evaluate the online coefficient updating process in hardware, an automated test routine was developed to run multiple iterations of the algorithm. The test bench is centered on a master PC which is responsible for the signal generation and control of the measurement equipment to capture the PA input and output signals. DPD coefficients are calculated in the PC according to the proposed SPSA algorithm by using the captured error signal. At the beginning of each iteration, the predistorted signal is loaded onto a Rohde and Schwarz SMW200A vector signal generator where it is up-converted to RF before transmission to the PA. A linear driver boosts the signal power before it enters the DUT, a high-efficiency 20-W GaN Doherty PA operated with an average output power of 36 dBm. At the PA output, an attenuator reduces the signal power before a Rohde and Schwarz FSW signal and spectrum analyzer captures the signal for demodulation, sampling and upload to the PC. This process repeats automatically and the DPD coefficients are updated each iteration until a desired linearization target (e.g., maximum number of iterations or specified NMSE threshold) is reached. Accounting for settling time, instrument setup, and data transfer, each iteration lasts approximately 1 s. At the PC, the DVR-based model in (1) is used to generate the predistorted signal. The model is set up with S = 8 and M = 3. The sampling frequency for the signal generator and spectrum analyzer is 184.32 MHz. A block of 15 000 signal samples are loaded into the signal generator and captured at the signal analyzer each iteration. To recreate a realistic training scenario the input signal (x in Fig. 7) is varied for each new SPSA iteration by selecting a different set of 15 000 contiguous samples from a large stored data set. A. 20-MHz Single-Carrier LTE Signal The performance was first tested using a 20-MHz singlecarrier LTE signal with 6.5 dB peak to average power ratio. The carrier frequency was 1.84 GHz and the average input signal power to the PA was 20.5 dBm. Fig. 11 reports convergence performance in terms of NMSE measured between the system input and output signals. As mentioned above, the input signal is varied on each iteration to recreate a realistic training scenario, this causes the measured NMSE to fluctuate around a decreasing mean value as the algorithm converges.

Fig. 12.

Measured PA output spectra for a 20-MHz LTE signal.

A 500-point moving average for the measured NMSE is included in Fig. 11 to illustrate the overall convergence trend. The reference NMSE measurement taken when the predistortion coefficients are extracted using a standard LS/IDL method is also reported. The convergence curve follows a familiar pattern for SPSA with an initial fast training period that rapidly slows down as the coefficients approach their optimum values. Table VI compares the performance in terms of time domain NMSE and frequency domain adjacent channel power ratio (ACPR) with the conventional LS/IDL method. After 20 000 iterations, the NMSE performance of the proposed method is within 0.1 dB of the conventional LS/IDL reference case, and the difference between the two techniques in terms of ACPR is less than 2 dB. Fig. 12 shows the measured PA output spectra with and without DPD applied. After 20 000 iterations, the linearization performance is close to that of the conventional LS/IDL approach. Fig. 13 shows the AM/AM and AM/PM characteristics before and after linearization using the SPSAcalculated coefficients. Compared with LS, the proposed approach requires a large number of iterations, but as discussed earlier, the computational complexity at each iteration is very low and, thus, the overall operation can be very fast. In a practical implementation, the training time depends on the number of the sampling points used and the sampling rate of the signal at the DPD output. Assuming a sampling rate of 400 MS/s, and capturing 8192 samples per iteration, a 20 000 iteration training run would take approximately 400 ms to complete.

KELLY AND ZHU: DIRECT ERROR-SEARCHING SPSA-BASED MODEL EXTRACTION FOR DPD OF RF PAs

Fig. 13. AM/AM and AM/PM plots for a 20-MHz LTE signal with and without DPD.

Fig. 14.

Measured SPSA convergence for a 40-MHz LTE signal. TABLE VII 40-MHz LTE M EASUREMENT R ESULTS

Fig. 15.

1521

Measured PA output spectra for a 40-MHz LTE signal.

Fig. 16. AM/AM and AM/PM plots for a 40-MHz LTE signal with and without DPD.

in out-of-band spectral regrowth is comparable between the SPSA and LS/IDL techniques. Finally, the AM/AM and AM/PM curves in Fig. 16 show successful linearization using the SPSA-calculated DPD coefficients. VI. C ONCLUSION

B. 40-MHz Dual-Carrier LTE Signal The proposed technique was also evaluated using a 40-MHz dual-carrier LTE signal with 9.5 dB peak to average power ratio. The carrier frequency was again 1.84 GHz and the average signal power at the PA input was 20.5 dBm. Fig. 14 shows the algorithm convergence over the course of 40 000 iterations. The increased number of iterations illustrates that, although the convergence speed slows as the iteration number increases, the NMSE continues to improve. With 10 000 iterations, the SPSA test case NMSE is approximately 4 dB worse than the LS/IDL reference, however, after 40 000 iterations, the gap between the two is reduced to 1.8 dB. Table VII reports the NMSE and ACPR measurements for the test scenario. In terms of ACPR, after 40 000 iterations, the measured values for the SPSA DPD are within 2 dB of the LS/IDL reference. Fig. 15 shows the linearized output spectrum where the reduction

A low-complexity DPD model extraction technique has been presented. The proposed solution integrates the SPSA algorithm into the direct learning architecture and uses a modified iteration technique for extracting DPD coefficients. Measurement results indicated that the proposed technique can achieve comparable linearization performance to the existing LS-based solutions but with considerably lower implementation cost. Because the algorithm is based on stochastic search, multiple iterations would be required to find the final optimum solution. One may argue that the total computational complexity of the proposed approach, i.e., computation per iteration × number of iterations, may be comparable with or even higher than what LS requires, since LS can converge within a very few iterations while SPSA requires tens of thousands iterations. When making this comparison, a few points should be considered. First of all, it is worth mentioning that a large number of iterations for SPSA training are only required at the system startup. When the DPD system is running in real time, a much smaller number of iterations are typically

1522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

required to keep the performance in the acceptable range. The complexity of real time maintenance is therefore very low. This is in contrast to LS, where the full operation must be conducted at each update cycle. If, therefore, considering a life-time operation, the computational complexity and power consumption of the SPSA approach would be much lower compared with the LS approach. Second, although computational operation is an important concern, there are many other factors that need to be taken into account when implementing a DPD system, including component count, silicon area, and overall system cost, as highlighted in [12]. The LS algorithm offers high accuracy and fast convergence but comes with a high implementation cost in terms of hardware resources as it requires complex matrix multiplication and inversion operations. These operations require special DSP circuits, e.g., dedicated microprocessors, to implement, which can occupy a large silicon area and be costly. High implementation cost is particularly undesirable in 5G smallcell base stations since these small cell stations operate at much lower power levels and the overall cost of the system shall be very low compared with those in conventional larger cells. The cost of each component in the transmitter chain must be carefully managed. Including a complex LS engine in the transmitter for DPD model extraction would not be a favorable solution. Furthermore, in the future wireless systems, in particular small cells, the system will become much more integrated. Including a large silicon area in the transceiver would not be desirable. By employing the iterative approaches, e.g., the proposed SPSA, the required silicon area and cost are fractional compared with that required by LS, which makes them far more attractive. In addition, we shall point out that the proposed SPSAbased technique in this paper is substantially different from the conventional approaches. In the existing algorithms, such as RLS, the computational complexity is heavily dependent on the number of coefficients and the model structure used. In the proposed approach, all the model coefficients are perturbed at the same time and they are extracted based solely on measurements of the loss function instead of gradient calculation. The model extraction is thus independent of the number of coefficients and it does not require knowledge of the model structure or nonlinear term construction. It makes model extraction much more flexible and the new coefficient set can be generated with very few operations. Currently, the loss function measurement, i.e., RSS calculation, consumes the majority of power. It is envisioned that, in the future systems, the loss function may be implemented in a highly power efficient manner in the analog domain that can enable the total power consumption to be further reduced. This is a unique ability offered by the proposed approach. In conclusion, although the total number of operations may be comparable with the conventional LS when all iterations are considered, the SPSA-based approach has many unique advantages. These advantages make the proposed technique as an attractive solution for DPD systems in the future 5G small-cell networks, where energy efficiency and cost-effective implementation are expected to become critical requirements.

R EFERENCES [1] J. G. Wood, Behavioral Modeling and Linearization of RF Power Amplifiers. Norwood, MA, USA: Artech House, 2014. [2] R. N. Braithwaite, “General principles and design overview of digital predistortion,” in Digital Front-End in Wireless Communications and Broadcasting, F.-L. Lou, Ed. Cambridge, U.K.: Cambridge Univ. Press, 2011, ch. 6, pp. 143–191. [3] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [4] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [5] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [6] A. Zhu, “Decomposed vector rotation-based behavioral modeling for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 737–744, Feb. 2015. [7] L. Ding et al., “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [8] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based Volterra series,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1524–1534, Jul. 2008. [9] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [10] L. Guan and A. Zhu, “Optimized low-complexity implementation of least squares based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 594–603, Mar. 2012. [11] R. Q. Hu and Y. Qian, “An energy efficient and spectrum efficient wireless heterogeneous network framework for 5G systems,” IEEE Commun. Mag., vol. 52, no. 5, pp. 94–101, May 2014. [12] J. Wood, “System-level design considerations for digital pre-distortion of wireless base station transmitters,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1880–1890, May 2017. [13] N. Zheng, Y. Chen, X. Wu, and J. Shi, “Digital predistortion based on QRD-RLS algorithm and its implementation using FPGA,” in Proc. 1st Int. Conf. Inf. Sci. Eng., Dec. 2009, pp. 200–203. [14] Y. Li and X. Zhang, “Adaptive digital predistortion based on MC-FQRDRLS algorithm using indirect learning architecture,” in Proc. 2nd Int. Conf. Adv. Comput. Control, vol. 4. Mar. 2010, pp. 240–242. [15] G. Montoro, P. L. Gilabert, E. Bertran, A. Cesari, and J. A. Garcia, “An LMS-based adaptive predistorter for cancelling nonlinear memory effects in RF power amplifiers,” in Proc. Asia–Pacific Microw. Conf., Dec. 2007, pp. 1–4. [16] P. L. Gilabert, E. Bertran, G. Montoro, and J. Berenguer, “FPGA implementation of an LMS-based real-time adaptive predistorter for power amplifiers,” in Proc. Joint IEEE North-East Workshop Circuits Syst. TAISA Conf., Jun. 2009, pp. 1–4. [17] F. M. Ghannouchi, O. Hammi, and M. Helaoui, Behavioral Modeling and Predistortion of Wideband Wireless Transmitters, 1st ed. West Sussex, U.K.: Wiley, 2015. [18] N. Kelly and A. Zhu, “Low-complexity stochastic optimization-based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1373–1382, May 2016. [19] J. C. Spall, “Multivariate stochastic approximation using a simultaneous perturbation gradient approximation,” IEEE Trans. Autom. Control, vol. 37, no. 3, pp. 332–341, Mar. 1992. [20] J. C. Spall, “An overview of the simultaneous perturbation method for efficient optimization,” John Hopkins APL Tech. Dig., vol. 19, no. 4, pp. 482–492, 1998. [21] D. Zhou and V. E. DeBrunner, “Novel adaptive nonlinear predistorters based on the direct learning algorithm,” IEEE Trans. Signal Process., vol. 55, no. 1, pp. 120–133, Jan. 2007. [22] L. Guan and A. Zhu, “Dual-loop model extraction for digital predistortion of wideband RF power amplifiers,” IEEE Microw. Compon. Lett., vol. 21, no. 9, pp. 501–503, Sep. 2011. [23] R. N. Braithwaite, “Closed-loop digital predistortion (DPD) using an observation path with limited bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 726–736, Feb. 2015. [24] 7 Series FPGAs Overview, Xilinx Inc., San Jose, CA, USA, 2015.

KELLY AND ZHU: DIRECT ERROR-SEARCHING SPSA-BASED MODEL EXTRACTION FOR DPD OF RF PAs

Noel Kelly (S’15) received the B.E. and Ph.D. degrees in electronic engineering from the School of Electrical and Electronic Engineering, University College Dublin, Dublin, Ireland, in 2012 and 2017, respectively. His current research interests include lowcomplexity digital predistortion architectures, efficient field-programmable gate array implementation solutions, and digital predistortion applications for satellite communications.

1523

Anding Zhu (S’00–M’04–SM’12) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from the University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Professor with the School of Electrical and Electronic Engineering, UCD. His current research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on behavioral modeling and linearization of RF power amplifiers for wireless communications, high-efficiency power amplifier design, wireless transmitter architectures, digital signal processing, and nonlinear system identification algorithms.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1431

A System-on-Chip Crystal-Less Wireless Sub-GHz Transmitter Philipp Greiner, Graduate Student Member, IEEE, Jasmin Grosinger, Member, IEEE, Johannes Schweighofer, Christoph Steffan, Graduate Student Member, IEEE, Sandra Wilfling, Gerald Holweg, and Wolfgang Bösch, Fellow, IEEE Abstract— This paper presents a fully integrated system-onchip wireless transmitter that has no need for an external quartz crystal frequency reference. Instead, a nontrimmable LC oscillator (LCO) is implemented, operating at a fixed frequency of roughly 3.2 GHz. This LCO serves as the accurate frequency reference of the transmitter and is used to derive a frequency in the sub-GHz range for wireless applications via a fractional phase-locked loop. The digital functionality required for the frequency generation and thus for the wireless operation is performed by an 8-b microcontroller. The calibration data as well as the application specific firmware are stored in an integrated EEPROM. An initial frequency accuracy of ±52 ppm over a temperature of −20 °C to 85 °C is achieved using only two temperature insertions for the calibration. The device covers a continuous frequency range of 10–960 MHz and provides an ASK and GFSK operation. A low harmonic power amplifier was implemented to overcome design issues due to injection modulation effects. The chip is implemented in a 130 nm standard CMOS process and constitutes a new approach for a fully integrated wireless sub-GHz transmitter that is competitive to state-of-the-art quartz crystal-based transmitters as well as to already existing crystal-less architectures. Index Terms— Calibration techniques, phase-locked loops (PLLs), RF power amplifiers, RF system-on-chip (SoC) integration, shielding, voltage-controlled oscillator (VCO).

I. I NTRODUCTION

T

YPICAL state-of-the-art wireless sub-GHz architectures use a quartz crystal as an accurate frequency reference [1], [2]. A fractional-N phase-locked loop (PLL) allows the generation of the desired frequency via a variable dividing ratio. The bandwidth of the PLL is often in a range of 50–400 kHz, which allows performance of the frequency modulation via the PLL dividing ratio in a closed-loop configuration [1], [2]. An LC oscillator (LCO) is typically the voltage-controlled oscillator (VCO) of choice as it offers a low phase noise and a low power consumption. While these quartz crystal-based wireless architectures offer the highest Manuscript received December 19, 2016; revised May 23, 2017 and July 4, 2017; accepted August 2, 2017. Date of publication September 14, 2017; date of current version March 5, 2018. This work was supported by the project “Kalium Home Monitoring” funded by the Austrian Research Promotion Agency. (Corresponding author: Philipp Greiner.) P. Greiner, J. Grosinger, and W. Bösch are with the Institute of Microwave and Photonic Engineering, Graz University of Technology, 8010 Graz, Austria (e-mail: [email protected]; [email protected]; wbosch@tugraz). J. Schweighofer, C. Steffan, S. Wilfling, and G. Holweg are with Infineon Technologies Austria AG, 8020 Graz, Austria (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2017.2748130

performance in terms of frequency accuracy and phase noise, they also have some disadvantages. The quartz crystal is an external device that must be combined with two capacitors increasing the number of external components, the pin-count of the wireless chip, and the overall costs. Additionally, the quartz crystal constitutes a fragile mechanical resonator leading to a sensitivity against mechanical shock and vibration. Due to these disadvantages, research efforts are ongoing to avoid quartz crystal-based wireless transmitters. Different research efforts toward a crystal-less wireless transmitter or rather transceiver have led to a variety of solutions. Next to crystal-less architectures that use external frequency references by recovering a clock from a received signal as presented in [3], [4], also architectures with a highly stable frequency reference implemented in the system are possible and are briefly discussed in the following. Microelectromechanical systems (MEMS) oscillator-based solutions lead to a very similar system architecture as in quartz crystalbased transceivers [5], as the frequency of a MEMS oscillator can lie in the same range (tens of megahertz) [5], [6]. However, MEMS oscillators exhibit a stronger temperature dependency than quartz crystal-based oscillators and thus require a temperature compensation [6]. Another possible solution is a crystal-less wireless device based on a bulk acoustic wave (BAW) resonator [7], [8]. These resonators operate at a high frequency in the gigahertz range and as a consequence lead to different system considerations [7]. The quality factor of a BAW resonator is in the range of ∼1000, which allows trimming of its frequency with load capacitors in a small range. Therefore, the necessary temperature compensation and frequency modulation can be performed without a fractional PLL. Nevertheless, recent work has demonstrated a fractional operation of a BAW-based transmitter to enable a variable output frequency and frequency modulation capability [8]. While all of the aforementioned solutions contain some kinds of mechanical resonators to replace the quartz crystal, it is also possible to use a fully integrated CMOS frequency reference. Such a frequency reference comes with the advantages of low costs and small size. Over the past few years, a new type of frequency reference has emerged based on integrated, trimmed, and temperature-compensated LCOs. These oscillators have been demonstrated to operate as highly stable single chip frequency references for quartz crystal replacement [7]–[12]. As these devices achieve a relatively high frequency accuracy in the range of 50–250 ppm as well

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

as a low phase noise, the basic requirements can be fulfilled for some areas of the wireless sector. While 2.4 GHz applications as well as some narrowband applications require a high frequency accuracy of ≤20 ppm, most sub-GHz applications require a relaxed accuracy of only about 250 ppm and therefore can potentially be realized with an integrated LCO frequency reference [9]. Several difficulties must be resolved, however, in order to exploit an LCO frequency reference in such an application. For using an LCO as a simple frequency reference for quartz crystal replacement, a calibration to a single frequency is sufficient during the manufacturing process. In contrast, for a wireless application, a field programmability of the operating frequency is available via the fractional-N PLL in state-of-the-art quartz crystalbased wireless devices [1], [2] and is therefore considered a basic requirement in this paper. In general, state-of-theart LCO-based CMOS frequency references do not offer this feature [10], [11]. Furthermore, state-of-the-art LCO frequency references operate at gigahertz frequencies and use capacitive trimming in combination with an integer frequency divider to cover a wide output frequency range [11], [12]. Trimming capacitors are expected to degrade the LC tank in terms of its quality factor (Q), leading to a reduced phase noise performance. Additionally, trimming capacitors introduce nonlinearities to the LC tank, which is considered particularly critical as it makes the LCO frequency sensitive to the LCO amplitude, reducing the frequency accuracy. Consequently, a high integer dividing ratio is typically used to keep the required trimming range small, e.g., 3% [12]. The output frequency of state-of-the art LCO frequency references is thus limited to a maximum value of approximately 200 MHz [10]. For a higher output frequency, the trimming range has to be extended accordingly leading to a higher portion of trimming capacitors. The only currently known all CMOS single chip wireless transmitter that solves the above mentioned difficulties is the Silicon Laboratories SI4010 chip [9]. It is based on a trimmed LCO in combination with a frequency divider and enables a simplified wireless architecture with a minimum number of external devices for applications in the sub-GHz range. To offer a field programmability of the operating frequency, the SI4010 uses a sophisticated self-calibration process that must be performed prior to every data transmission, leading to additional energy consumption. In contrast to previous work, this paper presents a new approach for a crystal-less wireless sub-GHz transmitter. It is based on a nontrimmable LCO as the frequency reference [13] from which a variable and temperature-compensated RF carrier signal can be derived for a wireless transmitter operation. Greiner et al. [13] have introduced a new architecture for an LCO frequency reference. This architecture is based on a nontrimmable LCO as the reference in combination with a high-resolution fractional interpolating frequency divider. By performing a calibration, temperature compensation, and frequency setup via the fractional dividing ratio, this architecture inherently provides a field programmability of the frequency without the degradation of Q or the linearity by trimming capacitors.

Fig. 1. Simplified block diagram of the SoC crystal-less wireless transmitter chip: the analog core comprises an accurate all CMOS frequency generator allowing operation of the device as a sub-GHz transmitter using the PA or as a frequency reference in the range of up to 180 MHz using the CLK driver output. The digital core performs the temperature compensation as well as the ASK and FSK modulation using the calibration data and the application specific firmware stored in the EEPROM.

This paper is organized as follows. Section II presents the overall transmitter system and its functional blocks. Section III describes the system implementation with emphasis on the frequency generation as well as the adaptation of the system architecture with respect to state-of-the-art wireless sub-GHz transmitters. Experimental results comprising calibration and performance characteristics as well as a comparison with previous work are presented in Section IV. II. S YSTEM OVERVIEW Fig. 1 depicts the simplified block diagram of the system. The analog core includes the all CMOS frequency reference that is created by the nontrimmable LCO in combination with the fractional interpolating frequency divider. The analog core also includes a temperature sensor for a temperature compensation as well as the PLL to multiply the output frequency of the fractional divider. Furthermore, an analog power management unit (PMU) is implemented consisting of a bandgap reference and several voltage regulators for the respective analog blocks. The digital core is based on an 8-b microcontroller and offers all the functionalities needed for the generation of an accurate and temperature-compensated frequency and the wireless data transmission. The digital core thus consists of digital low-pass filters (LPFs) for the temperature sensor and an ASK/frequency-shift keying (FSK) modulator block. A ROM is included, which contains precast firmware functions for the temperature compensation. An EEPROM is included for calibration data as well as an application specific firmware. After a reset, the application specific firmware is loaded into the SRAM from where it can be executed during the operation. A fractional-N baud rate divider is used to generate an accurate baud rate derived from the all CMOS frequency generator. The microcontroller clock is provided by an integrated

GREINER et al.: SOC CRYSTAL-LESS WIRELESS SUB-GHz TRANSMITTER

1433

Fig. 3. Simplified architecture of the LCO: the LCO is arranged for a symmetric operation around half of the supply voltage using a pair of cross coupled nMOS and pMOS transistors, respectively. Additionally, an AAC is applied in order to operate the LCO at an optimum voltage amplitude. Fig. 2. Micro photography of the crystal-less wireless transmitter: the chip is produced in a 130 nm standard CMOS process.

24 MHz RC oscillator. Furthermore, a serial peripheral interface for configuration and several general-purpose input/output pins are implemented. The digital PMU contains the power-on reset circuitry as well as a low power voltage regulator for the digital core. A PA is implemented as an output stage, specifically designed for the requirements of this system operating as a wireless transmitter. Additionally, a CMOS driver output stage is available, which allows to operate the device as a frequency reference in single ended or differential mode. For the layout design, different considerations have been taken into account. Electromagnetic interactions are very critical as the output stages can produce a high amount of harmonics. Also, the digital core can produce distortions. In order to minimize coupling effects, a magnetic shield has been implemented, which consists of a metal ring closely surrounding the inductor. Additionally, the supply domains were separated in order to minimize interferences to the sensitive analog core. Furthermore, heat dissipation and the corresponding temperature gradients can lead to considerable temperature differences across the chip. For an accurate frequency generation, it is mandatory to measure the unaltered temperature of the LCO. Therefore, the temperature sensor has been placed close to the LCO, while the major heat dissipaters (i.e., voltage regulators and PA) have been placed at the chip edge to realize a maximum distance to the LCO. Fig. 2 displays the micro photography of the produced chip and the location of the most important functional blocks. III. S YSTEM I MPLEMENTATION A. Crystal-Less Wireless Architecture For a crystal-less wireless operation, an architecture has been implemented, which allows the generation of an RF carrier signal with a high frequency accuracy. Also, a modulation of this RF carrier is required for a wireless transmitter. An accurate reference frequency is therefore generated using

Fig. 4. Simplified block diagram of the fractional interpolating frequency divider: an accumulator-based fractional N/N + 1 frequency divider is used in order to generate an accurate fractional dividing ratio. The corresponding phase error is corrected by means of an additional phase interpolator.

the nontrimmable LCO and the fractional interpolating frequency divider. Fig. 3 shows the simplified architecture of the LCO. The LCO is designed for a symmetric operation around half of the core supply voltage. An automatic amplitude control (AAC) is employed to adjust the LCO amplitude to a variable value using a programmable reference voltage. The AAC allows us to adjust the LCO amplitude to an optimum value in order to minimize the frequency sensitivity. As a result, frequency errors can be minimized, which are caused by drifts of this amplitude over the device lifetime. Fig. 4 shows the simplified block diagram of the fractional interpolating frequency divider. In order to achieve a precise fractional dividing ratio, an accumulator-based fractional N/N + 1 divider is used. The phase error that is caused by the fractional N/N + 1 architecture is corrected using a phase interpolator. The phase interpolator offers a high time resolution of about 10 ps. A final division by two assures an accurate rising and falling edge of the generated reference frequency, allowing it to be used for the clock (CLK) driver output stage. Fig. 5 shows the functional block diagram of the RF carrier generation and modulation. An accurate reference frequency is generated by means of a defined dividing ratio and is then scaled to the desired RF carrier frequency using the PLL. The modulator can be used for FSK as well as ASK operation. It is designed to offer slope- and Gaussian-shaped transitions for ASK, FSK, and GFSK and can operate with

1434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 6. Simplified block diagram of the signal processing chain for the temperature compensation comprising the sigma–delta temperature sensor followed by the second-order digital LPF, the compensation polynomial, and the frequency setup (realized via firmware) to provide the correct dividing ratio. The second LPF stage is implemented to provide an overall third-order low-pass behavior. Fig. 5. Simplified architecture for the frequency generation and modulation: an accurate RF carrier is generated by the all CMOS frequency generator in conjunction with the PLL. Modulation can be performed via the dividing ratio of the fractional divider for FSK or via the PA for ASK.

NRZ and Manchester coding. The modulator thus requires a clock with an oversampling ratio of 16 times the baud rate that is generated by the baud rate divider. The baud rate divider uses the accurate reference frequency provided by the fractional divider and generates a baud rate with a resolution of better than 0.05 % via a fractional-N architecture. For FSK operation, the modulation of the frequency is achieved by altering the dividing ratio of the fractional divider as it allows a high reconfiguration rate. The modulator block thus produces a digital modulation signal that is then added to the dividing ratio. In this way, an accurate and modulated reference frequency for FSK operation is realized. For ASK operation, only a fixed reference frequency is used and the modulation is performed via the PA. B. Temperature Compensation For integrated LCOs, a considerable dependency of the frequency on the temperature has been shown in previous publications [12], [14]. For example, uncompensated linear temperature coefficients of 20 and −268 ppm/K have been reported in [12] and [14], respectively. A temperature compensation is thus necessary in order to obtain an accurate reference frequency. For this reason, a temperature sensor is implemented on this chip. The temperature sensor uses an architecture similar to [15]. Two substrate p-n-p transistors biased with different current densities are used to generate a voltage that is proportional to the absolute temperature (PTAT) as well as a temperature-independent bandgap voltage VBG . A digital temperature value is generated by converting the PTAT voltage via a second-order sigma–delta analog-to-digital converter (ADC) while VBG is used as the reference. Fig. 6 depicts a simplified block diagram of the signal processing chain for the temperature compensation. For further processing of the temperature, the 1-b ADC output signal is low-pass filtered using a second-order digital infinite impulse response (IIR) filter. While this digital value can be used to compensate the temperature characteristic of the LCO, any overlaying noise leads to a modulation of the frequency and as a consequence to phase noise. This effect is particularly critical as the sigma delta ADC produces a high amount of quantization noise. The cut-off frequency of the second-order IIR filter must thus be chosen accordingly low. Measurement

results show that up to a cut-off frequency of 400 Hz, the phase noise due to quantization noise is lower than the phase noise produced by the LCO, and consequently no degradation occurs. While a 400 Hz cut-off frequency corresponds in a sufficiently fast temperature response, the initial settling time after turning the device ON will correspond in an unnecessarily long start-up latency of up to 20 ms. To overcome this issue, the second-order IIR filter is implemented with a variable cut-off frequency. In the first few milliseconds, the filter is adjusted to a high cut-off frequency of 3.2 kHz to achieve a fast settling time and is then switched to 400 Hz before the LCO is turned ON. This implementation leads to a fast startup within 5 ms while not degrading the phase noise performance. Simulation results as well as a previous publication [11] imply that the LCO has a distinct second-order temperature behavior. Higher order effects also occur but are less pronounced. A forth-order polynomial has thus been implemented as part of the compensation firmware, which is applied to the output signal of the first LPF stage. The compensated value constitutes a raw dividing ratio for a temperatureindependent frequency. This value is subsequently multiplied with a frequency setup value to obtain the correct dividing ratio for the desired operating frequency. The frequency setup value is a digital value referable to a periodic time, as a multiplication is easier to implement than a division in the implemented microcontroller. The compensation firmware is cyclically computed during the frequency generation with a sampling rate of several kilohertz. Finally, the dividing ratio is low-pass filtered with a further digital IIR filter to achieve a third-order overall low-pass characteristic. C. Ring-Oscillator PLL The PLL is used to enable generating higher frequencies to cover the whole sub-GHz frequency range, as the output frequency of the fractional divider is limited to 180 MHz. Fig. 7 depicts the block circuit diagram of the PLL. The PLL comprises a frequency doubler at its input allowing operation at an effective reference frequency of up to 360 MHz. A fast phase frequency detector is realized with a minimum turn on time of 300 ps to avoid dead zone errors. For a variable loop characteristic, a charge pump is implemented offering an adjustable current as well as a loop filter with a widely configurable loop bandwidth. The VCO in this PLL is realized by means of a ring oscillator. A PMOS transistor is used to convert the output voltage of the loop filter into the supply current of the ring oscillator. The VCO is followed by a power

GREINER et al.: SOC CRYSTAL-LESS WIRELESS SUB-GHz TRANSMITTER

1435

Fig. 7. Block circuit diagram of the ring-oscillator PLL: the ring-oscillator frequency can be variably divided in power of two steps via the PLL MUX and the OP MUX to provide the PLL feedback and the RF signal within a wide frequency range.

of two scalable frequency divider that is used to generate the scaled PLL feedback frequency as well as the desired RF output frequency via the PLL multiplexer (PLL MUX) and the output multiplexer (OP MUX), respectively. The ring oscillator is designed for an operating frequency range of 1.3–2.6 GHz and for a current consumption of about 1 mA at an operating frequency of 1.736 GHz for a divided output frequency of 868 MHz. Using a ring oscillator as the VCO comes with the advantage of a small chip area occupation and unlike LCO-based VCOs with a low sensitivity to magnetic interactions. The phase noise of a ring oscillator is substantially worse in comparison with an LCO at low offset frequencies, however, while the phase noise at high offset frequencies can be sufficiently low for sub-GHz applications. Accordingly, the PLL must be operated with a high loop bandwidth. D. Power Amplifier During the course of the transmitter design, it was found that under certain conditions, an unwanted modulation of the LCO frequency occurs due to harmonic distortions of the output stage and that this modulation is a critical problem for the transmitter. This effect, referred to as injection pulling/modulation, is caused by harmonics in the current of the output stage or the PA that lie exactly at/or very close to the LCO frequency. Based on magnetic coupling to the LCO inductor, these harmonics can lead to frequency errors for odd integer ratios between the LCO and the output frequency or to a modulation for ratios very close to odd integer values. As a result, injection pulling can lead to a shift of the carrier frequency or to spurious tones in the spectrum, which cause issues with respect to an error-free reception and with regulation bodies. There is a high probability for this effect to occur due to the fact that many different frequencies are available in the sub-GHz range and due to the fact that the nontrimmable LCO frequency varies due to process variations. Injection pulling/modulation also occurs in crystal-based transmitters with LCO-based VCOs [16], yet is less critical in a PLL configuration. If the realized chip is operated as a frequency reference, this effect can be neglected up to 180 MHz using a limited slew rate of the output stage for typical impedance values of the load. However, a high slew rate is inevitable for higher output frequencies up to 1 GHz.

Fig. 8. Block circuit diagram of the PA: a differential current architecture with Gaussian-shaped transitions is used to reduce harmonics and interferences.

In order to reduce the injection pulling/modulation effect, a differential current-based PA architecture has been chosen for implementation within this paper. As a result, the current is mostly influenced by the PA, while the load impedance has only a minor influence on the current transition shape. A differential current-based architecture of the PA is also implemented in [9]. Fig. 8 shows the simplified block circuit diagram of the PA implemented in this paper. The output stage of the PA is implemented as a differential open drain stage powered by a programmable current source against VSS. The load must consequently constitute a differential impedance with respect to VDD. To reduce the voltage drop across the transistors, an additional cascode is implemented. With this approach, a constant dc current trough the PA is achieved, which thus produces little interference. Considerable interferences can in any case also be induced by harmonics from the differential current of the PA. This harmonics have been reduced by means of Gaussian shaping of the current transitions. A delay line is thus used, which generates five phasings for the RF carrier signal of the positive and negative output current path, respectively. The five phasings are recombined via a Gaussian weighted resistor array, leading to Gaussian-shaped voltage transitions on the differential current output stage. The shaped voltage signal is converted into the output current by the output stage. The gate capacitance in conjunction with the resistor array thereby acts as an additional LPF. In addition to counteracting injection pulling/modulation effects, a reduced amount of harmonics also simplifies the design of the antenna filter/matching network. A drawback of this implementation is that the Gaussian-shaped current transitions lead to a slightly degraded PA efficiency in comparison with rectangular-shaped output currents. For a tradeoff between PA efficiency and harmonic distortion at the respective operating frequency, the delay line is adjustable corresponding in a rise/fall time in the range between 250 ps and 1.5 ns. IV. E XPERIMENTAL R ESULTS A. Calibration and Frequency Accuracy To provide an accurate frequency reference, every single device must be calibrated with respect to the temperature.

1436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 9. Initial frequency error of 28 devices calibrated with two temperature insertions at 0 °C and 70 °C. The black lines indicate the average error as well as the ±3σ limits. An initial accuracy of ±52 ppm is achieved over a temperature range of −20 °C to 85 °C.

The temperature curve of the frequency has thus been measured for eight devices to determine an averaged third-order compensation polynomial optimized for the temperature range between −20 °C and 85 °C. A linear temperature dependency of −68 ppm/K was found at 27 °C with overlaying higher order effects. Additionally, a significant correlation between the linear and higher order coefficients was found and allows linear scaling of these coefficients. By exploiting this correlation, only two temperature insertions (at 0 °C and 70 °C) are required to determine the constant term as well as the linear and higher order coefficients of the polynomial for every single device. The initial frequency accuracy that has been achieved with this calibration approach is depicted in Fig. 9 showing the initial frequency accuracy versus temperature for 28 devices. Although a high initial accuracy of ±52 ppm (3σ ) can be achieved, additional frequency errors can occur over the device lifetime due to ageing, moisture, and mechanical stress. Also, a frequency sensitivity with respect to the supply voltage of about 2.6 ppm/V has been observed over the supply voltage range from 1.8 to 3.6 V. The measured devices have been taken from one wafer. Therefore, this calibration method could be sensitive to process variations. B. Phase Noise Performance Fig. 10 shows the measured single-sideband (SSB) phase noise power spectral density (PSD) of the presented device at a carrier frequency of 868 MHz using a reference frequency of 217 MHz. The loop bandwidth of the PLL has been adjusted to 3.2 MHz for an optimal performance. At low offset frequencies, the phase noise is determined by the LCO phase noise, while for higher offset frequencies, the fractional divider produces additional noise in the form of a higher noise floor. Also, additional measurements showed that spurious tones can occur due to the fractional-N architecture at a level of −52 dBc (measured at 434 MHz). C. Power Amplifier/Injection Pulling For the experimental verification of the PA, a matching network has been realized, which is suitable for the 50  test equipment and provides a differential load of approximately 430 . A maximum transmit power of 9.5 dBm has been achieved with this 430  load for an operating frequency

Fig. 10. Phase noise measured at a carrier frequency of 868 MHz: for different offset frequencies, the phase noise is determined by the LCO phase noise, the fractional divider, and the ring oscillator, respectively. For comparison reasons, the datasheet typical values for the phase noise of the Silicon Labs SI4010 [9] device and the Infineon TDA5150 [2] device are shown.

Fig. 11. Transient plot of the frequency deviation from the 1.06 GHz carrier obtained by means of a frequency demodulator: for a dividing ratio of 3.000000059 a modulated and for a dividing ratio of exactly three an unmodulated carrier signal can be observed. Due to injection pulling, a maximum frequency deviation of 6.2 kHz is observed corresponding to a frequency error of 5.8 ppm.

of 434 MHz. This maximum power can be maintained for a supply voltage down to 2.1 V and is then continuously decreasing to a value of 6.5 dBm at the minimum supply voltage of 1.8 V. In order to test the sensitivity to injection pulling effects, the transmitter is operated with the worst case odd integer dividing ratio of three corresponding to a frequency of approximately 1.06 GHz. For the sensitivity test, the closest digital dividing ratio next to three has been chosen resulting in a frequency deviation of 20 Hz. As a result of injection pulling, the output frequency is modulated with 60 Hz, i.e., three times the frequency deviation. The corresponding modulated frequency for the dividing ratio very close to three as well as the unmodulated carrier for the dividing ratio of exactly three is depicted in Fig. 11. A maximum frequency error referable to injection pulling of 5.8 ppm has been observed under worst case conditions using the highest possible frequency, the maximum current for the PA, and the minimum PA current transition time of 250 ps. In practice, an odd integer dividing ratio is never obtained for the frequency range between 868 and 960 MHz considering the frequency variations of the LCO. Also for lower frequencies of up to 434 MHz, a higher PA current transition time can be used, so that injection pulling effects can be neglected.

GREINER et al.: SOC CRYSTAL-LESS WIRELESS SUB-GHz TRANSMITTER

1437

TABLE I C OMPARISON OF T RANSMITTER K EY P ERFORMANCE C HARACTERISTICS

Fig. 12. Transient plot of a data transmission event: the different states of operation as well as the current consumption are plotted for an ASK operation. Also, the RF-output signal is shown for an optimal matching at a 50  load.

The comparison of the transmitter using the presented PA with a similar previous test chip with a conventional class-C PA, as used in [2], shows a reduction of injection pulling effects by 20 and 18 dB for a dividing ratio of seven (close to 434 MHz) and three (close to 868–960 MHz), respectively. It must be noted that these results can vary greatly, as for a class-C PA, the harmonics of the output current are strongly influenced by the external matching and filtering components. D. Transmitter Operation To demonstrate the functionality of the transmitter, a simple arrangement of a 434 MHz ASK transmitter has been realized on a test board. Fig. 12 shows the transient graph for one transmitted data package. A baud rate of 5 kb/s and Manchester coding are used. The current consumption is also shown in Fig. 12 in relation to the respective state of operation. At the beginning of the data transmission, the chip is started up from the deep sleep mode by an external interrupt. The firmware is then loaded from the EEPROM and subsequently executed. For this arrangement, the temperature sensor filters are settled for 6.5 ms. During the temperature settling time, the current consumption is low with about 1.2 mA. Subsequently, the LCO and the PLL are turned ON. The PLL lock is typically achieved within less than 10 μs and is then followed by the transmission of the data package. The overall current consumption for the RF carrier generation including the continuous temperature compensation amounts to about 8 mA. The transmit current consumption depends on the adjusted output power and can range up to 18.5 mA for the maximum power of 9.5 dBm (as shown in Fig. 12). After the data transmission, the transmitter goes back to the deep sleep mode in which it consumes 500 nA. Using this test arrangement, compatibility with stateof-the-art sub-GHz receivers has been demonstrated in the lab with different baud rates for ASK, FSK, and GFSK modulation. E. Performance Comparison The key performance characteristics of this paper are compared in Table I with the Silicon Labs crystalless system-on-chip (SoC) RF transmitter Si4010 [9] and

the quartz crystal-based Infineon state-of-the-art transmitter TDA5150 [2]. While the Si4010 as well as this paper are crystal-less SoC transmitter implementations, the TDA5150 is a single transmitter chip requiring an external microcontroller. For the crystal-less operation, new system architectures are exploited for both crystal-less SoC devices based on integrated LCOs. The field programmability of the operating frequency is realized by capacitive frequency trimming in the SI4010 and by a fractional PLL in this paper. In comparison with quartz crystals, one goal of the design of a crystal-less SoC device is to achieve a minimum number of external components and consequently a simple and costefficient overall system. The numbers of components that are presented in the datasheet application examples are compared in Table I neglecting the battery, switches, and the microcontroller for the TDA5150. Also, the antenna is not included as it can be implemented on the PCB. The TDA5150 requires seven external components. The SI4010 requires only two external components using a PCB antenna. A simple PCB including an antenna has been developed in this work also leading to only two external components (i.e., two capacitors). A high initial frequency accuracy of ±52 ppm has been achieved in this paper. However, due to ageing, an additional frequency error is expected over lifetime. Standard reliability tests have been performed, including HAST, HTOL, and one solder reflow test, resulting in an overall frequency accuracy of 205 ppm. For the TDA5150, the frequency accuracy is given by the crystal and can therefore be much better (i.e., 10–100 ppm). For comparison reasons, the datasheet values of the phase noise are plotted for the SI4010 as well as for the TDA5150 in Fig. 10. At an offset frequency of 10 kHz, a low phase noise of −80 dBc/Hz is achieved in this paper, which is comparable with the quartz crystal-based transmitter TDA5150. The significantly lower phase noise in comparison to the LCO-based transmitter SI4010 is assumed to be attributable to the nonexistent lossy trimming components in this paper. In the range between 10 kHz and 1 MHz, a phase noise performance is achieved, which is similar to the SI4010 and significantly better than the TDA5150. For offset frequencies higher than 1 MHz, this paper achieves the worst phase noise performance, which is caused by the high phase noise of the ring

1438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

oscillator-based VCO as well as the required high loop bandwidth. In this paper, a power consumption similar to the SI4010 has been achieved despite the more complex system with a fractional divider and a PLL. However, in comparison with the quartz crystal-based transmitter, the current consumption is higher. The high current consumption results due to the RF carrier generation based on the LCO architecture and due to the transmit operation since a less efficient differential current PA is used. The performance comparison shows that the crystal-less transmitter presented in this paper constitutes a new approach for a fully integrated wireless sub-GHz transmitter that is competitive to state-of-the-art quartz crystal-based transmitters as well as to already existing crystal-less architectures.

[10] Si500S Single-Ended Output Silicon Oscillator Rev. 1.1, Silicon Lab. Inc., Austin, TX, USA, Oct. 2011. [11] M. S. McCorquodale and V. Gupta, “A history of the development of CMOS oscillators: The dark horse in frequency control,” in Proc. Joint Conf. IEEE Int. Freq. Control Eur. Freq. Time Forum (FCS), May 2011, pp. 1–6. [12] A. Marques, “Reference-less clock circuit,” U.S. Patent 7 332 975 B2, Feb. 19, 2008. [13] P. Greiner, J. Grosinger, C. Steffan, G. Holweg, and W. Bösch, “Non-trimmable LC oscillator for all CMOS frequency control,” in Proc. 41st Eur. Solid-State Circuits Conf. (ESSCIRC), Sep. 2015, pp. 140–143. [14] M. S. McCorquodale et al., “A 25-MHz self-referenced solid-state frequency source suitable for XO-replacement,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 5, pp. 943–956, May 2009. [15] M. A. P. Pertijs, K. A. A. Makinwa, and J. H. Huijsing, “A CMOS smart temperature sensor with a 3σ inaccuracy of ±0.1 °C from −55 °C to 125 °C,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2805–2815, Dec. 2005. [16] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004.

V. C ONCLUSION A new approach for a crystal-less wireless sub-GHz transmitter has been presented. The approach is based on an integrated nontrimmable LCO and a fractional ring oscillator PLL, and therefore achieves the highest possible level of integration with a minimum number of external devices. Sufficiently low phase noise has been demonstrated for sub-GHz wireless applications. However, the lower frequency accuracy of the integrated LCO frequency reference is considered the major drawback with respect to a quartz crystalbased solution. In this paper, a power consumption similar to the SI4010 and slightly higher than in state-of-the-art quartz crystal-based devices has been achieved. For future work, a similar approach with a sigma–delta fractional divider could help to obtain a power consumption closer to the quartz crystal-based devices. In addition, a further development of a fully integrated SoC crystal-less transceiver is feasible as the transmit functionality demonstrated in this paper is considered to be more critical and thus no obstacles are expected for the implementation of a receiver. R EFERENCES [1] P. Crowley et al., “A sub 1 GHz versatile CMOS wireless transceiver,” in Proc. IEE Seminar Telemetry Telematics, Apr. 2005, pp. 7-1–7-7. [2] TDA5150 Multichannel/Multiband Transmitter Rev. 1.1, Infineon Technol. AG, Neubiberg, Germany, Jun. 2012. [3] G. Papotto, F. Carrara, A. Finocchiaro, and G. Palmisano, “A 90-nm CMOS 5-Mbps crystal-less RF-powered transceiver for wireless sensor network nodes,” IEEE J. Solid-State Circuits, vol. 49, no. 2, pp. 335–346, Feb. 2014. [4] H. Bhamra et al., “A 24 μW, batteryless, crystal-free, multinode synchronized SoC ‘Bionode’ for wireless prosthesis control,” IEEE J. Solid-State Circuits, vol. 50, no. 11, pp. 2714–2727, Nov. 2015. [5] G. Chance et al., “Integrated MEMS oscillator for cellular transceivers,” in Proc. IEEE Int. Freq. Control Symp. (FCS), May 2014, pp. 1–3. [6] K. L. Phan et al., “High precision frequency synthesizer based on MEMS piezoresistive resonator,” in Proc. 17th Int. Conf. Solid-State Sens., Actuators Microsyst. (TRANSDUCERS EUROSENSORS), Jun. 2013, pp. 802–805. [7] M. Flatscher et al., “A bulk acoustic wave (BAW) based transceiver for an in-tire-pressure monitoring sensor node,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 167–177, Jan. 2010. [8] R. Thirunarayanan, D. Ruffieux, N. Scolari, and C. Enz, “A  based direct all-digital frequency synthesizer with 20 Mbps frequency modulation capability and 3 μs startup latency,” in Proc. 41st Eur. SolidState Circuits Conf. (ESSCIRC), Sep. 2015, pp. 388–391. [9] Si4010-C2 Crystal-Less SoC RF Transmitter Rev. 1.0, Silicon Lab. Inc., Austin, TX, USA, Feb. 2011.

Philipp Greiner (GS’15) was born in Graz, Austria, in 1986. He received the B.Sc. and M.Sc. degrees in electrical engineering from the Graz University of Technology, Graz, in 2011 and 2013, respectively. His graduate research focused on NFC-RFID with an emphasis on analog integrated circuits. He is currently pursuing the Ph.D. degree in wireless miniaturization (with a special focus on highly stable all CMOS frequency generation for crystal quartz replacement).

Jasmin Grosinger (S’09–M’12) received the Dipl.Ing. (M.Sc.) degree (Hons.) in telecommunications and the Dr.Techn. (Ph.D.) degree (Hons.) from the Vienna University of Technology, Vienna, Austria, in 2008 and 2012, respectively, where she examined backscatter radio frequency systems and devices for novel wireless sensing applications. From 2008 to 2013, she was a Project Assistant with the Institute of Telecommunications, Vienna University of Technology, where she was involved in various projects dealing with RFID technologies. In 2011, she was a Lab Associate with Disney Research, Pittsburgh, PA, USA, involved in backscatter RFID sensors. Since 2013, she has been a Post-Doctoral Researcher with the Institute of Microwave and Photonic Engineering, Graz University of Technology, Graz, Austria, and heads the Research Group RFID Technologies. She has authored or co-authored more than 30 peer-reviewed publications and holds 1 U.S. patent. Dr. Grosinger is actively involved in Technical Program Committees of various RFID-related conferences and is an Associate Editor of the IET Microwaves, Antennas, and Propagation journal. In addition, she is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), a Management Committee member of the EU COST action IC1301 on wireless power transmission for sustainable electronics, and a member of the Union Radio-Scientifique Internationale Austria, Commission D. She also serves as a member of the IEEE MTT-S RFID Technologies Committee (MTT-24).

Johannes Schweighofer was born in Weiz, Austria, in 1983. He received the bachelor’s and master’s degrees (DI) in information and computer engineering (Telematik) from the Graz University of Technology, Graz, Austria, in 2007 and 2009, respectively. He was a Project Associate with the Institute of Electronics, Graz University of Technology, involved in the chip design and the embedded firmware for an intelligent subgigahertz wireless transceiver as part of an EU-funded project (CHOSeN, FP7). In 2011, he joined Infineon Technologies Austria AG, Graz, where he is involved in various industrial research projects focusing on ultralow power contactless (RFID), wireless (subgigahertz communication), and sensor technologies.

GREINER et al.: SOC CRYSTAL-LESS WIRELESS SUB-GHz TRANSMITTER

Christoph Steffan (GS’15) was born in Graz, Austria, in 1986. He received the B.Sc. and M.Sc. degrees in electrical engineering from the Graz University of Technology, Graz, in 2011 and 2013, respectively. His graduate research focused on dc to dc converters (with an emphasis on analog integrated circuits). He is currently pursuing the Ph.D. degree in integrated energy harvesting interfaces (with a special focus on ultralow power designs for autonomous wireless sensor nodes).

Sandra Wilfling was born in Feldbach, Austria, in 1994. She received the B.Sc. degree in information and computer engineering from the Graz University of Technology, Graz, Austria, in 2016, and is currently pursuing the master’s degree in information and computer engineering at the Graz University of Technology.

1439

Gerald Holweg was born in Graz, Austria, in 1960. He received the master’s degree (DI) in electronic engineering from the Graz University of Technology, Graz, in 1983. He was an ASIC Design Engineer with AMIAustria, in 1984, specializing in the areas of process parameter extraction, critical analog ASIC design, mixed analog/digital design, chip layout optimization, and the design of telecom circuits. In 1987, he joined the startup company MIKRON-Austria as a Project Manager for RFID ASICS and Subsystems and assumed section management of an RFID design group in 1991. Since 1993, he was responsible for the definition and development of the worldwide first chip and coil contactless smart card (MIFAREÂ). In 1995, he was the Development Manager for the product line contactless smart cards with MIKRON, which joined PHILIPS in 1995. In 1998, he was the Director of Development for Chip Card and Security IC’s with the startup Design Centre SIEMENS Entwicklungszentrum für Mikroelektronik, Graz, which became the INFINEON Technologies Development Centre in 1999. Since 2003, he has been responsible for predevelopment programs and industrial research projects. Wolfgang Bösch (F’13) received the engineering degree from the University of Technology, Vienna, Austria, the Engineering degree from the Universities of Technology, Graz, Austria, and the M.B.A. degree (with distinction) from the Bradford University School of Management, Bradford, MA, USA, in 2004. He was the CTO with the Advanced Digital Institute, U.K., a not-for-profit organization to promote research activities. Earlier, he served as the Director of Business and Technology Integration with RFMD, U.K. He has been with Filtronic plc, as CTO of Filtronic Integrated Products and the Director of the Global Technology Group for nearly 10 years. Before joining Filtronic, he held positions with the European Space Agency, where he was involved in amplifier linearization techniques; MPRTeltech, Canada, where he was involved in MMIC technology projects; and the Corporate Research and Development Group, M/A-COM, Boston, MA, USA, where he was involved in advanced topologies for high-efficiency power amplifiers. In 2010, he joined the Graz University of Technology, to establish a new Institute for Microwave and Photonic Engineering. For four years, he was with DaimlerChrysler Aerospace (now Airbus), Germany, where he was involved in T/R modules for airborne radar. He was a Nonexecutive Director of Diamond Microwave Devices with the Advanced Digital Institute. He is currently a Nonexecutive Director with the VIPER-RF Company, U.K. He has authored more than 80 papers and holds 4 patents. Mr. Bösch is a Fellow of the IET.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Digital Predistortion for Multi-Antenna Transmitters Affected by Antenna Crosstalk Katharina Hausmair, Per N. Landin, Ulf Gustavsson, Christian Fager, and Thomas Eriksson

Abstract— In this paper, a digital predistortion (DPD) technique for wideband multi-antenna transmitters is proposed. The proposed DPD compensates for the combined effects of power amplifier (PA) nonlinearity, antenna crosstalk, and impedance mismatch. The proposed technique consists of a linear crosstalk and mismatch model block shared by all transmit paths and a dual-input DPD block in every transmit path. By avoiding the use of multi-input DPD blocks in every transmit path, the complexity of the proposed technique is kept low and scales more favorably with the number of antennas than competing techniques. It is shown that all blocks can be identified from measurements of the PA output signals using least-squares estimation. Measurement results of a four-path transmitter are presented and used to evaluate the proposed DPD technique against existing techniques. The results show that the performance of the proposed DPD technique is similar to those of existing techniques, while the complexity is lower. Index Terms— Antenna crosstalk, digital predistortion (DPD), multiple-input multiple-output (MIMO) transmitter, power amplifier (PA) linearization.

I. I NTRODUCTION

M

ULTI-ANTENNA systems are an important part of modern and future wireless telecommunication standards such as LTE, Wi-Fi, and 5G [1]. In such systems, each transmit path has its own power amplifier (PA) and antenna element, as shown in Fig. 1. Large-scale multi-antenna systems like massive multiple-input multiple-output (MIMO) comprise up to several hundreds of transmit paths [2]. Therefore, integrated system designs are used where expensive and bulky components like isolators between PAs and antennas are avoided to reduce system complexity and cost. However, Manuscript received March 20, 2017; revised May 23, 2017 and July 19, 2017; accepted August 4, 2017. This research has been carried out in the GigaHertz Centre in a joint project financed by the Swedish Governmental Agency for Innovation Systems (VIN-NOVA), Chalmers University of Technology, Ericsson, Infineon Technologies Austria, Ampleon, National Instruments, Gotmic, and Saab. This project has received funding from the EMPIR programme co-financed by the Participating States and from the European Union’s Horizon 2020 research and innovation programme. (Corresponding author: Katharina Hausmair.) K. Hausmair and T. Eriksson are with the Department of Electrical Engineering, Communication Systems Group, Chalmers University of Technology, 41296 Göteborg, Sweden (e-mail: [email protected]; [email protected]). P. N. Landin is with Ericsson Supply Kumla, 692 33 Kumla, Sweden (e-mail: [email protected]). U. Gustavsson is with Ericsson AB Research, SE-402 78 Göteborg, Sweden (e-mail: [email protected]). C. Fager is with the Department of Microtechnology and Nanoscience, Microwave Electronics Laboratory, Chalmers University of Technology, 41296 Göteborg, Sweden (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2748948

Fig. 1. Multi-antenna transmitter system model with K transmit paths. Each path consists of one PA connected to an antenna element. The antenna elements form the antenna array.

such systems are vulnerable to antenna crosstalk due to mutual coupling and antenna mismatches [3]. As a consequence, integrated multi-antenna transmitters typically suffer from nonlinear distortion due to the mixing of the antenna crosstalk and mismatch with the PA output, in addition to the nonlinear distortion caused by the behavior of the PAs [4], [5]. To avoid violating spectrum regulations and communication standard requirements, compensation techniques are needed to mitigate this distortion at the transmitter. Digital predistortion (DPD) has been widely used to linearize PAs. Many algorithms have been proposed for singleinput DPDs (see [6], [7]), which are designed for systems with only one transmit path. Single-input DPD can compensate for PA nonlinearity, but not for the effects caused by antenna crosstalk, and is therefore not suitable for multi-antenna systems [4], [5]. Several DPD techniques have been proposed to compensate for different types of nonlinear distortion in multi-antenna transmitters. Suryasarman et al. [8] and Suryasarman and Springer [9] and [10] propose a DPD technique that has a structure specifically designed to compensate for nonlinear distortion in systems where crosstalk is introduced before the PA. A similar technique is used in [11]. However, nonlinear effects of antenna crosstalk are not considered and cannot be compensated for using these DPD techniques. The crossover DPDs proposed in [12] and [13] are designed to compensate for crosstalk before and after the PA. However, in those publications, it is assumed that only crosstalk before the PAs is causing nonlinear effects, whereas the effects of crosstalk

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

occurring after the PAs are assumed to be linear. Since the techniques do not consider necessary crossterms between signals of different transmit paths, they are not suitable for linearization of multi-antenna transmitters with antenna crosstalk. Abdelhafiz et al. [14] include certain crossterms between different transmit paths in their augmented crossover DPD. However, also their system model does not consider mixing between the crosstalk and the PA output signals. Despite that, their approach can be applied to compensate for the combined effects of PA nonlinearity and antenna crosstalk in cases where the crosstalk can be considered small in power. Also, Amin et al. [15] use a system model without mixing of antenna crosstalk and PA output for their behavioral models and DPD of a two-path transmitter. Nevertheless, their DPD includes the necessary terms to compensate for the effects caused by any kind of antenna crosstalk. Another method that could be used to combat the nonlinear effects due to antenna crosstalk is presented in [16], where a method for joint nonlinearity and in-phase and quadrature imbalance in multiantenna transmitters is proposed. However, these techniques do not scale well for systems with a larger number of antennas, since they would require a multi-input DPD, i.e., a multivariate polynomial or Volterra series, in every path of the transmitter. Due to complexity, such an approach is not feasible for emerging transmitters with many antennas. To reduce the complexity of such approaches, Zenteno et al. [17] propose a sparse estimation technique that can reduce the complexity but still requires the use of multi-input memory polynomial models. In this paper, we propose a multi-antenna transmitter DPD technique that employs a completely different structure than existing techniques in order to reduce complexity. The basis for the proposed technique is a multi-antenna transmitter model first presented in [4], where dual-input PA models are combined with linear antenna array models. The proposed DPD technique consists of two main blocks: one linear block that models antenna crosstalk and mismatch and is shared by all paths of the transmitter, and a nonlinear dual-input DPD block in every transmit path, as shown in Fig. 2. Our solution is suitable for multi-antenna transmitters with any kind of crosstalk and mismatch at the PA outputs that can be described as a linear function of all transmit path outputs. The complexity of the antenna crosstalk and mismatch block increases linearly with the number of transmit paths, while the complexity of each dual-input DPD block is completely independent of the number of transmit paths. Hence, for transmitters with more than two paths, the complexity of the proposed DPD is lower compared to existing solutions. Just like existing solutions, the proposed DPD can be identified from measurements of the individual PA output signals using conventional linear least-squares estimation algorithms, and requires no other prior knowledge of the characteristics of the PAs or the antenna array. The proposed DPD technique is evaluated and compared to existing techniques in measurements of a four-path transmitter. To the best of author’s knowledge, this is the first time that measurement results of a transmitter with more than two paths are presented for these kinds of DPDs. This paper is organized as follows. In Section II, we introduce the system model of a multi-antenna transmitter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 2. Block diagram of a multi-antenna transmitter with the proposed DPD method. The method consists of two main blocks: one linear CTMM block for the whole transmitter and a dual-input DPD block in every transmit path.

In Section III, we present the proposed multi-antenna transmitter DPD including an identification procedure. The proposed technique is evaluated in measurements of a four-path transmitter. The setup for the experiments is explained in Section IV, and the results are presented in Section V. Finally, we draw our conclusions in Section VI. II. S YSTEM M ODEL In this section, the system model of the multi-antenna transmitter in equivalent discrete-time lowpass description is given. Note that, where applicable, the time dependence is omitted for better legibility, such that, for example, aik (n) is written as aik . A block diagram of a multi-antenna transmitter with K transmit paths is shown in Fig. 1. Each transmit path consists of an RF PA connected to an antenna element. The antenna elements form the antenna array. All transmit paths operate in the same frequency band. The signal a1k is the input to the PA of the kth path, and the signal b2k is the PA output signal. Due to antenna crosstalk and mismatch, a signal a2k is incident to the output of the PA. Each PA of the transmitter can be modeled as a dual-input system with one output. The crosstalk and mismatch signal a2k is a function of the PA output signals of all paths, and the relation between a2k and the output signals b2k is determined by the characteristics of the antenna array. The system model of the multi-antenna transmitter can, therefore, be split in two parts: a crosstalk and mismatch model (CTMM) and a dual-input PA model [4], [5]. A. CTMM The CTMM describes the crosstalk and mismatch signals a2k as a function of the PA outputs b2k . If the antennas are wideband compared to the signal bandwidth, a2k can be described as a linear combination of the PA output signals of all transmit paths by [4] and [5] a2k =

K  i=1

λki b2i = b2T λk

(1)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAUSMAIR et al.: DPD FOR MULTI-ANTENNA TRANSMITTERS AFFECTED BY ANTENNA CROSSTALK

where b2 = [b21 , . . . , b2K ]T , λki are complex coefficients, and λk = [λk1 , . . . , λk K ]T . The antenna array scattering parameters (S-parameters) can be used to describe the characteristics of an antenna array. The S-parameters measured at center frequency, i.e., the S-parameter matrix of the array, then correspond to a matrix [λ1 , . . . , λ K ]. B. Dual-Input PA Model The PA output signal b2k of the kth PA is modeled as a function of the signals a1k and a2k by [5] and [18] b2k =

( P−1)/2−1 p  p+1  

=

∗ p−v ∗ v θkpvu a1k p+1−u a1k a2k u a2k

∗ p αkp a1k p+1 a1k

p=0

+

( P−1)/2 

∗ p βkp a1k p a1k a2k

p=0

+

( P−1)/2 

∗ p−1 ∗ γkp a1k p+1 a1k a2k

p=1

+

( P−1)/2 p  p+1  

δkpuv v=0 u=0 u>1−v ∗ p−v a u a ∗ v a1k p+1−u a1k 2k 2k p=1

×

⎫ ⎬ ⎭ ⎫ ⎬

(2a)

⎭ ⎫ ⎬

(2b)

⎭ ⎫ ⎪ ⎪ ⎬

(2c)

⎪ ⎪ ⎭

(2d)

where θkpvu , αkp , βkp , γkp , and δkpuv are complex coefficients. As can be seen, there are four types of basis functions, which all contain one more nonconjugate term than conjugate terms [7]: basis functions that depend only on a1k in (2a), basis functions that depend on a1k and linear terms of a2k in (2b), ∗ basis functions that depend on a1k and linear terms of a2k in (2c), and basis functions that depend on a1k and nonlinear terms of a2k in (2d). If the crosstalk and mismatch signal a2k can be considered relatively small in power, only linear terms of a2k need to be considered in the dual-input PA model [19]. Then, all basis functions in (2d) become negligible and can be set to zero. To make the dual-input PA model suitable for wideband signals, dynamic effects need to be considered [6]. This is done by introducing memory effects. In [15] and [18], several strategies for introducing memory effects in dual-input PA models are explained. Any of these strategies can be used in the models presented here. While the concept of introducing memory is rather simple, the equations become cumbersome to read. We, therefore, describe the memoryless equations in the main text here and refer the reader to Appendix A, where we present the equations including memory effects. In matrix form, the dual-input PA model can be written as  b2k = G(0) (a1k ) G(1)(a1k , a2k ) G(2) (a1k , a2k )  T G(3)(a1k , a2k ) α kT β kT γ kT δ kT = G(a1k , a2k )θ k

where a1k , a2k , and b2k are the vectors containing all the time samples of the signals a1k , a2k , and b2k , e.g., a1k = [a1k (0), . . . , a1k (N − 1)]T , where N is the number of samples. The vectors α k , β k , γ k , δ k , and θ k contain the complex coefficients, e.g., α k = [αk0 , . . . , αk(( P−1)/2−1) ]T . Furthermore, the matrix G(0)(a1k ) contains the basis functions in (2a), G(1)(a1k , a2k ) contains the basis functions in (2b), G(2)(a1k , a2k ) contains the basis functions in (2c), G(3)(a1k , a2k ) contains the basis functions in (2d), and G(a1k , a2k ) combines all basis functions in (2). III. M ULTI -A NTENNA T RANSMITTER DPD

v=0 u=0

p=0 ( P−1)/2 

3

(3)

We propose a DPD that consists of two main blocks: one linear CTMM block for the whole transmitter, and a dualinput DPD block in every transmit path. A block diagram of the proposed method is shown in Fig. 2. Each dual-input DPD is the inverse function of the respective dual-input PA, while the CTMM block emulates the behavior of the antenna array. One input of the kth dual-input DPD is the signal bdk that is the desired output signal of the kth PA, i.e., in a perfectly linearized transmitter b2k = bdk . The second input to the kth dual-input DPD is an estimate aˆ 2k of the crosstalk and mismatch signal. The CTMM block creates the signals aˆ 2k from the signals bdk . The output of the kth dual-input DPD, which is driving the kth PA, is the signal a1k . The identification of the coefficients in the proposed technique is based on the measurements of the individual PA output signals, and requires no other prior knowledge of the PA behavior or the characteristics of the antenna array. First, using the signals bdk , which are known, the CTMM block coefficients can be identified from the measurements of the PA output signals b2k . Then, with the signals bdk and the output of the CTMM block aˆ 2k , the dual-input DPD coefficients can also be identified from the measurements of the PA output signals b2k . Note that for the identification of both the dual-input DPD and the CTMM coefficients, the input signals bdk to the different transmit paths cannot be fully correlated. For the special case of multi-antenna systems with fully correlated signals like, e.g., beamforming systems, suitable training signals have to be used for the identification, which requires the transmission of user data to be interrupted. However, for applying the CTMM and the DPD, no such restrictions apply. The CTMM block and dual-input DPD as well as the identification procedures are described below. Note that, without loss of generality, we assume amplifiers with unity gain to simplify the notation. When applying the proposed technique to PAs with nonunity gain, any conventional gain normalization concept can be used (see [20], [21]). A. CTMM The CTMM produces the signals aˆ 2k by aˆ 2k = bdT λˆ k

(4)

where λˆ k is a vector with the CTMM coefficients of the kth transmit path, which have to be identified. The vector bd = [bd1, . . . , bd K ]T contains the input signals bdk to the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. Flowchart for the identification of the dual-input DPD coefficients of the kth transmit path. Fig. 3. Flowchart for the identification of the CTMM coefficients of the kth transmit path.

transmitter, which are known. Also known are the PA output signals b2k , which are obtained by measurements. Because no DPD is applied for the CTMM coefficient identification procedure, a1k = bdk . The CTMM coefficients λˆ k are identified for every transmit path individually in a two-step procedure. First, the coefficients αˆ k , βˆ k , γˆ k , and δˆ k of the dual-input PA model in (3) are estimated. Then, using the estimated PA model coefficients, the CTMM coefficients λˆ k are estimated. The two steps of the procedure are performed for several iterations until the result is satisfying. In the initial step, all CTMM coefficients λˆ k are arbitrarily set to 1. A simple flowchart of the procedure is shown in Fig. 3. In step 1 of the procedure, using (3), least-squares estimates αˆ k , βˆ k , γˆ k , and δˆ k of the PA model coefficients are obtained with   T T T αˆ kT βˆ k γˆ kT δˆ k = G(a1k , aˆ 2k )+ b2k (5) where the pseudoinverse G+ = (G H G)−1 G H is used. In step 2 of the procedure, which is fully derived in Appendix B, an estimate of the CTMM coefficients λˆ k = {λˆ k } + j {λˆ k } is found with

(1) (2) (1) (2) + {Fk + Fk } {−Fk + Fk } {λˆ k } = (1) (2) (1) (2) {λˆ k } {Fk − Fk } {Fk + Fk } (0) (3) {b2k − fk − fˆk } (6) × (0) (3) {b2k − fk − fˆk } where {·} and {·} denote the real and imaginary parts, respectively, and (1)

(1)

(2)

(2)

Fk = diag(fk )B2 Fk = diag(fk )B∗2

samples, are obtained from (0) fk

=

( P−1)/2 

∗ p αˆ kp a1k p+1 a1k

p=0 (1)

fk

=

( P−1)/2 

∗ p βˆkp a1k p a1k

p=0

f k(2) =

( P−1)/2 

∗ p−1 γˆkp a1k p+1 a1k .

(8)

p=1

Furthermore, (3)

f k (λˆ k ) =

( P−1)/2 p  p+1   v=0 u=0 u>1−v

p=1

∗ p−v δˆkpuv a1k p+1−u a1k

u v

ˆ∗ . b∗T × b2T λˆ k 2 λk

(9)

As can be seen, f k(3)(λˆ k ) is nonlinear in the crosstalk coefficients λˆ k . However, it is not desirable to solve this nonlinear problem due to computational requirements. There are two alternatives to avoid this. The first alternative is to set λˆ k = 0 (3) (3) (3) within f k , such that fˆk = f k (0) = 0. The second option is to use a previous estimate λˆ k . Note that for a dual-input PA model using only linear terms of a2k , f k(3) (λˆ k ) = 0 inherently. For a system model with memory effects, the equations for step 2 need be adapted. This is shown in Appendix C. Note that another option of obtaining a set of coefficients for the CTMM block is to use antenna S-parameter measurements. We will show the results for both S-parameter measurements and the identification procedure outlined above in the experiment section. B. Dual-Input DPD

(7)

where diag(f) denotes a diagonal matrix with the elements of the vector f as diagonal entries and B2 = [b21 , . . . , b2K ]. The (0) (1) (2) vectors fk , fk , and fk , which contain values for all time

Fig. 2 illustrates the proposed DPD method. The predistorted signal a1k , i.e., the output of the dual-input DPD of the kth transmit path, is calculated for all time samples by a1k = H(bdk , aˆ 2k )ϕ k

(10)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAUSMAIR et al.: DPD FOR MULTI-ANTENNA TRANSMITTERS AFFECTED BY ANTENNA CROSSTALK

5

Fig. 6. Measurement setup in the laboratory showing measurement instruments, PAs, and antenna array. PA1 is connected to antenna 1 of the array, PA2 to antenna 2, and so on.

Fig. 5.

Block diagram of the measurement setup.

where ϕ k are the dual-input DPD coefficients of the kth transmit path, which have to be identified. The signals bdk to the DPD are known. The second input signals aˆ 2k to the DPD are produced by the CTMM block, as discussed in Section III-A. The dual-input DPD matrix H(bdk , aˆ 2k ) contains basis functions of the same kind as the dual-input PA model matrix G(a1k , a2k ) in (3). The PA output signals b2k are obtained by measurements. Fig. 4 shows a flowchart for the identification of the dualinput DPD coefficients ϕ k . The least-squares estimate of the dual-input DPD coefficients ϕ k is found as +

ϕ k = H(b2k , aˆ 2k ) a1k .

(11)

In the initial step of the dual-input DPD identification, a1k = bdk . The dual-input DPD identification is iterated until the resulting linearity is satisfying. This procedure is exactly the same as a conventional indirect learning architecture [21], with the extension that there is a known second input to the DPD. The proposed dual-input DPD can be used as the regional model in a vector-switched DPD, which was proposed to improve the results of PA linearization techniques in [22]. In this approach, several switching regions are defined, and a separate DPD is calculated and applied for each region. The switching regions for the dual-input DPD are based on the signals bdk . C. Nonuniqueness of Coefficients The solution for CTMM coefficients λˆ k and dual-input DPD coefficients ϕ k is not unique. Multiplying the CTMM coefficients by an arbitrary scaling factor while reciprocally scaling dual-input DPD coefficients will result in the same

DPD output signals, and therefore not change linearization performance. There is also ambiguity in the kth element of each of the CTMM coefficient vector λˆ k , as can be seen from (17), where b2k appears on both sides of the equation. This can cause numerical problems or unidentifiability. One way to prevent either CTMM coefficients or dual-input DPD coefficients from becoming arbitrarily large or small, is to keep the kth element of λˆ k to a fixed value, and to normalize the other CTMM coefficients of the vector after each CTMM identification step 2 to a suitable value by dividing all of them by a scaling factor. For example, after each step 2 of the CTMM identification, perform the normalization λˆ k /ρk , where ρk = max j, j =k (λˆ k ( j )), and set λˆ k (k) = 1. By doing so, CTMM coefficients with absolute values between zero and one are obtained. This is the approach we have taken in our studies. IV. E XPERIMENTAL VALIDATION In this section, the measurement setup of a four-element transmitter is presented, which is used to validate the proposed DPD technique and compare it to existing techniques. Measurement settings and performance evaluation measures are given as well. The results are presented in Section V. A. Measurement Setup A block diagram of the measurement setup of the fourelement transmitter is shown in Fig. 5, and a photograph of the setup is shown in Fig. 6. The four driving signals for the PAs were created in MATLAB. The signals were different and independent orthogonal frequency-division multiplexing signals with 5-MHz bandwidth, and peak-to-average power ratios of around 8.5 dB. Two synchronized high-speed dual-channel arbitrary waveform generators (AWG, Agilent M8190A) were used to synthesize the four driving signals. The four PAs were identical GaAs PA evaluation boards from Skyworks (SKY66001-11), supplied with 3.3 V and operated at a center frequency of 2.12 GHz. The instantaneous gain of one of the PAs is shown in Fig 7. The PAs have integrated couplers at their outputs, which were used to measure the individual PA

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

B. Evaluated DPD Techniques and Performance Measures

Fig. 7. PA gain versus output power for one of the PAs (PA1). The PA gain is shown for the PA when used in a single-path transmitter (yellow), and for the PA when used in the presented four-path transmitter (blue).

Fig. 8. Measured antenna array S-parameters versus frequency. The characteristics are only shown for antenna 1, since they are similar for all antennas due to reciprocity. The figure shows the scattering parameters for: reflection (S11), adjacent element (S14), opposite element (S12), and diagonally opposite element (S13). The antenna array can be seen in the measurements setup in Fig. 6.

output signals. The antenna array was a rectangular fourelement array with microstrip patch elements. The highest coupling factor between two elements of the array is around −12 dB. The measured array scattering parameters versus frequency are shown in Fig. 8 for one antenna in the array. The other S-parameters show similar behavior due to symmetry of the antenna array design, which can be seen in Fig. 6. A single antenna element of the same type as the transmitter elements was used as a receiver. The four individual PA output signals were measured with a four-channel 8-bit oscilloscope (Rohde&Schwarz RTO1044). The noise floor of the OSC was the limit for the achievable linearization. The received signal, which is in the far-field of the four-element transmitter, was measured with a vector signal analyzer (Agilent PXA N9030a). The received signal is a linear combination of the four transmitted signals including channel effects as well as the effect of the receiver antenna. Since independent signals are feeding the four antennas, the resulting relative distortion of the received signal becomes independent of direction. Hence, the nonlinear distortion observed at the receiver is independent of the receiver location, which is why measurement results are presented for only one position. Processing was done in MATLAB at a baseband sampling frequency of 25 MHz. Dynamic effects are characteristic for PAs in a wideband scenario. A driving signal bandwidth of 5 MHz was chosen since it was wide enough to cause dynamic effects in the chosen PAs, while the antenna array characteristics were still approximately the same for all frequencies within the signal bandwidth.

The proposed DPD technique was tested and compared to single-input DPD and multi-input DPD, as well as the case where no DPD is used. As mentioned, an alternative to identifying a set of CTMM coefficients from measurements of the PA output signals is to use measurements of the antenna array S-parameters at center frequency. Results of the proposed technique are presented for both these methods. A big disadvantage of using measured S-parameters as CTMM coefficients is that a separate calibrated setup is required to obtain the S-parameters. The S-parameter measurements were performed with a twoport vector network analyzer directly at the antenna ports. The measurements were performed pairwise, with the other ports terminated in 50 . Results of the proposed technique where the CTMM coefficients were identified from PA output measurements are indicated with DI-CTMM DPD, and results using S-parameters with DI-SParam DPD. All multi-antenna DPD results, i.e., the proposed technique as well as the multi-input DPDs, are shown for two system models. The first system model, which is indicated by NLCT, is a memory polynomial dual-input PA model that considers nonlinear terms of the crosstalk signals a2k as given in (15). The second system model, indicated by LCT, considers only linear terms of the crosstalk signals a2k such that all terms in (15d) become zero. The LCT model is suitable if the crosstalk signals a2k are relatively small in power. Both system models consider cross-products between PA output and antenna crosstalk signals. The advantage of the LCT model is that it leads to much lower complexity than the NLCT model. For the CTMM identification with NLCT, a previous estimate of the crosstalk coefficients λk was used in f (3) in (21). Note that the LCT multi-input DPD is based on the technique in [14], and the NLCT multi-input DPD is based on the technique in [15]. Both techniques have been extended toward a four-path transmitter. For all DPDs, a vector-switched memory-polynomial DPD structure [22] with four switching regions based on the desired output signals bdk was used. For the evaluation of results, the normalized mean square error (NMSE) and the adjacent channel leakage ratio (ACLR) are used. The NMSE is calculated by  N−1 2 |x(n) − x(n)| ˆ (12) NMSE = n=0  N−1 2 n=0 |x(n)| where N is the number of time samples, x(n) is the desired output signal, and x(n) ˆ is the measured output signal. The ACLR is calculated as   2 f (ad j )c |X ( f )|  (13) ACLR = max 2 c=1,2 f ch |X ( f )| where X ( f ) is the measured amplitude spectrum, f ch denotes inband frequencies, and f ad j frequencies in the adjacent channel. V. R ESULTS In this section, we first evaluate the CTMM identification procedure, since its reliability is integral for the proposed

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAUSMAIR et al.: DPD FOR MULTI-ANTENNA TRANSMITTERS AFFECTED BY ANTENNA CROSSTALK

7

TABLE I ACLR AND NMSE FOR D IFFERENT DPD T ECHNIQUES

DPD technique. Then, the results for the proposed DPD technique are presented, evaluated, and compared to existing DPD techniques. A. Evaluation of CTMM Identification The CTMM coefficients are an essential part of the proposed DPD technique. Therefore, it is important that the identification procedure is reliable. The reliability of the CTMM identification method using PA output measurements as proposed in Section III-A is evaluated by extracting CTMM coefficients for different initial values and comparing the results. The initial values were complex numbers with real and imaginary parts that were randomly chosen according to a uniform distribution with interval [−1, 1]. We have used the procedure suggested in Section III-C to avoid numerical and identification problems. Using this procedure, the kth CTMM coefficient and the maximum CTMM coefficient of the kth path assume the fixed value 1. The results for identification of the remaining CTMM coefficients for all paths are shown in Fig. 9(a) for LCT and in Fig. 9(b) for NLCT. Each blue dot represents an initial value, and the yellow circles show the results after the first iteration. The black plus signs show the results after the second iteration. As can be seen, the results converge to the same value for all initial values. After the second iteration, no difference between the results for different initial values can be noted. Furthermore, the final results for LCT and NLCT agree. The accuracy of the identified CTMM coefficients becomes evident from the performance evaluation of the proposed DPD technique, which is presented in the following section. B. Performance of the DPDs In Table I, the ACLRs for the received signal and each of the individual PA output signals are given for different DPD methods. For the case without any DPD, the ACLR is between −40 and −36 dB. Using single-input DPD improves the ACLR to around −45 dB. The ACLR results for the proposed DI-CTMM and DI-Sparam DPDs and the multi-input DPDs are very similar, around −50 dB, for both NLCT and LCT. The multi-input LCT DPD reaches the best result. As can be seen,

Fig. 9. Results of the CTMM coefficient identification based on (a) LCT and (b) NLCT. The figures show the initial values in blue dots, the results after the first iteration in yellow circles, and the results after the second iteration in black plus signs. As can be seen, the results converge such that after the second iteration no difference can be seen. The results for LCT and NLCT agree.

linearizing each transmit path via the measured PA output signals improves the ACLR of the received signal to a similar degree as the ACLR of the individual PA output signals. The NMSEs for different DPD techniques are given in Table I. Results are shown for each of the individual PA output signals. Since we have no knowledge of the channel, it is not relevant to evaluate the NMSE for the received signal. Compared to the case without any DPD, the single-input DPD does not significantly improve the NMSE. The proposed DI-SParam DPD achieves an improvement of around 12 dB for both NLCT and LCT. The proposed DI-CTMM DPD and the multi-input DPD improve the NMSE by around 19 dB for both NLCT and LCT. The NMSEs for the proposed

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Spectra of the PA output signals. The figure shows the results without DPD (blue ), with single-input DPD (SI DPD, red ), multi-input DPD (MI LCT DPD, purple ◦), and the proposed DPD (DI-CTMM LCT, yellow ♦). The multi-input DPD and the proposed DPD are based on models using only linear terms of the crosstalk signals.

C. Complexity The issue of complexity has many aspects. There are different types of complexity that should be considered [23].

Fig. 11. Normalized spectra of the received signals. The figure shows the results without DPD (blue ), with single-input DPD (SI DPD, red ), multiinput DPD (MI LCT DPD, purple ◦), and the proposed DPD (DI-CTMM LCT, yellow ♦). The multi-input DPD and the proposed DPD are based on models using only linear terms of the crosstalk signals.

DI-CTMM DPDs and the multi-input DPDs are very similar, with the multi-input LCT DPD achieving the lowest values. The lowest values for ACLR and NMSE are achieved by the multi-input LCT DPD and the proposed DI-CTMM LCT DPD. In Fig. 10, the spectra of the individual PA outputs are shown, and in Fig. 11, the normalized spectra of the received signals are shown for these two DPD techniques. Also shown for comparison are the results for single-input DPD and for the case without any DPD. As can be seen, the single-input DPD reduces the out-of-band distortion. However, both DICTMM LCT DPD and multi-input LCT DPD reach a much better result. The results for the received signal, which is the far-field of the transmitter, are equal to the results of the PA output signals. Note that the performance of all linearization techniques is limited by the noise floor of the OSC, which was used to measure the PA output signals. The noisefloor is indicated in the figures.

1) Run-time complexity is the complexity to execute the DPD on the input signals. It depends on the number of calculations that are necessary for each input signal sample to obtain the predistorted signal. Therefore, runtime complexity also depends on signal and evaluation bandwidth, i.e., the required sampling rate of the system. 2) Identification complexity is the complexity required to find the initial version of the predistorter. This is typically done using least-squares techniques [29]. Identification complexity depends on the number of parameters that needs to be identified. The initial identification is only performed once, usually in the lab or factory. After that, adaptation is used to adjust the predistorter to changes in the system. For this reason, identification complexity is negligible and the focus is put on adaptation complexity. 3) Adaptation complexity is the complexity to adjust the identified predistorter to changes in system behavior while the system is running. Adaptation is commonly done using algorithms like least mean squares, recursive least squares, or similar [10]. Using these techniques, every coefficient of the predistorter is updated individually at run-time. Adaptation complexity depends on how much and how fast the systems change over time due to, e.g., temperature drift. The exact complexity and related measures, such as power consumption, cost, and space, always depend on a specific implementation, i.e., implementation concept [10], [24], [25], used hardware, necessity and frequency of adaptation, training algorithm, adaptation algorithm, matrix inversion algorithm, and bandwidth requirements.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAUSMAIR et al.: DPD FOR MULTI-ANTENNA TRANSMITTERS AFFECTED BY ANTENNA CROSSTALK

9

TABLE II N UMBER OF DPD C OEFFICIENTS PER T RANSMIT PATH PER S WITCHING R EGION AND THE N UMBER OF CTMM C OEFFICIENTS PER PATH R EQUIRED FOR THE D IFFERENT DPD T ECHNIQUES

We have chosen to use the number of predistorter coefficients as a basis for our complexity analysis. Comparing the number of predistorter coefficients is a simple way of comparing the complexity of the different DPDs. It can also be used to investigate how complexity scales when increasing the numbers of transmit paths, which serves as an indicator of whether a DPD technique is feasible for larger multi-antenna systems or not. For predistorters based on the Volterra series, as the ones considered in this paper, all types of complexity depend on the number of predistorter coefficients [26]. Therefore, when considering such DPD structures with similar types of basis functions and the same requirements for evaluation bandwidth, complexity comparison is commonly based on comparing the number of DPD coefficients. Thus, reducing the number of coefficients is often used as a technique to reduce complexity [14], [17], [26]–[28]. For single-input DPD and the multi-input DPDs, all types of complexity scale with the number of DPD coefficients. For the proposed DPDs, run-time and adaptation complexity scale with the total number of predistorter coefficients, which includes the dual-input DPD coefficients and the CTMM coefficients. Table II compares the number of DPD coefficients per transmit path per switching region and the number of CTMM coefficients. Note that these numbers are specific to the multiantenna transmitter that is used in the measurements. The proposed DI-CTMM and DI-SParam DPDs require a fewer coefficients than the multi-input DPDs. The number of coefficients required for multi-input NLCT DPD is excessively higher than for the other DPDs. Except for the single-input DPD, the lowest number of coefficients is required for the proposed DPD based on LCT. The table also shows how the number of coefficients would scale for a K -path transmitter with the same type of components. This is also illustrated in Fig. 12, where the number of DPD coefficients per switching region plus the number of CTMM coefficients are plotted versus the number of transmit paths K . It is important to realize that for all multiinput DPDs the number of coefficients increases rapidly with the number of paths, while for the proposed DPDs only the number of CTMM coefficients increases and the dual-input DPD is not affected. For multi-antenna systems with many

Fig. 12. Illustration of how the number of coefficients per path would scale with the number of transmit paths K . The figure shows the number of DPD coefficients per switching region plus the number of CTMM coefficients. Numbers are shown for: single-input DPD (SI DPD), the proposed DPD (proposed LCT), and multi-input DPD (MI LCT DPD) based on models with only linear crosstalk terms, the proposed DPD (proposed NLCT), and multiinput DPD (MI NLCT DPD) based on models using linear and nonlinear terms of the crosstalk signals.

antennas, the difference in total coefficient numbers becomes huge, as can be seen in Fig. 12. The major advantage of the proposed technique is how it scales for the increasing numbers of transmit paths. The numbers given in Table II and Fig. 12 are specific to the multi-antenna transmitter used in the measurements and can, therefore, not give an exact prediction of the number of coefficients for other systems. However, due to its structure, the proposed technique will inherently have lower run-time complexity than the existing approaches for any transmitter, where antenna crosstalk from more than one transmit path needs to be considered in the DPD. D. Discussion Analyzing the presented results, several things can be noted. It is obvious that single-input DPD is not suitable for a multiantenna transmitter. While the ACLR can be improved with such a DPD, the NMSE is almost the same as when using no DPD at all. The ACLR results for the proposed DI-CTMM and DI-SParam DPDs are very similar. However, the NMSE results are worse for the DI-Sparam DPD. The reason is that the reference plane for the measurements of the S-parameters is not exactly the same as the reference plane for measurements of the PA output signals. Observations at different reference planes can have different phase shifts, gain shifts, and delays. Such issues can lead to a degradation of performance, or even failure of the DPD to linearize the system. With careful calibration, the phase, gain, and delay offsets were kept very small, such that a performance degradation when using DI-SParam DPDs is only noticeable in terms of NMSE while the ACLR stays unaffected. The CTMM coefficients estimated directly from PA output signals allow for better DPD results since they are obtained from measurements in the exact reference plane. In addition to the worse NMSE results and the complicated calibration, another disadvantage of using S-parameters as CTMM coefficients is that a separate measurement setup is required. In highly integrated transmit-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

ters, these measurements might not be feasible. Furthermore, for a system implementation, it is not possible to use adaptive algorithms to update the CTMM when using the S-parameters instead of the proposed identification method. All results obtained with the proposed DI-CTMM DPD are almost the same as the results of the multi-input DPDs. For both these techniques, the DPDs based on NLCT have much higher complexity than the DPDs based on LCT. Therefore, for the multi-antenna transmitter used in these measurements, there is no advantage in using the NLCT-based DPDs. Hence, for a maximum coupling between the antennas of −12 dB, it is not necessary to consider a dual-input PA model with nonlinear crosstalk and mismatch terms. However, for systems with higher coupling, it can be expected that NLCT-based DPDs are more suitable [18]. Considering both NMSE, ACLR, and the complexity, the best results are obtained by the proposed DI-CTMM LCT DPD. Even though the DI-CTMM LCT DPD is narrowly outperformed by the multi-input LCT DPD, the number of DPD coefficients is reduced. For emerging multi-antenna systems with the large numbers of transmit paths, the complexity of the proposed technique scales much better than for existing solutions, as shown in Table II and Fig. 12. The presented results also indicate that in cases where the coupling is so high that NLCT -based DPDs are required, existing solutions will quickly reach the limits of what is feasible to implement, while the complexity of the proposed technique still rises only relatively slowly with the number of transmit paths. Hence, the proposed DPD technique is an extremely attractive alternative for the linearization of emerging multi-antenna transmitters. VI. C ONCLUSION In this paper, we present a technique to jointly compensate for the effects of PA nonlinearity, antenna crosstalk, and mismatch in wideband multi-antenna transmitters. Dual-input DPDs in every transmit path are combined with a linear model of the crosstalk and mismatch characteristics of the antenna array that is shared by all transmit paths. Using this structure, the use of multi-input DPDs is avoided. Therefore, the complexity of the proposed technique scales very favorably with the number of transmit paths: the CTMM block increases linearly with the number of paths, while the complexity of the dual-input DPDs is not affected by the number of paths. This is a huge benefit of our technique compared to existing approaches, especially considering the trend toward large-scale multi-antenna systems. As we have shown, no prior knowledge of the system components is required to identify the dual-input DPDs or the CTMM. Similar to conventional DPD approaches, all necessary information can be identified from measurements of the PA output signals using least-squares estimation techniques. A potential disadvantage of the proposed technique is that, for the identification procedure, the input signals bdk to the different transmit paths cannot be fully correlated, such that for systems with fully correlated signals like, e.g., beamforming systems, special training signals have to be used.

Results are shown for the linearization of a four-path transmitter. When comparing the proposed technique to existing approaches, it can be seen that the performances are similar, while our technique has lower complexity. With the presented measurements, we show that our technique is suitable for the linearization of wideband PAs. A topic for future work is also to include dynamic antenna behavior in our algorithms. This can be necessary for systems where the antenna characteristics vary strongly within the input signal bandwidth. A PPENDIX A. Dual-Input PA Models Including Memory Effects To account for dynamic effects in PAs driven by wideband signals, memory has to be considered in the dual-input PA model. The most general form of a dual-input PA model with memory effects is a dual-input model according to the Volterra series [30] b2k (n) =

M 1  

 1−q1 θk0q1 0m 1 a1k (n − m 1 )

q1 =0 m 1 =0

 q × a2k (n − m 1 ) 1 +

p+1 ( P−1)/2   p=1 M 

···

m 2 p+2−q2 =0

×

M 

M 

···

m 2 p+1−q2 =m 2 p−q2

θkpq1 q2 m 1 m 2 ...m 2 p+1

m 2 p+1 =m 2 p p+1 

a1k (n − m i )

i=1 2 p+1−q  2 s= p+2

m p+1−q1 =m p−q1

M 

m p+1 =m p m p+2 =0

M 

p+1−q  1

M 

···

M 

···

q1 =0 q2 =0 m 1 =0

m p+2+q1 =0

×

p  M 

a2k (n − m l )

l= p+2−q1 2 p+1

∗ a1k (n − m s )

∗ a2k (n − m r ).

r=2 p+2−q2

(14) Because of the high model complexity, the full Volterra series approach is usually infeasible. Therefore, many models with reduced complexity have been proposed, such as the memory polynomial [6] and the generalized memory polynomial [7]. For the evaluation of the proposed DPD in measurements, we used the memory polynomial approach. The dual-input memory polynomial PA model is given in the same structure as (2) by ⎫ M ( P−1)/2 ⎪   ⎪ ⎬ αkpm 1 a1k (n − m 1 ) b2k (n) = (15a) m 1 =0 p=0 ⎪ ⎪  2 p ⎭ ×a1k (n − m 1 ) ⎫ M  ⎪ ⎪ ⎪ + βk0m 1 a2k (n − m 2 ) ⎪ ⎪ ⎪ ⎪ m 2 =0 ⎬ ( P−1)/2 M M    (15b) ⎪ + βkpm 1 m 2 ⎪ ⎪ ⎪ ⎪ ⎪ m 1 =0 m 2 =0 p=1 ⎪  2 p ⎭ ×a2k (n − m 2 )a1k (n − m 1 )

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. HAUSMAIR et al.: DPD FOR MULTI-ANTENNA TRANSMITTERS AFFECTED BY ANTENNA CROSSTALK

⎫ ⎪ ⎪ ∗ + γkpm 1 m 2 a2k (n − m 2 )⎬ m =0 m 2 =0 p=1 ⎪ 1  p+1  ∗  p−1 ⎪ ⎭ × a1k (n − m 1 ) a1k (n − m 1 ) ⎫ p  p+1 M ( P−1)/2 M  ⎪    ⎪ ⎪ + δkpuvm 1 m 2 ⎪ ⎪ ⎬ M M  

( P−1)/2 

m 1 =0 m 2 =0

p=1

v=0 u=0

  p+1−u  u>1−v  ⎪ ∗ (n − m ) p−v ⎪ ⎪ a1k ×a1k (n − m 1 )  ⎪ 1 ⎪ v ⎭ u ∗ × a2k (n − m 2 ) a2k (n − m 2 ) .

(15c)

with B2 (n − m) = [b21 (n − m), . . . , b2K (n − m)] where b2k (n − m) = [b2k (0 − m), . . . , b2k (N − 1 − m)]T and

(15d)

m 1 =0 ( P−1)/2 M  

(2)

f km =

m 1 =0 p=1  ∗ × a1k (n −

fk

B. Derivation of CTMM Coefficient Identification

=

To find the equations for step 2 of the CTMM coefficient identification, (1) is introduced into (2)

+

( P−1)/2 

( P−1)/2 



∗ p−1 ∗T ˆ γkp a1k p+1 a1k b2 λk

p=1

+

( P−1)/2 p  p+1   p=1

b2T λˆ k

u

v ˆ∗ b∗T 2 λk

(1)

(2)

(16)



(3)

ˆ ˆ + f k b2T λˆ k + f k b∗T 2 λk + f k (λk ).

(17)

Using (7), this is rearranged and expressed for all time samples in matrix form as (2) ∗ b2k − fk(0) − fˆk(3) = F(1) k λk + Fk λk (3)

(18)

(3)

where fˆk is fk (λˆ k ) with λˆ k either chosen equal to zero or set to a value from a previous identification step as explained in Section III-A. Finally, by splitting it into real and imaginary parts, (18) can be solved for the CTMM coefficients as given in (6). C. CTMM Identification for Dual-Input Memory Polynomial PA Model Using the dual-input memory polynomial PA model for the identification of the CTMM coefficients, the equation given in (6) can be applied with the following adaptations: (7) changes to (1)

M 

(2)

m=0 M 

Fk = Fk =

m=0

(1)

diag(fkm )B2 (n − m) (2)

 p−1

.

(20)

M 

( P−1)/2 

m 1 =0

p=0

αˆ kpm 1 a1k (n − m 1 )

M M  

( P−1)/2 p  p+1   p=1

δˆkpuvm 1 m 2

v=0 u=0 u>1−v

ACKNOWLEDGMENT

which is then rewritten as (0)

m 1)

where b2 (n − m) = [b21(n − m), . . . , b2k (n − m)]T .

δkpuv

v=0 u=0 u>1−v

∗ p−v × a1k p+1−u a1k

b2k = f k

  p+1 γˆkpm 1 m a1k (n − m 1 )

  p+1−u  ∗  p−v a1k (n − m 1 ) × a1k (n − m 1 )

 T u × b2 (n − m 2 ) λˆ k

 T ∗ v × b∗2 (n − m 2 ) λˆ k (21)

∗ p Tˆ βkp a1k p a1k b2 λk

p=0

+

p=1

m 1 =0 m 2 =0

p=0

2 p  βˆkpm 1 m a1k (n − m 1 )

2 p  × a1k (n − m 1 ) f k(3) (λˆ k ) =

∗ p αkp a1k p+1 a1k

( P−1)/2 

Furthermore, (0)

( P−1)/2 

M 

(1) f km = βˆk0m +

The dual-input memory polynomial PA model can be written in matrix form exactly as (3).

b2k =

11

diag(fkm )B∗2 (n − m)

(19)

The authors would like to thank Skyworks Solutions, Inc. for donating the power amplifier test boards used in the experiments. R EFERENCES [1] “5G radio access,” Ericsson AB, Stockholm, Sweden, White Paper Uen 284 23-3204 Rev C, Apr. 2016, accessed: Mar. 2, 2017. [Online]. Available: https://www.ericsson.com/res/docs/whitepapers/wp5g.pdf [2] F. Rusek et al., “Scaling up MIMO: Opportunities and challenges with very large arrays,” IEEE Signal Process. Mag., vol. 30, no. 1, pp. 40–60, Jan. 2013. [3] M. Romier, A. Barka, H. Aubert, J. P. Martinaud, and M. Soiron, “Load-pull effect on radiation characteristics of active antennas,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 550–552, 2008. [4] C. Fager, X. Bland, K. Hausmair, J. C. Cahuana, and T. Eriksson, “Prediction of smart antenna transmitter characteristics using a new behavioral modeling approach,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [5] K. Hausmair et al., “Prediction of nonlinear distortion in wideband active antenna arrays,” IEEE Trans. Microw. Theory Techn., to be published, doi: 10.1109/TMTT.2017.2699962. [6] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [7] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [8] P. Suryasarman, M. Hoflehner, and A. Springer, “Digital pre-distortion for multiple antenna transmitters,” in Proc. Eur. Microw. Conf., Oct. 2013, pp. 412–415. [9] P. Suryasarman and A. Springer, “Adaptive digital pre-distortion for multiple antenna transmitters,” in Proc. IEEE Global Conf. Signal Inf. Process. (GlobalSIP), Dec. 2013, pp. 1146–1149.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

[10] P. M. Suryasarman and A. Springer, “A comparative analysis of adaptive digital predistortion algorithms for multiple antenna transmitters,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 5, pp. 1412–1420, May 2015. [11] Z. Zhang, Y. Shen, S. Shao, W. Pan, and Y. Tang, “An improved cross talk cancelling digital predistortion for MIMO transmitters,” Mobile Inf. Syst., vol. 2016, Mar. 2016, Art. no. 5626495. [12] S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “Crossover digital predistorter for the compensation of crosstalk and nonlinearity in MIMO transmitters,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 5, pp. 1119–1128, May 2009. [13] M. V. Amiri, S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “Matrixbased orthogonal polynomials for MIMO transmitter linearization,” in Proc. IEEE Int. Workshop Comput. Aided Modeling, Anal. Design Commun. Links Netw., Dec. 2010, pp. 57–60. [14] A. Abdelhafiz, L. Behjat, F. M. Ghannouchi, M. Helaoui, and O. Hammi, “A high-performance complexity reduced behavioral model and digital predistorter for MIMO systems with crosstalk,” IEEE Trans. Commun., vol. 64, no. 5, pp. 1996–2004, May 2016. [15] S. Amin, P. N. Landin, P. Händel, and D. Rönnow, “Behavioral modeling and linearization of crosstalk and memory effects in RF MIMO transmitters,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 810–823, Apr. 2014. [16] Z. A. Khan, E. Zenteno, P. Händel, and M. Isaksson, “Digital predistortion for joint mitigation of I/Q imbalance and MIMO power amplifier distortion,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 1, pp. 322–333, Jan. 2017. [17] E. Zenteno, S. Amin, M. Isaksson, D. Rönnow, and P. Händel, “Combating the dimensionality of nonlinear MIMO amplifier predistortion by basis pursuit,” in Proc. Eur. Microw. Conf., Oct. 2014, pp. 833–836. [18] H. Zargar, A. Banai, and J. C. Pedro, “A new double input-double output complex envelope amplifier behavioral model taking into account source and load mismatch effects,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 766–774, Feb. 2015. [19] G. Z. El Nashef et al., “Second order extension of power amplifiers behavioral models for accuracy improvements,” in Proc. Eur. Microw. Conf., Sep. 2010, pp. 1030–1033. [20] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based volterra series,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 7, pp. 1524–1534, Jul. 2008. [21] J. Chani-Cahuana, C. Fager, and T. Eriksson, “A new variant of the indirect learning architecture for the linearization of power amplifiers,” in Proc. Eur. Microw. Conf., Sep. 2015, pp. 1295–1298. [22] S. Afsardoost, T. Eriksson, and C. Fager, “Digital predistortion using a vector-switched model,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 1166–1174, Apr. 2012. [23] A. S. Tehrani, H. Cao, T. Eriksson, M. Isaksson, and C. Fager, “A comparative analysis of the complexity/accuracy tradeoff in power amplifier behavioral models,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 6, pp. 1510–1520, Jun. 2010. [24] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [25] Y. Ma, Y. Yamao, Y. Akaiwa, and C. Yu, “FPGA implementation of adaptive digital predistorter with fast convergence rate and low complexity for multi-channel transmitters,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 11, pp. 3961–3973, Nov. 2013. [26] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [27] N. Kelly and A. Zhu, “Low-complexity stochastic optimization-based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 5, pp. 1373–1382, May 2016. [28] Z. Wang, W. Chen, G. Su, F. M. Ghannouchi, Z. Feng, and Y. Liu, “Low computational complexity digital predistortion based on direct learning with covariance matrix,” IEEE Trans. Microw. Theory Techn., to be published, doi: 10.1109/TMTT.2017.2690290. [29] L. Guan and A. Zhu, “Optimized low-complexity implementation of least squares based model extraction for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 594–603, Mar. 2012. [30] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems, 2nd ed. Melbourne, FL, USA: Krieger, 2006.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Katharina Hausmair received the Dipl.-Ing. degree in electrical and information engineering from the Graz University of Technology, Graz, Austria, in 2010, and is currently pursuing the Ph.D. degree at the Department of Electrical Engineering, Chalmers University of Technology, Göteborg, Sweden. From 2010 to 2013, she was a Researcher with the Signal Processing and Speech Communication Laboratory, Graz University of Technology. Her current research interests include signal processing for communication systems with an emphasis on modeling and compensation of undesired effects occurring in analog circuits. Per N. Landin received the M.Sc. degree from Uppsala University, Uppsala, Sweden, in 2007, and the Ph.D. degree from the KTH Royal Institute of Technology, Stockholm, Sweden, and Vrije Universiteit Brussel, Brussels, Belgium, in 2012. From 2013 to 2014, he was a Post-Doctoral Researcher with the Chalmers University of Technology, Göteborg, Sweden. Since 2015, he has been with Ericsson AB, Kumla, Sweden. His current research interests include RF measurements and signal processing, over-the-air measurements, and system identification applied to power amplifier modeling and linearization. Ulf Gustavsson received the M.Sc. degree in electrical engineering from Örebro University, Örebro, Sweden, in 2006, and the Ph.D. degree from the Chalmers University of Technology, Göteborg, Sweden, in 2011. He is currently a Senior Researcher with Ericsson AB Research, Göteborg, where he is also the Lead Scientist within the Marie Skłodowska-Curie Innovative Training Network, SILIKA. His current research interests include radio signal processing and behavioral modeling of radio hardware for advanced antenna systems. Christian Fager received the Ph.D. degree from the Chalmers University of Technology, Göteborg, Sweden, in 2003. Since 2015, he has been a Professor with the Microwave Electronics Laboratory, Chalmers University of Technology, where he is also the Deputy Director of the GHz Center for industrial collaborations. He has authored or co-authored more than 120 publications in international journals and conferences. His current research interests include energy efficient and linear transmitters for future wireless communication systems. Dr. Fager serves as a TPC member of the IEEE IMS and INMMiC technical conferences and is currently an Associate Editor for the IEEE Microwave Magazine. He was a recipient of the Best Student Paper Award of the IEEE MTT-S International Microwave Symposium in 2003. Thomas Eriksson received the Ph.D. degree in information theory from the Chalmers University of Technology, Göteborg, Sweden, in 1996. From 1990 to 1996, he was with the Chalmers University of Technology. In 1997 and 1998, he was with the AT&T Labs–Research, Murray Hill, NJ, USA. In 1998 and 1999, he was with Ericsson Radio Systems AB, Kista, Sweden. He was a Guest Professor with Yonsei University, Seoul, South Korea, from 2003 to 2004. Since 1999, he has been with the Chalmers University of Technology, where he is currently a Professor of communication systems, leading research on hardware-constrained communications. He is also the Vice Head of the Department of Electrical Engineering, Chalmers University of Technology, where he is responsible for undergraduate and master’s education. He is leading several projects on massive multi-in multiout (MIMO) communications with imperfect hardware, MIMO communication taken to its limits: 100-Gbit/s link demonstration, massive MIMO testbed design, satellite communication with phase noise limitations, and efficient and linear transceivers. He has authored or co-authored more than 200 journal and conference papers and holds 11 patents. His current research interests include communication, data compression, and modeling and compensation of nonideal hardware components (e.g., amplifiers, oscillators, and modulators in communication transmitters and receivers, including massive MIMO).

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Exposure Evaluation of an Actual Wireless Power Transfer System for an Electric Vehicle With Near-Field Measurement Jerdvisanop Chakarothai, Member, IEEE, Kanako Wake, Member, IEEE, Takuji Arima, Member, IEEE, Soichi Watanabe, Member, IEEE, and Toru Uno, Senior Member, IEEE

Abstract— In this paper, we propose an experimental approach for determining the internal electric field for exposure evaluation of wireless power transfer (WPT) systems by using measured magnetic near-field data. Two WPT systems are fabricated and used in the measurements: one without ferrite tiles, and the other with ferrite tiles and a metal plate. The amplitude and phase of the magnetic near field in the vicinity of the WPT systems are then measured by using in-house magnetic-field probes and a near-field measurement system. Numerical dosimetry of human exposure is performed using the measured near field as an incident field in the impedance method to derive the internal electric field strength inside numerical human models. Validation of the proposed approach has been demonstrated by comparing measurement results with those obtained from numerical simulations. Additionally, the coupling factor, which represents the relationship between the incident magnetic field and the induced electric field in the human body, at different distances is derived for realistic exposure scenarios. Index Terms— Coupling factor, electric vehicles, exposure assessment, magnetic near-field measurement, wireless power transfer (WPT) system.

I. I NTRODUCTION

R

ECENTLY, wireless power transfer (WPT) has been considered one of the emerging technologies and its application is rapidly increasing day by day [1]. The WPT technology provides convenience in charging many wireless devices used in daily life, such as mobile phones, notebook computers, and electric vehicles (EVs) [2]. Various types of inductive coupling WPT systems for transport systems such as an electric bus have been demonstrated [3]–[5]. There are many advantages of the wireless charging of EVs, e.g., no requirement of plugging in an EV with a power transmission wire and reduction of the possibility of leakage of electricity from a wet plug when it is rainy. A large electric power

Manuscript received February 20, 2017; revised June 9, 2017 and August 1, 2017; accepted August 15, 2017. This work was supported by the committee to promote research on the potential biological effects of electromagnetic fields, Ministry of Internal Affairs and Communications, Japan. (Corresponding author: Jerdvisanop Chakarothai.) J. Chakarothai, K. Wake, and S. Watanabe are with the National Institute of Information and Communications Technology, Tokyo 184-8795, Japan (e-mail: [email protected]). T. Arima and T. Uno are with the Department of Electrical and Electronics Engineering, Tokyo University of Agriculture and Technology, Tokyo 184-8588, Japan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2748949

of about several kilowatts is, however, applied in the charging system of EVs. Consequently, a strong electromagnetic (EM) near field is produced around the WPT system during its charge operation. It is, therefore, necessary to evaluate human exposure to EM near-fields radiated from WPT systems. For a WPT system without countermeasures for the leakage of EM fields, the field strength may exceed limit levels prescribed in regulations. Specifically, compliance with human exposure guidelines is required for the development of WPT systems. Meanwhile, concerning the biological hazard of humanbody exposure to EM fields, international guidelines have been published by the International Commission of Non-Ionizing Radiation Protection (ICNIRP) [6], [7] and the IEEE [8], [9]. In these guidelines, there are two major biological effects needed to be considered when a human body is exposed to EM fields: thermal and stimulus effects. The exposure limit depends on the frequency. In the guidelines, the thermal effect is defined and limited in terms of the specific absorption rate (SAR) for frequencies higher than 100 kHz, while the stimulus effect is defined in terms of the internal electric field strength for frequencies lower than 10 MHz for ICNIRP guidelines and lower than 5 MHz for the IEEE. Since the SAR and internal electric field inside a human body are difficult to measure, the ICNIRP guidelines also provide reference levels, which are defined in terms of incident electric and magnetic-field strengths for the compliance test of wireless devices. The IEEE has also set similar guideline indexes in terms of incident electric and magnetic fields. The reference levels were determined by assuming a “worstcase” scenario of a human body in a standing position exposed to an EM plane wave. On the other hand, for the situation that the EM fields are highly nonuniform and the exposure is localized in a small region, such as exposure close to the WPT system, the coupling between the human body and the near field of the WPT system is less strong than that considered to derive the reference level. Consequently, the compliance test using the reference levels usually gives a very restricted limitation for WPT systems compared with that when the basic restrictions are applied as a metric (see [10]–[12]). The compliance with the basic restrictions is often done by numerical approaches since the measurements of the SAR and internal electric field inside biological bodies are difficult [10]–[12]. However, numerical approaches require high computer programming skills and/or high computational

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

resources, which are in practice not available for device or product manufacturers. To reduce the complexity and requirements for the exposure evaluation, many attempts have been made to generalize induced quantities inside a human body, which are caused by incident EM fields, in a frequency regime where a magnetoquasistatic (MQS) approximation is applicable [13]–[15]. In addition, although numerical approaches can be used to determine the SAR and internal electric field inside a human body for compliance, it is difficult to accurately model an actual exposure situation in numerical simulations. For example, in some cases, we also need to model a vehicle body, vehicle tires, ground planes, and so forth. In addition, it is sometimes difficult to retrieve information about the size, shape, and design of WPT systems from manufacturers. In order to find the exposure level in a human model for a real situation, Wake et al. [16] proposed an experimental technique combining measured magnetic near-field and numerical analyses for induction-heating (IH) hobs. Although a similar concept is applied in this paper, the target frequency and magnetic-field distribution from WPT systems are considerably different from those of IH hobs since WPT systems are composed of transmitting and receiving parts. Therefore, the characteristics of the exposure are also different. Thus, we use a different system and magnetic-field probes to measure the magnetic near field for WPT systems operating at intermediate frequencies. The frequency range of the measurement was from 2 to 400 kHz for the previous system, while it is from 20 kHz to 10 MHz for our proposed system. In addition, there was no detailed information about phase measurement in [16]. In this paper, we propose an approach combining measurement and numerical analyses for evaluating localized EM exposure due to kilohertz-band WPT systems for EVs. Our proposed method uses both the magnitude and phase of the magnetic near field in numerical simulations to determine the exposure level in a realistic human model. The advantage of the proposed approach is that there is no need to know the shape or design of the WPT systems so that the approach is applicable to actual products of WPT systems. The paper outline is as follows. First, a measurement system for the magnetic near-field and WPT systems fabricated for measurements are described in Section II. Numerical human models, the numerical method, and exposure scenarios are described in Section III. Then, the WPT source used in numerical models is validated by comparison with the measurement results. Numerical simulations of exposure using the measured field data are performed to derive the internal electric field in human-body models, and the coupling factor is determined for the WPT system, as described in Section IV. Finally, conclusions are drawn in Section V. II. N EAR -F IELD M EASUREMENT S YSTEM AND WPT S YSTEMS A. Magnetic Near-Field Measurement System A measurement system was constructed and used to measure the magnetic near field of the WPT systems, as shown

Fig. 1. Measurement system for magnetic near field of WPT systems; SG: signal generator and PM: power meter. The distance between the transmitting and receiving coils of the systems is indicated by the symbol d.

Fig. 2. Schematic view and picture of fabricated magnetic-field probes. The size of the rectangular loops for detecting the magnetic field is 1 × 1 × 1 cm3 .

in Fig. 1. The system consists of a signal generator (SG; 33210A, Agilent), a 50-dB gain power amplifier (BSA0110100, BONN Elektronik), a power sensor at the receiving port, a 10-dB attenuator at the transmitting side to protect the signal port of the SG from an excessive power reflected from the WPT system, a 30-dB attenuator at the receiving side to attenuate the power flowing into the power sensor, a PC for acquiring data, a 3-D axis positioner, and WPT systems. The magnetic near-field probes shown in Fig. 2 were developed in-house and used to measure simultaneously both the magnitude and phase of magnetic fields. The probes consist of three rectangular loops oriented orthogonally for the measurement of the three x-, y-, and z-components of the magnetic field. The signals in the time domain received by each rectangular loop are amplified by a preamplifier and converted into digital data in a time sequence by an analog/digital converter. The magnitude and phase of the magnetic field are finally determined by the fast Fourier transform of the acquired time-sequence data. The probes are calibrated before the near-field measurement, and the correction factor for finding the amplitude of the magnetic field is calculated by the three-antenna method using a loop antenna [17]. The calibration factor of the magnetic-field probe was 10.97 μT/mV at 100 kHz. The linearity is less than 0.5 dB for the frequency range between 20 kHz and 10 MHz. The isotropic deviation is less than 0.3 dB at 100 kHz, and the dynamic range is from 0.04 to 240 A/m. A magnetic-field probe is scanned to measure the magnetic field inside the measurement area while the other magnetic-field probe is fixed at a specific location to obtain the reference phase for each measurement. The three-axis positioner is connected to the PC and controlled via a general-purpose interface bus cable. B. WPT Systems Fig. 3(a) and (b) illustrates a schematic view of WPT systems without and with ferrite tiles, respectively. The dimensions of the transmitting and receiving coils are set as follows: the inner and outer radii are a = 120 mm and b = 225 mm,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: EXPOSURE EVALUATION OF ACTUAL WPT SYSTEM

3

Fig. 4. Power transmission ratio of fabricated WPT systems without (black) and with (red) ferrite tiles.

Fig. 3. Schematic view of WPT systems (a) without and (b) with ferrite tiles. (c) Fabricated WPT system without ferrite tiles used in the measurement.

respectively, and each coil is wound in N = 44 turns. The transmitting and receiving coils are both loaded with a capacitance of C f = C L = 2.1 nF in order to tune the resonant frequencies of the system. A terminal load of R L = 50  is connected at the end port of the receiving coil. The spacing between the transmitting and receiving coils is fixed to d = 200 mm in all measurements. Fig. 3(c) shows our fabricated WPT system without ferrite tiles (TDK, IB-017). For the system with the ferrite tiles, metal plates made of aluminum with a size of 600 mm × 600 mm are placed at the back of the ferrite tiles, as shown in Fig. 3(b). The thicknesses of the ferrite tiles and metal plates are 5 and 2 mm, respectively. The spacing between coils and the ferrite tiles is 10 mm. The resonance frequencies of our fabricated WPT system without ferrite tiles are measured by using a vector network analyzer (VNA; E5071C, Agilent) as 111 and 125 kHz. Therefore, the power transmission ratio is calculated as η21 ≡

PL = |S21 |2 × 100[%] Pinc

(1)

where PL and Pinc denote the power dissipated in the load and the incident power applied to the system, respectively. Note that the power transfer efficiency of the system is calculated using the ratio of the output power to the input power [18]. In this paper, we used the incident power as the denominator in (1) instead. Fig. 4 shows the measured power transmission ratio for the WPT system without ferrite tiles, which was approximately 96% at both frequencies. Although not shown here, the numerical results of the efficiency are in good agreement with those measured by the VNA with a difference of less than 2%. The power transmission ratios of the WPT system with the ferrite tiles were measured as 79% and 85% at 80 and 97 kHz, respectively. When the WPT system without

the ferrite tiles [Fig. 3(a)] is placed close to the metal ground plane, the power transfer efficiency decreases to less than 20%, which is almost impractical for the use of WPT, whereas the power transfer efficiency is kept as high as 80% by using the ferrite tiles to shield the leakage magnetic flux. It was indicated that the resonant frequency shifted to the lower one when the ferrite tiles were attached owing to an increase in inductance in the WPT system. The currents flowing in the transmitting and receiving coils of the system with no ferrite tiles were determined numerically as 139 and 138.9 A(rms) for an input power of 1 W at 125 kHz, while those measured by a power analyzer (PA3000, Tektronix) were 138.2 and 138.9 A(rms), respectively. The difference in the simulated and measured currents was less than 1%. For the WPT system with ferrite tiles, the currents in the transmitting and receiving coils were measured as 112.8 and 128.4 A(rms), respectively, for 1-W input power at 97 kHz. In a real WPT system, the load resistance is not always 50  as used in this paper. The load may also vary depending on the state of charging. However, in such a case, we can still apply our proposed method and measurement system by monitoring the currents flowing in the transmitting and receiving coils, and recording their values at each measurement for normalization, since strength of the radiated EM fields depends on the currents. III. H UMAN -B ODY M ODELS AND E XPOSURE S ITUATIONS A. Numerical Human-Body Models Realistic human models of the Japanese adult male “TARO” developed by the National Institute of Information and Communications Technology, Tokyo, Japan, were used in numerical simulations [19]. We apply this model since it represents an average 18- to 30-year-old Japanese male. The adult male model was developed from high-resolution MRI data and comprises 51 different tissues and organs with a resolution of 2 mm. The height and weight of the model are 173 cm and 64 kg, respectively. The permittivity and conductivity of the tissues were extracted from Gabriel’s database [20]. The dielectric constants for the 51 tissues are also indicated in the Appendix. The analysis frequency is set to 125 kHz, which

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

magnetic field induces an electromotive force in accordance with Faraday’s law as   d (5) Hz |i, j,k d yd x Vz |i, j,k = −μ0 dt = − j ωμ0 Hz |i, j,k l x l y (6)

Fig. 5. Impedance network that represent a human body. Z x |i, j,k , Z y |i, j,k , and Z z |i, j,k are the impedances of the i, j, kth voxels in the x-, y-, and z-directions, respectively.

is the resonant frequency of the WPT system without ferrite tiles while it is set to 97 kHz for the system with ferrite tiles. In this paper, a new approach combining experiments and a numerical method is used. First, magnetic near-field data are measured by the near-field measurement system using the magnetic-field probes. Then, the measured magnetic fields are used as incident fields in the impedance method to derive the internal electric field strength inside the human-body model. The impedance method was first developed for calculation in 2-D space by Gandhi et al. [21] then extended to 3-D space [22]. Since the MQS approximation is valid in this frequency region, the impedance method is also applicable to this problem [10], [23]. B. Impedance Method In the impedance method, an inhomogeneous human-body model is modeled as a 3-D impedance network, as shown in Fig. 5. Each voxel is associated with dielectric constant corresponding to the location in the human-body model. An impedance is assigned at each edge of the voxel. Therefore, the impedance at each edge is represented by an average of the dielectric constants of four adjacent voxels, i.e., for an impedance along the x-direction Z x Z x |i, j,k =

1 lx j ωε0 ε˙ a |i, j,k l y l z

(2)

where ω and ε0 are the angular frequency and permittivity in free space, respectively. l x , l y , and l z are the edge lengths in the x-, y-, and z-directions, respectively. ε˙ a denotes the average complex relative permittivity, that is, ε˙ |i, j,k + ε˙ |i, j +1,k + ε˙ |i, j,k+1 + ε˙ |i, j +1,k+1 4 σ |i, j,k = εr |i, j,k + j ωε0

ε˙ a |i, j,k = ε˙ |i, j,k

(3) (4)

where εr |i, j,k and σ |i, j,k are the relative permittivity and conductivity, respectively, associated with each voxel at the location indexes i , j , and k. Impedances aligned in the y- and z-directions can be derived in a similar way. Assuming a loop current along the edge of the voxel in the x y plane; incident

where μ0 is the permeability in free space, and Hz denotes the incident magnetic field in the z-direction, which is measured using the proposed system. Note that the location of Hz is at the center of the voxel face. Since each loop current passes through four impedances and the line current through the impedance at each edge is the sum of four loop currents, in the x y plane, we obtain the following equation: Z x |i, j,k [Iz |i, j,k − Iz |i, j −1,k − I y |i, j,k + I y |i, j,k−1 ] + Z y |i, j,k [Iz |i, j,k − Iz |i−1, j,k − Ix |i, j,k + Ix |i, j,k−1 ] + Z x |i, j +1,k [Iz |i, j,k − Iz |i, j +1,k + I y |i, j +1,k − I y |i, j +1,k−1 ] + Z y |i+1, j,k [Iz |i, j,k − Iz |i+1, j,k + Ix |i+1, j,k − Ix |i+1, j,k−1 ] = Vz |i, j,k

(7)

where Ix |i, j,k , I y |i, j,k , and Iz |i, j,k are the loop current in the yz, x z, and x y planes, respectively. We can construct similar equations for the electromotive force in the x y and yz planes. After solving the system of equations by the successive overrelaxation method, we can obtain the solutions of the loop currents, and then we obtain the line currents along the edges of each voxel by adding the values of the four loop currents surrounding each edge. We can estimate the current at the center of each voxel by averaging the four line currents in each direction. Internal electric field is then determined as, for example, for the z-component E zin |i, j,k =

σ |i, j,k

Izc |i, j,k 1 + j ωε0 εr |i, j,k l x l y

(8)

where Izc |i, j,k is the current along the z-direction at the center of the voxel at the location indexes i , j , and k. Our impedance method has been validated by comparing the results obtained for a dielectric sphere with Mie’s analytical solution [24]. A comparison of the internal electric field in the Japanese male model induced by the exposure of a low-frequency magnetic field was also shown previously [25], and it was found that the relative difference in the maximum internal electric field was less than 30% for our numerical code. C. Exposure Conditions Exposure was numerically simulated by using the measured magnetic-field distribution as an incident magnetic field in the impedance method. Although the 99th percentile value is suggested for use in an international guideline [7], the 99.9th percentile electric field (E99.9) is used as a metric from the viewpoint of conservativeness since the exposure is highly nonuniform [26]. In addition, the 99th percentile electric field (E99 ) is also determined for each exposure condition. Fig. 6 illustrates the exposure condition assuming that the WPT systems are used for charging EVs and placed on a metal ground plane. The distance from the WPT systems to the measurement volume was varied from 200 to 300 mm

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: EXPOSURE EVALUATION OF ACTUAL WPT SYSTEM

5

Fig. 6. Exposure conditions for WPT coils which are placed (a) under a free-space condition without ferrites and (b) on a ground with ferrites and a metal plate as a vehicle body. Note that all measurements were carried out without a human body inside the volume.

Fig. 8. (a) Amplitude and (b) phase distributions of magnetic field measured on the yz plane at 200-mm distance from the WPT system without the ferrite tiles and those obtained by MoM for an input power of 1 W.

IV. R ESULTS AND N UMERICAL A SSESSMENT Fig. 7. Measurement of magnetic-field distribution close to WPT system on the ground with ferrites and metal plate for the exposure condition shown in Fig. 6(b).

for a free-space condition in Fig. 6(a), while the distance was varied from 235 to 400 mm due to the size of the metal plate simulating bottom of the vehicle body, as shown in Fig. 6(b). The metal plate is made of aluminum. The size of the metal plate is 1200 mm × 1200 mm. The distance from the outer edge of the coil to the edge of the metal plate is 200 mm. The input power of the system is 1 W. Fig. 7 shows the measurement of the magnetic-field distribution for the WPT system with ferrite tiles and a metal plate. The size of the measurement volume is 350 mm × 700 mm × 1800 mm to include the entire human-body model. The measurement resolution is 50 mm; thus, the total number of measurement points is 8 × 15 × 37 = 4440. The measurement time required for a measurement point (including the time needed to move the magnetic-field probe to the next measurement point and the waiting time of 2 s after the probe stops at the next measurement point) was about 9 s. Since the measurement was carried out from the z-axis (vertical line), it is also necessary to move the probe from the topmost measurement point to the bottommost position, which requires about 40 s. The total measurement time required for one measurement is 9 × 4440 + 40 × 8 × 15 = 44 760 s or 12 h 26 min. In addition, note that all measurements were performed without a human body.

To begin with, the validity of the proposed approach of combining measurement data of the magnetic near-field with the impedance method is confirmed by comparison with numerical results. A. Validation of Numerical WPT Model Fig. 8(a) and (b) shows the distributions of the amplitude and phase of the magnetic field measured and numerically obtained by the method of moments (MoMs), respectively, on the yz plane at 200-mm distance from the WPT coils for the free-space condition [see Fig. 6(a)]. It is obvious that both numerical and experimental results are in good agreement with each other. The error in the phase was larger when the magnitude of the measured magnetic fields was smaller owing to the deterioration of the signal-to-noise ratio of the system. The maximum difference in the magnitudes obtained by MoM and measurements was approximately 7.9%, proving the validity of our near-field measurement system. The difference in magnitude may be due to the fabrication error of the WPT system and the measurement uncertainty of the magnetic-field probes. The uncertainty for the probes was determined using the square root of the sum of the squares of the linearity and isotropic errors of the probe to be approximately 7% (0.58 dB), which is close to the maximum error of 7.9% in the measurement. The other cause of the error may be due to the positioning of the probe. It was also found that there are two or more peaks in the distribution

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Distribution of the induced electric field strength for adult male model for an input power of 7.7 kW. The distance from the models to the WPT system is 200 mm.

of the measured magnetic near field, which were aligned in opposite directions, i.e., with a 180° phase difference. Each peak of the magnetic field may contribute to the internal electric field in an additive or subtractive manner. Therefore, for accurate exposure evaluation, it is necessary to obtain the phase information of the magnetic near field. B. Dosimetry of WPT System Without Ferrite Tiles Next, measured or calculated magnetic fields are used as incident fields in the impedance method. As mentioned in the previous section, this two-step method is only applicable when the MQS approximation is valid. It has been shown [24] that the error of the internal electric field (maximum values for 2-mm resolution) when neglecting the incident electric field for a plane-wave exposure to a dielectric sphere is approximately 39% at 100 kHz, and the error decreases as the E/H ratio of the incident field becomes smaller. For our experiment, the incident electric fields measured with an electric field probe (FL7030, Amplifier Research Co.) were 45.7 and 41.8 V/m for the WPT systems without and with ferrite tiles, respectively, at 1-W input power, corresponding to E/H ratios of 70 and 131 . The errors in the internal electric field when neglecting the incident electric field were estimated to be less than 30% for the maximum value and 18% for the average value at 100 kHz [24]. Note also that the resolution of the human-body models is 2 mm while the measurement interval is 50 mm. Therefore, a linear interpolation of the measurement data into 2-mm resolution must be carried out before using them in numerical analyses. Computational times required for finding internal electric field distribution by using the impedance method were approximately 13 h. Fig. 9 shows the distribution of the internal electric field strength when the input power is P in = 7.7 kW under a free-space condition [see Fig. 6(a)]. The distribution when the human body was exposed from the front is also shown. The distance from the outermost extension of the WPT coils to the nearest part of the human body (the heel for exposure from the back and the toe for exposure from the front) is set as L = 200 mm. From Fig. 9, it is seen that the internal electric field strength was found to be highest at the ankle of the model when the human body was exposed from the back,

Fig. 10. Distributions of (a) magnitude and (b) phase of the magnetic-field strength for WPT coils without (left) and with (right) the ferrite tiles. The input power is normalized to 7.7 kW in the xz plane.

while the highest value is at the crotch when the human body was exposed from the front. E99.9 and E99 were derived as 2.13 and 1.40 V/m, respectively, when the human body was exposed from the back at P in = 7.7 kW. E99.9 and E99 were 1.61 and 0.80 V/m, respectively, for the exposure from the front. Therefore, the exposure from the back results in higher exposure levels, giving a conservative evaluation. These values were lower than the basic restriction of the internal electric field strength at 125 kHz, which is equal to 16.875 V/m in the ICNIRP guideline [7], whereas the magnetic-field strengths of 57 A/m was higher than the reference level (21 A/m). The results imply that the compliance with the basic restriction is mandated in order to apply an input power of 7.7 kW for this WPT system. The differences in E99.9 and E99 calculated by using the MoM-derived magnetic field and those using the measurement data were less than 20%. C. Dosimetry of WPT System With Ferrite Tiles and Metal Vehicle Body For the compliance of an actual WPT system, information on the detailed shape, type, or dimensions of WPT coils may

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: EXPOSURE EVALUATION OF ACTUAL WPT SYSTEM

7

Fig. 12. Coupling factor derived by using measured magnetic field of WPT coils without the ferrites and with the ferrites. Solid line shows numerical results using simulated magnetic field for WPT coils without the ferrites.

Fig. 11. Induced electric field strength of the adult male model and the current flowthrough a cross-sectional area for the WPT coils without and with the ferrites. Distance between the model and WPT coils is L = 235 mm.

not be provided by manufacturers. In some case, it is also difficult to accurately model an actual exposure condition, including a vehicle body, vehicle tires, and so on. Therefore, it is difficult to perform dosimetry using only a numerical approach in such cases. In this paper, the combination of measured data and the numerical approach of the impedance method are used. First, magnetic-field strengths, as well as their phases, are measured. Then, they are used as an incident field in the impedance method in the second step as in Section III-B. Fig. 10(a) and (b) shows distributions of the measured magnetic-field strength in the x z plane under a free-space condition and for the WPT system equipped with ferrite tiles, corresponding to the exposure scenarios in Fig. 6(a) and (b), respectively. The results are indicated for an input power of P in = 7.7 kW. Note that a metal plate with a size of 1200 mm × 1200 mm is placed above the ferrite tiles of the WPT system to consider the shielding effect of a vehicle body. From the results, it is obvious that the magnetic-field strength decreases to almost zero at a measurement position higher than the metal plate due to the shielding effect. It was also found that the magnetic-field strength of the WPT system equipped with the ferrites was smaller than that without the ferrite tiles and that its maximum was 28 A/m, when L = 235 mm. Even for the WPT system shielded with ferrite tiles, the magnetic-field strength exceeds the reference level. Therefore, the compliance with the basic restriction is required. Fig. 11 shows the distribution of the internal electric field strength and the z-directed current flowing through a crosssectional area of the human body for WPT coils without and with ferrite tiles, when L = 235 mm. The current for the kth cross-sectional layer of the human model can be calculated by summing the currents in all the voxels in that layer by using the following equation:  σ |i, j,k · E zin |i, j,k . (9) Ilayer (k) = l x l y i, j

The results were calculated by using the measured magnetic fields. As can be seen from Fig. 11, the internal field concentrates around the legs since only the bottom part of the models was exposed to the magnetic fields. E99.9 and E99 were 1.92 and 1.21 V/m, respectively, for the WPT systems without ferrite tiles, whereas they were 0.42 and 0.26 V/m, respectively, for the WPT system with the ferrite tiles. It is also observed that the maximum current flows at the crotch of the body for the WPT coils without the ferrite tiles, whereas the maximum appears at the mid-calf of the human leg since the magnetic-field distribution was highly nonuniform for the WPT coils with the ferrites. D. Discussion on Coupling Factor and Compliance of WPT Systems The coupling factor is a concept adapted from the IEC 62233 and 62311 standards for the evaluation of product safety due to human exposure [27], [28]. It represents the relationship between the incident magnetic field and the internal electric field strength inside a human body. For the WPT systems in the intermediate frequency band, the MQS approximation was applicable and the coupling factor is also valid in this frequency band [29]. This concept was specifically developed for low-frequency nonuniform exposure and extended to also consider the thermal effect limit by Tetsu et al. [30]. Wake et al. [31] also derived the coupling factors for various WPT systems. The coupling factors normalized with the reference level of the magnetic field and basic restrictions of the internal electric field strength are defined by the following equation [30], [31]:     in i E 99.9 Hmax / (10) αc,E = in i E limit Hlimit in i where E 99.9 and Hmax denote the 99.9th percentile value of the internal electric field strength (averaging volume of 2 mm × 2 mm × 2 mm) and the maximum spatial value of the incident magnetic-field strength in a volume occupied by a human body model, respectively. In this paper, an averaging area of 100 cm2 is applied for the calculation of the magneticfield strength in accordance with the IEC standard [27].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I D IELECTRIC C ONSTANTS OF B IOLOGICAL T ISSUES AT 125 kHz FOR A DULT M ALE M ODEL U SED IN T HIS PAPER

in i E limit and Hlimit are the basic restrictions of the internal electric field strength and the reference level of the magnetic-field strength, respectively, which are prescribed in the guidelines. The coupling factors defined in (1) can be derived from numerical simulations or experiments. When measuring the i magnetic-field strength for a practical compliance test, Hmax in (1) can be simply changed to the measured value. It is also obvious that the denominator in (1) has a constant value and the coupling factor αc,E has no unit. The advantages of using the coupling factor are that once a conservative value of this factor has been determined, the compliance test can be carried out by multiplying the spatial peak value of the magnetic-field strength by the coupling factor, and the derived values are compared directly with the reference levels. However, the drawback of the coupling factor is that its value is difficult to generalize because the size, shape, materials used, and exposure conditions for each WPT system are different. For EVs, the location of WPT systems and the exposure conditions are actually well defined. In this case, we may define a coupling factor for use in the compliance of the WPT systems for EVs. Fig. 12 shows the coupling factors determined by using the measured magnetic-field distribution for the adult human model at different distances. Also, Fig. 12 shows the coupling factors determined by using the MoM-derived magnetic-field distribution. It was demonstrated that both numerical and experimental results are in good agreement, having a difference of less than 5%. The coupling factors of the WPT coils without the ferrite are also higher than those of the WPT coils with the ferrite since the field distribution is more distributed for the case without the ferrites. In addition, it was observed that the coupling factor tends to increase when the distance from the WPT coils increases for all models. This is because the field distribution becomes more uniform when the distance increases. The maximum value of the coupling factor is 0.068, when L = 300 mm. This value was derived for the WPT system placed in free space [see Fig. 6(a)]. For the realistic exposure scenario of the WPT system with the ferrite tiles and the metal plate on the ground

plane, the maximum coupling factor was approximately 0.037, when L = 400 mm. Note also that these values are much lower than 0.33, which is the value derived by using a uniform incident field. The compliance with the reference level of the magnetic-field strength yields the maximum allowable input power (MAIP) as 1585 and 4343 W for the WPT coils without and with the ferrites, respectively, when L = 235 mm. Hence, the compliance with the reference level is not satisfied for P in = 7.7 kW. The compliance using the coupling factor can be carried out by multiplying the coupling factor by the measured magnetic-field strength and then comparing the result with the reference level. For our cases, the coupling factor at L = 235 mm was determined as 0.051. Therefore, it allows an MAIP of approximately (1/0.051)2 = 385 times or approximately 26 dB, compared with those determined by the reference level. The coupling factor for the WPT system with the ferrite tiles is 0.024, which is 2.1 times smaller than that of the WPT system without the ferrite tiles at L = 235 mm. In some cases, a WPT system is equipped with components for EM countermeasures, such as ferrites, making it difficult to model accurately in numerical simulations. By using the proposed method, we are still able to conduct accurate exposure evaluations of actual WPT systems with such WPT sources or when no information of the coil structures or details of the dimensions are provided. V. C ONCLUSION In this paper, a combined approach of measurement and numerical analysis using the impedance method was proposed to compute the internal electric field strength in an adult human model for exposure assessment. The magnetic-field strength emitted from the WPT systems was measured using our near-field measurement system with in-house-developed magnetic-field probes. It was found that both numerical and experimental results of the magnetic-field distributions were in good agreement with each other. Then, the measured field distributions were used to derive the internal electric field

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHAKAROTHAI et al.: EXPOSURE EVALUATION OF ACTUAL WPT SYSTEM

strength and the coupling factor in the human body models. The coupling factor was smaller for the WPT system with the ferrites since the magnetic-field distribution was more compact or more nonuniform owing to the shielding effect of the ferrites. In conclusion, the proposed approach is useful and practical for the exposure assessment and compliance of WPT systems operating in a low-frequency band, especially for the case that no information of the coil structures or details of the dimensions are provided. A future subject is to develop a probe-array system to reduce the measurement time. From the viewpoint of compliance, the consideration of exposure for various numerical human models is also required. Moreover, the variability when applying different human model poses and the use of different systems such as double D coils, should be determined to generalize the coupling factor for the WPT system of EVs for use in practical compliance. A PPENDIX The dielectric constants at 125 kHz used in the numerical analyses in this paper are given in Table I. The values at 97 kHz are substantially similar to those at 125 kHz; thus, they are not indicated here. ACKNOWLEDGMENT Part of the numerical results was obtained using the SX-ACE Supercomputer at Tohoku University, Sendai, Japan. The authors would also like to thank a previous graduate student, Y. Aoki, from the Tokyo University of Agriculture and Technology, Tokyo, Japan, for conducting numerical dosimetry and performing measurements. R EFERENCES [1] A. Kurs, A. Karalis, R. Moffatt, J. D. Joannopoulos, P. Fisher, and M. Soljaˇci´c, “Wireless power transfer via strongly coupled magnetic resonances,” Science, vol. 317, no. 5834, pp. 83–86, 2007. [2] N. Shinohara, “Power without wires,” IEEE Microw. Mag., vol. 12, no. 7, pp. S64–S73, Dec. 2011. [3] L. Showa. Wireless power supply. Aircraft Industry Co. Accessed: Sep. 9, 2017. [Online]. Available: http://www.showa-aircraft.co.jp/ business/products/kyuuden/ [4] S. Li and C. C. Mi, “Wireless power transfer for electric vehicle applications,” IEEE J. Emerg. Sel. Topics Power Electron., vol. 3, no. 1, pp. 4–17, Mar. 2015. [5] S. Choi, J. Huh, W. Y. Lee, S. W. Lee, and C. T. Rim, “New cross-segmented power supply rails for roadway-powered electric vehicles,” IEEE Trans. Power Electron., vol. 28, no. 12, pp. 5832–5841, Dec. 2013. [6] A. Ahlbom et al., “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (up to 300 GHz),” Health Phys., vol. 74, no. 4, pp. 494–521, Apr. 1998. [7] International Commission on Non-Ionizing Radiation Protection, “Guidelines for limiting exposure to time-varying electric and magnetic fields (1 Hz to 100 kHz),” Health Phys., vol. 99, pp. 818–836, Dec. 2010. [8] IEEE Standard for Safety Levels With Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 kHz to 300 GHz, IEEE Standard C95.1-1999, 1999. [9] IEEE Standard for Safety Levels With Respect to Human Exposure to Electromagnetic Fields, 0–3 kHz, IEEE Standard C95.6-2002, 2002. [10] I. Laakso, S. Tsuchida, A. Hirata, and Y. Kamimura, “Evaluation of SAR in a human body model due to wireless power transmission in the 10 MHz band,” Phys. Med. Biol., vol. 57, pp. 4991–5002, Jul. 2012.

9

[11] S. W. Park, K. Wake, and S. Watanabe, “Incident electric field effect and numerical dosimetry for a wireless power transfer system using magnetically coupled resonances,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3461–3469, Sep. 2013. [12] T. Sunohara, A. Hirata, I. Laakso, and T. Onishi, “Analysis of in situ electric field and specific absorption rate in human models for wireless power transfer system with induction coupling,” Phys. Med. Biol., vol. 59, pp. 3721–3735, Jun. 2014. [13] T. D. Bracken, “Assessing compliance with power-frequency magnetic-field guidelines,” Health Phys., vol. 83, pp. 409–416, Sep. 2002. [14] M. A. Stuchly and T. W. Dawson, “Human body exposure to power lines: Relation of induced quantities to external magnetic fields,” Health Phys., vol. 83, pp. 333–340, Sep. 2002. [15] J. Swanson, “A transmission utility’s experience of applying EMF exposure standards,” Health Phys., vol. 83, no. 3, pp. 417–425, 2002. [16] K. Wake et al., “Magnetic fields in intermediate frequency band generated by IH-hobs,” in Proc. 34th Annu. Meeting Bioelectromagn. Soc., vol. 91, Brisbane, QLD, Australia, 2012, p. 118. [17] M. Ishii and K. Fujii, “Loop antennna calibration methods in lowfrequency,” in Proc. Int. Symp. Electromagn. Compat. (EMC/Tokyo), Tokyo, Japan, May 2014, pp. 290–293. [18] Q. Yuan, Q. Chen, L. Li, and K. Sawaya, “Numerical analysis on transmission efficiency of evanescent resonant coupling wireless power transfer system,” IEEE Trans. Antennas Propag., vol. 58, no. 5, pp. 1751–1758, May 2010. [19] T. Nagaoka et al., “Development of realistic high-resolution wholebody voxel models of Japanese adult males and females of average height and weight, and application of models to radio-frequency electromagnetic-field dosimetry,” Phys. Med. Biol., vol. 49, pp. 1–15, Dec. 2004. [20] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: III. Parametric models for the dielectric spectrum of tissues,” Phys. Med. Biol., vol. 41, no. 11, p. 2271, 1996. [21] O. P. Gandhi, J. F. Deford, and H. Kanai, “Impedence method for calculation of power deposition patterns in magnetically induced hyperthermia,” IEEE Trans. Biomed. Eng., vol. BME-31, no. 10, pp. 644–651, Oct. 1984. [22] N. Orcutt and O. P. Gandhi, “A 3-D impedance method to calculate power deposition in biological bodies subjected to time varying magnetic fields,” IEEE Trans. Biomed. Eng., vol. BME-35, no. 8, pp. 577–583, Aug. 1988. [23] I. Laakso, T. Shimamoto, A. Hirata, and M. Feliziani, “Quasistatic approximation for exposure assessment of wireless power transfer,” IEICE Trans. Commun., vol. E98-B, no. 7, pp. 1156–1163, Jul. 2015. [24] S. W. Park, K. Wake, and S. Watanabe, “Calculation errors of the electric field induced in a human body under quasi-static approximation conditions,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2153–2160, May 2013. [25] A. Hirata et al., “Intercomparison of induced fields in Japanese male model for ELF magnetic field exposures: Effect of different computational methods and codes,” Radiat. Protection Dosimetry, vol. 138, pp. 237–244, Nov. 2010. [26] L. Ilkka and H. Akimasa, “Evaluation of the induced electric field and compliance procedure for a wireless power transfer system in an electrical vehicle,” Phys. Med. Biol., vol. 58, no. 21, p. 7583, 2013. [27] Measurement Methods for Electromagnetic Fields of Household Appliances and Similar Apparatus With Regard to Human Exposure, Standard IS/IEC 62233, IEC, Geneva, Switzerland, 2005. [28] Assessment of Electronic and Electrical Equipment Related to Human Exposure Restrictions for Electromagnetic Fields (0 Hz–300 GHz), Standard IEC62311, IEC, Geneva, Switzerland, 2007. [29] A. Hirata, I. Fumihiro, and I. Laakso, “Confirmation of quasi-static approximation in SAR evaluation for a wireless power transfer system,” Phys. Med. Biol., vol. 58, no. 17, p. N241, 2013. [30] S. Tetsu, H. Akimasa, L. Ilkka, V. De Santis, and O. Teruo, “Evaluation of nonuniform field exposures with coupling factors,” Phys. Med. Biol., vol. 60, no. 20, p. 8129, 2015. [31] K. Wake et al., “Derivation of coupling factors for different wireless power transfer systems: Inter- and intralaboratory comparison,” IEEE Trans. Electromagn. Compat., vol. 59, no. 2, pp. 677–685, Apr. 2017.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Jerdvisanop Chakarothai (S’06–M’10) received the B.E. degree in electrical and electronic engineering from Akita University, Akita, Japan, in 2003, and the M.E. and D.E. degrees in electrical and communication engineering from Tohoku University, Sendai, Japan, in 2005 and 2010, respectively. He joined the Nagoya Institute of Technology, Nagoya, Japan, in 2011, and Tokyo Metropolitan University, Tokyo, Japan, in 2013. He has been a Research Associate with Tohoku University since 2010. He is currently with the National Institute of Information and Communications Technology, Tokyo. His current research interests include computational electromagnetics (CEM) for biomedical communications and electromagnetic compatibility. Dr. Chakarothai is a member of the Institute of Electronics, Information and Communication Engineers, Japan, and the Institute of Electrical Engineers, Japan. He is also a member of the Bioelectromagnetic Society and the Applied CEM Society. He was the recipient of the 2014 Young Scientist Award from the International Scientific Radio Union. Kanako Wake (M’05) received the B.E., M.E., and D.E. degrees in electrical engineering from Tokyo Metropolitan University, Tokyo, Japan, in 1995, 1997, and 2000, respectively. She is currently with the National Institute of Information and Communications Technology, Tokyo, where she is involved in research on biomedical electromagnetic compatibility. Dr. Wake is a member of the Institute of Electronics, Information and Communication Engineers, the Institute of Electrical Engineers, Japan, and the Bioelectromagnetics Society. She was a recipient of the 1999 International Scientific Radio Union Young Scientist Award. Takuji Arima (M’04) received the M.E. and D.E. degrees in engineering from the Tokyo University of Agriculture and Technology (TUAT), Tokyo, Japan, in 1999 and 2002, respectively. He is currently an Associate Professor with the Department of Electrical and Electronics Engineering, TUAT, and also a Part-Time Researcher with the National Institute of Information and Communications Technology, Tokyo. His current research interests include computational electromagnetics and metamaterials. Dr. Arima was the recipient of the Young Scientist Award from the IEEE Antennas and Propagation Society Japan Chapter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Soichi Watanabe (S’93–M’96) received the B.E., M.E., and D.E. degrees in electrical engineering from Tokyo Metropolitan University, Tokyo, Japan, in 1991, 1993, and 1996, respectively. He is currently with the National Institute of Information and Communications Technology, Tokyo. His current research interest includes biomedical electromagnetic compatibility. Dr. Watanabe is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Electrical Engineers, Japan, and the Bioelectromagnetics Society. From 2004 to 2012, he was a member of the Standing Committee III on Physics and Engineering, International Commission on Non-Ionizing Radiation Protection (ICNIRP). He he has been a member of the main commission of ICNIRP since 2012. He was the recipient of the 1996 Young Scientist Award from the International Scientific Radio Union, the 1997 Best Paper Award from the IEICE, and the 2004 Best Paper Award (The Roberts Prize) of Physics in Medicine and Biology.

Toru Uno (M’85–SM’02) received the B.S.E.E degree from the Tokyo University of Agriculture and Technology (TUAT), Tokyo, Japan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Tohoku University, Sendai, Japan, in 1982 and 1985, respectively. He was a Research Associate with the Department of Electrical Engineering, Tohoku University, from 1985 to 1991, and an Associate Professor from 1991 to 1994. From 1998 to 1999, he was on leave from the TUAT. He was a Visiting Scholar with the Department of Electrical Engineering, Pennsylvania State University, State College, PA, USA. He is currently a Professor with the Department of Electrical and Electronics Engineering, TUAT. Dr. Uno served as the Chair of the IEEE Antennas and Propagation Society Japan Chapter from 2005 to 2006 and an Associate Editor of the IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS from 2008 to 2013. He was the Chair of the Technical Group on Antennas and Propagation, Institute of Electronics, Information and Communications Engineers (IEICE) from 2011 to 2012, and was a General Chair of the 2016 International Symposium on Antennas and Propagation. He is currently a Fellow of the IEICE. He was the recipient of the Young Scientist Award, the Distinguished Contributions Award, and the Paper Award from the IEICE in 1990, 2006, and 2007, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Planar Dipole Array Surface for Electromagnetic Energy Harvesting and Wireless Power Transfer Ahmed Z. Ashoor, Member, IEEE, Thamer S. Almoneef, Member, IEEE, and Omar M. Ramahi, Fellow, IEEE

Abstract— We present a design of an electromagnetic energy harvesting surface inspired by an array of printed metallic dipolar elements. The unit cell of the proposed harvester is based on two printed asymmetric off-center fed dipoles. As a proof of concept, a finite array of 9×3 unit cells was analyzed numerically and experimentally. The array was first analyzed for maximizing radiation to ac absorption where each dipole was terminated by a resistor across its gap. For dc conversion, the resistors were the replaced by Schottky diodes. The simulation results show radiation to ac harvesting efficiency of 99%. An overall radiation to dc harvesting efficiency of 76% was obtained experimentally, which, to the best of the authors’ knowledge, exceeds the performance of all previous energy harvesting surfaces. Another critical feature of the proposed designs is enhancing the power per diode in order to maximize its turn-ON time. Index Terms— Dipole array, electromagnetic absorber, energy harvesting, rectennas, rectification, wireless power transfer (WPT).

I. I NTRODUCTION

I

N THE early years of the 20th century, the concept of transferring power wirelessly using electromagnetic waves was first experimented by Nikola Tesla [1]. In the 1960s, Brown [1] demonstrated the concept of the far-field wireless power transfer (WPT) using radio frequency (RF) electromagnetic waves as a source of energy. Brown [1] designed a rectifying system, the rectenna, to convert microwaves to dc power and was the first to successfully demonstrate a rectenna system for wirelessly powering an aircraft. Later, Glaser [2] introduced the idea of using the concept of WPT to harvest energy from space. Space solar power is based on using solar cells in space to collect solar energy and then converting the power to microwaves for transmission to earth via highly directive antennas. Microwave energy is then received on the earth surface utilizing large rectenna farms that receive and convert the microwave energy to usable power. Electromagnetic energy harvesting and WPT refer to capturing the energy/power of electromagnetic waves arriving from a distant source using rectennas (i.e., antenna with rectifying circuitry). Many single rectennas operating in the microwave bands were developed having a radiation to dc conversion Manuscript received March 13, 2017; revised July 19, 2017; accepted August 25, 2017. (Corresponding author: Omar M. Ramahi.) A. Z. Ashoor and O. M. Ramahi are with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON N2L3G1, Canada (e-mail: [email protected]; [email protected]). T. S. Almoneef is with the Department of Electrical Engineering, Prince Sattam University, Al Kharj 16278, Saudi Arabia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2750163

efficiency reaching 80% [3]. However, the conversion efficiency for rectennas when incorporated in an array is not as high as the single rectenna case. Several researchers have designed energy harvesting arrays using primarily metallic antennas such as microstrip patches, spiral antennas, and metamaterial cells [3]–[11]. Most of these energy harvesting arrays provide either the radiation to ac efficiency or the RF to dc conversion efficiency. In 2001, planner arrays using spiral antenna were designed for harvesting ambient power with a maximum radiation to dc efficiency of 45% and 35%, respectively [4]. In recent works, metasurfaces were introduced for energy harvesting with the primary objective of maximizing the harvested energy per footprint [9]–[11]. Although the metasurface type of harvester provided high radiation to ac/dc conversion efficiency, the level of power density available at each element was low since the elements are inherently electrically small [9], [10], [12]–[14]. While maximizing the radiation to ac/dc conversion efficiency is a very important goal in designing energy harvesters as demonstrated by recent works [15], [16], an equally important consideration is maximizing the energy at the feed of all rectification diodes that are used in the harvester. Considering that a voltage threshold is required for any diode to turn ON, maximizing the power available at each of the diodes of the harvester maximizes the turn-ON time, which in turn maximizes the overall power transfer to the load. Despite the fact that different single rectenna structures have been studied in the literature using different types of antenna and rectification circuitry [17]–[20], single rectennas are only capable of capturing very low electromagnetic energy. However, more electromagnetic energy can be harvested using multiple antennas in an array form [21]. Challenges are raised as how to combine the harvested/received power from multiple array antennas/collectors in a rectenna array. Combining RF and dc power has been studied experimentally using different rectenna arrays [22]–[27]. The RF combining structure uses RF circuits to deliver all incoming RF power into a single rectifying circuit thereby increasing the overall diode efficiency. This approach is complex and may require additional layer for the RF combiner circuitry while incurring RF transmission losses. On the other hand, dc combining in which each antenna/collector has a rectifying circuit is realized by adding the rectified dc power using either a voltage or current summation or combinations of both. This approach does not require a complex RF network and it has architectural simplicity and modularity. In this paper, our goal is twofold. First, design an energy harvesting planar surface based on printed circuit board

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

technology that has high microwaves to ac/dc conversion efficiency. While most of the pervious works that show high energy harvesting efficiencies were focused on single rectennas [3], we introduce an array of rectennas that is designed to have a near unity radiation to ac conversion efficiency. Second, the design ensures that the harvester provides high power density per diode to maximize diode turn-ON time over the duration of operation. To maximize the energy density per diode, we started with harvesting elements that are not electrically small. For channeling the dc current, we introduced a channeling mechanism that places the rectification diode on the same layer of the printed dipoles. This avoids the need for an RF or dc combining circuit that requires additional layers. Finally, the proposed harvester shows less sensitivity to incident angles. II. D ESIGN M ETHODOLOGY OF THE H ARVESTER U NIT C ELL In light of our goal of increasing the power density per diode while achieving high microwaves to ac/dc conversion efficiency and using printed circuit board technology, as a starting point, we considered the simplest type of antennas, namely, printed planar dipoles. Such dipoles are appreciably larger than metasurface elements used in our earlier works and thus are expected to have equally appreciable higher power density at their input terminals. Since our interest is in large arrays (as opposed to powering electrically small devices such as medical implants), when the printed dipoles were placed in an array form, it was found that if the dipoles were fed off center and placed asymmetrically and covered with a dielectric material, a significant enhancement in the received power is observed. Specifically, it was found that the dielectric layer must be placed in the direction of the incoming wave to affect the surface impedance seen by the incoming waves. We emphasize that our findings are empirical. The evolution in these findings led to the unit cell structure shown in Fig. 1. The unit cell consists of two asymmetrical dipolar metallic elements covered with a high-permittivity dielectric superstrate. To achieve full energy absorption at the chosen frequency of 3.4 GHz, the harvester’s unit cell occupied a footprint of 18.7 mm × 38.4 mm. (We note that there was no particular reason for choosing this frequency aside from compatibility with our limited testing setup and facilities.) The covering dielectric superstrate was Rogers TMM-10i material of a thickness of t = 6.35 mm having a dielectric constant of εr = 9.8 and a loss tangent of 0.002. The dielectric superstrate was chosen for its low loss such that the absorbed energy was not dissipated within the dielectric material. The asymmetrical dipoles have a length of L = 14.7 mm, width of W = 6.80 mm, gap of g = 1 mm, and spacing between adjacent unit cells of sx = 1 mm and s y = 5 mm. The separation between the two dipoles is d = 14.8 mm. The two asymmetrical dipolar elements were initially terminated by 50- resistive loads across their gaps as illustrated in Fig. 1. The input impedance of the unit cell as seen from the gap can be controlled by varying the separation distance d between the two dipolar elements. This design feature is important for dc conversion when a diode is placed across the feeding gap.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1. Schematic showing the unit cell of the proposed dipole absorber covered with a high-permittivity dielectric superstrate.

Later, we show that the input impedance of the dipoles can be tailored by tuning d in order to match a diode that is needed for eventual dc rectification. In fact, such tuning eliminates the use of a matching network between the antenna and the diode. All the numerical simulations needed for this paper used ANSYS HFSS [28]. Since numerous simulations were required during our design development stage, for computational efficiency, a single unit cell was simulated on the assumption that it lies in an infinite periodic structure. Since an infinite array is neither practical nor the intended goal of this paper, a unit cell positioned in the middle of a large array is expected to have highly similar behavior to a unit cell placed in an infinite array. To this end, the periodic boundary condition was used to model a periodically infinite structure in the x y plane of the unit cell [29]. Master and slave boundaries were applied on the faces parallel to the wave propagation direction (−ˆz direction). The unit cell of the energy harvesting surface was illuminated by a normally incident plane wave propagating in the −ˆz direction using a Floquet port. Floquet excitation modes were used to simulate the incident wave with specular modes consisting of two orthogonally polarized plane waves propagating normally to the x y plane of the unit cell. Initially, and for the purpose of gauging the effectiveness of the absorber to absorb and channel energy to a load, lumped resistors were placed across the gaps of the two metallic elements. These resistive loads will be replaced later by a rectification circuit having an input impedance matched to the unit cell’s impedance at the maximum power absorption frequency. The radiation to ac/dc absorption efficiency describes the ability of an absorber to capture the energy per footprint area [9]. What we refer to in this paper as a radiation to ac efficiency is the efficiency of the harvester to transfer the total power incident on a specific area to available power at the feed (i.e., where a resistive load or rectifying circuitry is placed, referred to as the load location in Fig. 1). The radiation to ac conversion efficiency is calculated by calculating the footprint (surface area) in square meters. The radiation to ac conversion efficiency of an energy harvester occupying a specific footprint is then described as ηRad−ac = Pout /Pin

(1)

where Pin is the total time-average power incident on the footprint, and Pout is the available time-average ac power received by the harvesters’ collectors (i.e., where a resistive

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ASHOOR et al.: PLANAR DIPOLE ARRAY SURFACE FOR ELECTROMAGNETIC ENERGY HARVESTING AND WPT

3

Fig. 3. Schematic showing the unit cell of the proposed energy harvesting surface showing the connectors used for dc channeling.

Fig. 2. Simulated radiation to ac conversion efficiency for the unit cell when the structure is illuminated by a plane wave traveling in the −ˆz direction. The dipoles are terminated with 50- loads.

load or a diode rectifying circuity is placed) and is given by Pout =

N 

Vi2 /Ri

(2)

n=1

where Vi is the voltage across the resistance of the ith collector, Ri , and N is the total number of collectors. This radiation to ac efficiency definition is indicative of the ability of the harvester to utilize the available electromagnetic energy incident on a given area and the ability of the harvester or its collectors to deliver the absorbed energy to resistive loads. This definition provides a measure of how efficient the absorber, occupying a specific footprint, in converting the available incident microwave power to RF energy. The simulated radiation to ac power conversion efficiency of the surface (assuming infinite periodicity) is shown in Fig. 2. Based purely on empirical findings, the asymmetrical dipoles were found to give higher harvesting efficiency than symmetrical dipoles with center feeding or off-center feeding. In fact, the asymmetrical case provided more than 40% increase in efficiency compared with the other cases. The design of the unit cell presented shows a very high radiation to ac conversion efficiency approaching unity at a frequency very close to the design frequency of 3.4 GHz. If harvesting at a different frequency is desired, the design parameters such as the dipoles length and width, superstrate material and thickness, and separation distance between the dipole can all be adjusted accordingly. It is important to realize that the near-unity radiation to ac conversion efficiency achieved here used energy harvesting elements having dimensions appreciably larger than the metamaterial elements used in earlier works [9], [12]. The significance of such an achievement is primarily due to reducing the requirement for the number of rectification diodes per footprint, which implies higher power density per diode. This in turn is expected to maximize diode turn-ON time and thus higher overall efficiency in practical applications where the incident field power density cannot be predicted in advance. For radiation to dc conversion, the input impedances of the dipoles need to be analyzed. Lumped excitation ports were placed at the asymmetrical dipolar elements’ terminals (i.e., replacing the lumped loads used to determine the

radiation to ac conversion efficiency) in order to calculate the input impedances of the dipoles. The Floquet port in this case was replaced by a radiation boundary on top of the structure. For a fixed size unit cell of the proposed absorber, the input impedance appeared at the dipolar elements’ terminals can be controlled by changing the interspacing between the asymmetrical dipoles. An HSMS-2860 Schottky diode was considered in this paper. The diode has an input impedance of Z d = 184 − j 45  when terminated with a load of 300  at a frequency of 3.4 GHz (the input impedance was obtained from its model [30].) DC channeling can be accomplished by connecting a diode directly across each dipole terminals and routing the resulting dc currents through copper planar wires placed on the same plane of the dipoles or through vias to a different layer. The first option introduces additional wiring that can potentially alter the absorption effectiveness of the unit cell, whereas the latter option requires the fabrication of vias and an additional metallization layer, both of which add appreciable fabrication cost. Instead, we introduced small connectors to the unit cell as shown in Fig. 3. Naturally, the dc connectors altered the topology of the unit cell and the dipoles; however, their input impedance was slightly affected. In fact, the dc connectors essentially increased the size of the dipoles. Thus, to maintain maximum absorption around the frequency of interest (3.4 GHz), the unit cell dimensions and the spacing between the asymmetrical dipolar elements were modified to achieve the desired input impedance, which is the conjugate of the diode’s impedance. The dimensions of the modified unit cell became the following: L = 8.35 mm, W = 6.80 mm, v = 2 mm, g = 1 mm, d = 10.79 mm, sx = 1 mm, and s y = 7 mm. The size of the unit cell became 12.35 mm × 38.39 mm. The thickness of the superstrate was kept unchanged at t = 6.35 mm. To verify the surface performance of the modified design (with dc connectors), the unit cell dipoles were terminated by lumped elements having the conjugate impedance of the dipoles. The radiation to ac power conversion efficiency for the unit cell with dc connectors is shown in Fig. 4 when the absorber was illuminated by a plane wave traveling in the −ˆz direction. In some applications, the harvesting surface is placed above a conducting surface. Therefore, we tested the proposed surface when backed by a perfect electrically conducting (PEC) surface. A low-permittivity substrate separated a PEC surface from the metalized layer of the harvester as shown

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 4. Simulated radiation to ac power conversion efficiency for the modified design with dc connectors (infinite periodic array) when illuminated by a plane wave traveling in the −ˆz direction. The dipoles were terminated with 184–j45 .

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Schematic showing the proposed planar dipole array energy absorber covered with a dielectric superstrate under illumination by a transmitting horn antenna.

Fig. 5. Schematic of the side view for the dielectric and PEC backed harvester.

Fig. 8. Simulated radiation to ac absorption for the finite array when the structure is illuminated by a plane wave traveling in the −ˆz direction.

ac efficiency for the finite array is shown in Fig. 8 when it was illuminated by a plane wave traveling in the −ˆz direction. The total available absorbed power is given by Fig. 6. Simulated radiation to ac power conversion efficiency for the finite array when backed by a low-permittivity dielectric layer and PEC plane.

in Fig. 5. Two different grounded substrate thicknesses of t1 = 1.575 and 3.175 mm of Rogers 5880 material having a dielectric constant of εr = 2.2 were tested. Fig. 6 shows numerical simulation of the radiation to ac efficiency. The grounded low-permittivity substrate is observed to provide a wider frequency absorption range. Next, we analyze the behavior of a finite array. The numerical setup is shown in Fig. 7 where a horn antenna is used in the simulation to provide a physically realistic plane wave as opposed to the plane wave feature available in HFSS. A finite size array consisting of 9 × 3 unit cells in the x y plane using a Rogers TMM-10i superstrate was numerically simulated. The dipoles were all terminated by their conjugate input impedance (recall that the unit cell was designed such that the input impedances of the dipoles were the conjugate of the input impedance of the Schottky diode at 3.4 GHz and when terminated with 300 ). The entire array size was 111.2 mm × 115.2 mm. The simulated radiation to

Pout =

N 

(n)

pout

(3)

n=1 (n) where N is the number of elements in the array and pout is the received power from each array element. Fig. 9 shows the simulated surface current on the absorber’s conducting elements and the magnitude of the electric field on the absorber’s bottom layer. Both the current and electric field were taken at the maximum absorption frequency 3.4 GHz with incidence plane wave propagating in the −ˆz direction. Of course, since the array is not infinite, we observed some nonuniformity in the current and field distributions. Interestingly, however, we observed significantly higher current density through the dc connectors in comparison with the impedance loads. To visualize the physical response of the absorbers to the plane wave excitation, the time-averaged Poynting vector of the total field is shown in Fig. 10 at 3.4 GHz when the structure is illuminated by a plane wave traveling in the −ˆz direction. Fig. 10 clearly shows the channeling of the power of the incident plane wave as it propagates closer to the surface

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ASHOOR et al.: PLANAR DIPOLE ARRAY SURFACE FOR ELECTROMAGNETIC ENERGY HARVESTING AND WPT

5

Fig. 11. Fabricated bottom view of the planar dipole absorbers covered by high-permittivity superstrate made of Rogers TMM-10i material.

Fig. 9. (a) Surface current distribution on the dipole elements and (b) electric field magnitude on the bottom layer at the maximum absorption frequency of 3.4 GHz when illuminated by an plane wave incident in the −ˆz direction. The surface current highest intensity (red) corresponds to 7 × 10−2 A/m and the lowest intensity (blue) corresponds to 8 × 10−5 A/m. The electric field highest intensity (red) corresponds to 12 V/m and the lowest intensity (blue) corresponds to 1.25 × 10−2 V/m.

of 9 × 3 made with the TMM-10i superstrate. The surface was illuminated by a broadband commercially available horn antenna (0.7–18-GHz frequency range with a maximum gain of 14.71 dBi) placed at a distance of 1 m for a plane wave illumination. The broadband horn antenna was excited by a Keysight signal generator through with a 42-dB gain power amplifier to investigate the required power level that would allow the diode to operate at its maximum efficiency. The experiments were carried out in an anechoic chamber for maximum accuracy. Also, the impact of the horn antenna gain level over the testing frequency was carefully considered in calculating the power provided by the horn antenna. The cable loss connecting the horn antenna to the signal generator was also measured over the testing frequency. The following formula was then employed to calculate the power provided by the horn antenna at the absorber plane Pin : Pin = A × Pt

(4)

where P G t ( f ). (5) 4π R 2 A is the harvester surface area (footprint), Pt is the radiated power density generated by the horn at the harvester surface plane in the absence of the harvester, and P is the power fed to the transmitting horn’s input terminal. G t ( f ) is the gain of the horn antenna as a function of frequency and R is the distance between the horn antenna and the array. The available power at the absorber plane, Pin , was then calculated from which the overall power absorption of the array can be obtained using (1). The nonlinear performance of the rectifying diodes will have an effect on the absorber rectifying/absorption performance for various dc connections topologies (i.e., series or parallel connection of the absorber’s elements), loads, incident power, and frequencies [31], [32]. Therefore, a balanced topology between series and parallel connections was considered for the dc measurements where each two columns of the array elements in the x-axis ˆ were connected in series and then connected in parallel. A schematic representing the chosen dc channeling topology is shown in Fig. 12. The fabricated planar dipole array using Rogers TMM-10i shown in Fig. 11 was illuminated by the horn antenna in the −ˆz direction (refer to Fig. 7 for the illumination directions) where the array absorber was experientially tested in an anechoic chamber as shown in Fig. 13. The load impedance Pt =

Fig. 10. Poynting vector of the total incident field at a maximum absorption frequency of 3.4 GHz when the structure is illuminated by an incident plane wave traveling in the −ˆz direction. The highest intensity (red) corresponds to 2×10−3 W/m2 and the lowest intensity (blue) corresponds to 8×10−5 W/m2 .

and eventually into the impedance loads. Note that despite the absorber surface not being homogeneous, not in the macroscopic sense but rather not being composed of periodic arrangement of electrically small cells, as in metasruface type absorbers, practically all the energy is channeled into the loads. The significance of this physical insight is that one does not need an absorbing surface with uniform surface impedance matched to free space to achieve full energy absorption. III. E XPERIMENTAL V ERIFICATION The planar array absorber was fabricated using the above simulated array’s specifications. Fig. 11 shows an array

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Schematic showing the dc current channeling configuration adopted for the harvesting array.

Fig. 14. Measurement dc absorption as a function of (a) load impedance and (b) available power at the absorber plane Pin when the structure is illuminated by a horn antenna in the −ˆz direction. Fig. 13. Absorber under illumination by a broadband horn antenna for dc measurements.

and power at the receiving end were swept at the highest absorption frequency of 3.4 GHz. The purpose of those sweeps was to find the optimal dc load and input power that will deliver maximum power across the load. Fig. 14 shows that the harvesting surface achieves maximum power across the load when illuminated by a power level of 27 mW (i.e., the available power at the absorber plane, Pin ) with a load of 2 k for −ˆz illumination. This optimal load value was in fact expected, which is the result of connecting 18 dipolar elements (two columns of the array elements along the x-axis) ˆ of the harvesting array with 300- termination loads in series and then connected in parallel as illustrated in Fig. 12. The dc absorption efficiency for the illumination in the −ˆz direction was then recorded at multiple frequency points at which the absorber had maximum power across the load (i.e., with the optimal obtained input power and load). This is given in Fig. 15. The absorber achieved a radiation to dc conversion efficiency of 76%. Finally, the absorber was tested for capturing the incoming radiation at different angles of incidence. Fig. 16 shows the measurement results for different angels of illumination. The simulated radiation to dc absorption ηRad−dc efficiency given in Figs. 15 and 16 was calculated as follows: ηRad−dc = ηRad−ac × ηac−dc (6)

Fig. 15. Efficiency of the measured and simulated radiation to dc absorption of the dipole array harvester as a function of frequency when the structure is illuminated by a horn antenna in the −ˆz direction.

where ηRad−ac is the harvester radiation to ac efficiency and ηac−dc is efficiency of the rectifier over the tested frequency range 2–4 GHz, with a 300- termination load. The discrepancy between the simulated and measured results shown in Figs. 15 and 16 is mainly due to the nonlinearity of the diode. Given that the diode’s turn-ON voltages are intrinsically dependent on the operating frequency, level of power, and the load connected to the circuit, the diode’s input impedance was determined only at 3.4 GHz while terminated with a 300- resistor such that the energy harvesting structure was optimally designed to be matched with the diodes only at 3.4 GHz with a load termination of 300 . Therefore,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ASHOOR et al.: PLANAR DIPOLE ARRAY SURFACE FOR ELECTROMAGNETIC ENERGY HARVESTING AND WPT

7

ACKNOWLEDGMENT The authors would like to thank the Saudi Arabian Ministry of Education, Prince Sattam University, and the Natural Sciences and Engineering Research Council, Canada, for the support. R EFERENCES

Fig. 16. Efficiency of the measured and simulated radiation to dc absorption of the dipole array harvester as a function of different incident illuminations when E-field polarized in the (+ x) ˆ direction. (Note: the normal incident illumination is in the (−ˆz ) direction.)

mismatching between the diode impedance and the array elements’ impedance is expected over frequencies different from 3.4 GHz. Consequently, determining the behavior of the harvester outside a narrow range of frequencies around 3.4 GHz can only be accurately determined via measurements as simulation provides only a crude approximation outside this narrow range. IV. C ONCLUSION We have presented a surface for electromagnetic energy harvesting using unit cells consisting of two asymmetrical dipolar elements covered with a high-permittivity dielectric superstrate. The energy harvesting surface was tested for its potential to absorb and channel energy by placing a resistive load across the gaps of the dipoles. The dimensions of the dipoles were optimized in order to provide maximum power transfer to a rectification diode. The design essentially evolved from a simple printed center-fed dipole without any dielectric material to off-center fed dipoles with a dielectric layer placed in the direction of the incoming energy. We introduced conducting elements to effectively turn the planar dipoles themselves into a dc channeling network. An overall radiation to dc power conversion efficiency of 76% was obtained experimentally for normal incidence. We note that, to the best of our knowledge, the radiation to dc conversion efficiency achieved by our energy harvesting surface is higher than what was achieved using all previous surfaces reported in the literature including metasurfaces and planar antenna arrays [15], [16]. This paper showed that near-unity absorption of incoming electromagnetic energy can be made possible without the use of metasurfaces. In metasurface technology, the surface impedance of the metasurface is designed to be equal to that of free space. The surface proposed here achieved near-unity absorption (for ac) without the need to meet such a constraint. Unlike metasurface technology, the advantage of having fewer absorbing elements is to maximized energy density per diode, which in turn maximizes diode turn-ON time and overall efficiency.

[1] W. C. Brown, “The history of power transmission by radio waves,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 9, pp. 1230–1242, Sep. 1984. [2] P. E. Glaser, “An overview of the solar power satellite option,” IEEE Trans. Microw. Theory Techn., vol. 40, no. 6, pp. 1230–1238, Jun. 1992. [3] C. R. Valenta and G. D. Durgin, “Harvesting wireless power: Survey of energy-harvester conversion efficiency in far-field, wireless power transfer systems,” IEEE Microw. Mag., vol. 15, no. 4, pp. 108–120, Jun. 2014. [4] B. Strassner and K. Chang, “Microwave power transmission: Historical milestones and system components,” Proc. IEEE, vol. 101, no. 6, pp. 1379–1396, Jun. 2013. [5] A. Harb, “Energy harvesting: State-of-the-art,” Renew. Energy, vol. 36, no. 10, pp. 2641–2654, 2011. [6] J. A. Paradiso and T. Starner, “Energy scavenging for mobile and wireless electronics,” IEEE Pervasive Comput., vol. 4, no. 1, pp. 18–27, Jan./Mar. 2005. [7] L. Ukkonen, L. Sydanheimo, and M. Kivikoski, “Effects of metallic plate size on the performance of microstrip patch-type tag antennas for passive RFID,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 410–413, 2005. [8] H. Jabbar, Y. S. Song, and T. T. Jeong, “RF energy harvesting system and circuits for charging of mobile devices,” IEEE Trans. Consum. Electron., vol. 56, no. 1, pp. 247–253, Feb. 2010. [9] O. M. Ramahi, T. S. Almoneef, M. AlShareef, and M. S. Boybay, “Metamaterial particles for electromagnetic energy harvesting,” Appl. Phys. Lett., vol. 101, no. 17, 2012, Art. no. 173903. [10] B. Alavikia, T. S. Almoneef, and O. M. Ramahi, “Electromagnetic energy harvesting using complementary split-ring resonators,” Appl. Phys. Lett., vol. 104, no. 16, 2014, Art. no. 163903. [11] M. R. AlShareef and O. M. Ramahi, “Electrically small particles combining even- and odd-mode currents for microwave energy harvesting,” Appl. Phys. Lett., vol. 104, no. 25, 2014, Art. no. 253906. [12] T. S. Almoneef and O. M. Ramahi, “Metamaterial electromagnetic energy harvester with near unity efficiency,” Appl. Phys. Lett., vol. 106, no. 15, 2015, Art. no. 153902. [13] M. El Badawe and O. M. Ramahi, “Polarization independent metasurface energy harvester,” in Proc. 17th Annu. IEEE Wireless Microw. Technol. Conf., Apr. 2016, pp. 1–3. [14] M. El Badawe, T. S. Almoneef, and O. M. Ramahi, “A metasurface for conversion of electromagnetic radiation to DC,” AIP Adv., vol. 7, no. 3, 2017, Art. no. 035112. [15] F. Erkmen, T. S. Almoneef, and O. M. Ramahi, “Electromagnetic energy harvesting using full-wave rectification,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 5, pp. 1843–1851, May 2017. [16] P. Xu, S.-Y. Wang, and W. Geyi, “Design of an effective energy receiving adapter for microwave wireless power transmission application,” AIP Adv., vol. 6, no. 10, 2016, Art. no. 105010. [17] E. Falkenstein, M. Roberg, and Z. Popovic, “Low-power wireless power delivery,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 7, pp. 2277–2286, Jul. 2012. [18] M. Arrawatia, M. S. Baghini, and G. Kumar, “Differential microstrip antenna for RF energy harvesting,” IEEE Trans. Antennas Propag., vol. 63, no. 4, pp. 1581–1588, Apr. 2015. [19] S. Ladan, N. Ghassemi, A. Ghiotto, and K. Wu, “Highly efficient compact rectenna for wireless energy harvesting application,” IEEE Microw. Mag., vol. 14, no. 1, pp. 117–122, Jan. 2013. [20] C. Song, Y. Huang, J. Zhou, J. Zhang, S. Yuan, and P. Carter, “A high-efficiency broadband rectenna for ambient wireless energy harvesting,” IEEE Trans. Antennas Propag., vol. 63, no. 8, pp. 3486–3495, Aug. 2015. [21] U. Olgun, C.-C. Chen, and J. L. Volakis, “Investigation of rectenna array configurations for enhanced RF power harvesting,” IEEE Antennas Wireless Propag. Lett., vol. 10, pp. 262–265, 2011. [22] Z. Popovic et al., “Scalable RF energy harvesting,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 4, pp. 1046–1056, Apr. 2014.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

[23] F. Xie, G. M. Yang, and W. Geyi, “Optimal design of an antenna array for energy harvesting,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 155–158, 2013. [24] J. Zbitou, M. Latrach, and S. Toutain, “Hybrid rectenna and monolithic integrated zero-bias microwave rectifier,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 147–152, Jan. 2006. [25] J. A. Hagerty, F. B. Helmbrecht, W. H. McCalpin, R. Zane, and Z. B. Popovic, “Recycling ambient microwave energy with broad-band rectenna arrays,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 1014–1024, Mar. 2004. [26] H. Sun, Y.-X. Guo, M. He, and Z. Zhong, “A dual-band rectenna using broadband Yagi antenna array for ambient RF power harvesting,” IEEE Antennas Wireless Propag. Lett., vol. 12, pp. 918–921, 2013. [27] Y.-J. Ren and K. Chang, “5.8-GHz circularly polarized dual-diode rectenna and rectenna array for microwave power transmission,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 4, pp. 1495–1502, Jun. 2006. [28] ANSYS HFSS Version 16.0.0, Ansys Inc. Accessed: Jul. 18, 2017. [Online]. Available: http://www.ansys.com [29] ANSYS HFSS. (1970). Workshop 9–1: Unit Cell Analysis (Infinite Array). Accessed: Jul. 18, 2017. [Online]. Available: https://www.scribd. com/document/320371134/Ansys-Hfss-Antenna-w09-1-Unit-Cell [30] J. O. McSpadden, L. Fan, and K. Chang, “Design and experiments of a high-conversion-efficiency 5.8-GHz rectenna,” IEEE Trans. Microw. Theory Techn., vol. 46, no. 12, pp. 2053–2060, Dec. 1998. [31] R. J. Gutmann and J. M. Borrego, “Power combining in an array of microwave power rectifiers,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 12, pp. 958–968, Dec. 1979. [32] N. Shinohara and H. Matsumoto, “Dependence of dc output of a rectenna array on the method of interconnection of its array elements,” Elect. Eng. Jpn., vol. 125, no. 1, pp. 9–17, 1998. Ahmed Z. Ashoor (GS’12–M’12) was born in Ras Tanura, Saudi Arabia, in 1981. He received the B.S. degree in physics from King Saud University, Riyadh, Saudi Arabia, in 2002, and the M.A.Sc. degree in electronics and computer engineering from the University of Waterloo, Waterloo, ON, Canada, in 2012, where he is currently pursuing the Ph.D. degree in electrical and computer engineering. He was an Intern with the Inspection Department/Nondestructive Testing, Saudi Aramco Oil Company, Dhahran, Saudi Arabia. In 2003, he joined the Al-Ahsa College of Technology, al-Mubarraz, Saudi Arabia, and Al-Dammam College of Technology, Dammam, Saudi Arabia, as a Trainer/Instructor, where he taught a first-year physics course. In 2004, he joined industry as an NDT Engineer and Radiation Safety Officer (RSO), where he was an RSO supporting various inspection activities on different projects at the Saudi ARAMCO facilities. His current research interests include radiographic, ultrasound, electromagnetic, visual, eddy current, magnetic flux leakage, infrared testing, electromagnetic energy harvesting, wireless power transfer, and nanoantenna and metamaterial.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Thamer S. Almoneef (GS’10–M’12) received the B.S. degree in electrical and computer engineering from Dalhousie University, Halifax, NS, Canada, in 2009, and the M.A.Sc. and Ph.D. degrees in electrical and computer engineering from the University of Waterloo, Waterloo, ON, Canada, in 2012 and 2017, respectively. In 2012, he joined Prince Sattam University, Al-Kharj, Saudi Arabia, as a Lecturer, where he is currently an Assistant Professor with the Department of Electrical and Computer Engineering. He has authored or co-authored more than 20 refereed journals and conference papers. His current research interests include antenna theory, metamaterials and its wide range applications, metamaterial absorbers, electrically small resonators, rectennas, electromagnetic energy harvesting, and renewable energy. Dr. Almoneef was the recipient of a Scholarship from Prince Sattam University for his Ph.D. study.

Omar M. Ramahi (F’09) was born in Jerusalem, Palestine. He received the B.S. (Highest Hons.) degrees in mathematics and electrical and computer engineering from Oregon State University, Corvallis, OR, USA, and the Ph.D. degree in electrical and computer engineering from the University of Illinois at Urbana–Champaign, Champaign, IL, USA. He was with Digital Equipment Corporation (presently, HP), Maynard, MA, USA, where he was a member of the Alpha Server Product Development Group. In 2000, he joined the faculty of the James Clark School of Engineering, University of Maryland at College Park, College Park, MD, USA, as an Assistant Professor and later as a Tenured Associate Professor. He was a faculty member with the CALCE Electronic Products and Systems Center, College Park, MD, USA. He is currently a Professor with the Electrical and Computer Engineering Department, University of Waterloo, Waterloo, ON, Canada. He has authored or co-authored over 380 journal and conference technical papers on topics related to the electromagnetic phenomena and computational techniques to understand the same. He coauthored EMI/EMC Computational Modeling Handbook [Kluwer, 1998 (1st edition), Springer-Verlag, 2001 (2nd edition), 2005 (Japan edition)]. Prof. Ramahi was a recipient of the 2004 University of Maryland Pi Tau Sigma Purple Cam Shaft Award. He was the recipient of the Excellent Paper Award of the 2004 International Symposium on Electromagnetic Compatibility, Sendai, Japan, and the 2010 University of Waterloo Award for Excellence in Graduate Supervision. In 2012, he was a recipient of the IEEE Electromagnetic Compatibility Society Technical Achievement Award.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A 40-nm CMOS Complex Permittivity Sensing Pixel for Material Characterization at Microwave Frequencies Gerasimos Vlachogiannakis , Student Member, IEEE, Michiel A. P. Pertijs, Senior Member, IEEE, Marco Spirito, Member, IEEE, and Leo C. N. de Vreede, Senior Member, IEEE Abstract— A compact sensing pixel for the determination of the localized complex permittivity at microwave frequencies is proposed. Implemented in the 40-nm CMOS, the architecture comprises a square patch, interfaced to the material-undertest sample, that provides permittivity-dependent admittance. The patch admittance is read out by embedding the patch in a double-balanced, RF-driven Wheatstone bridge. The bridge is cascaded by a linear, low-intermediate frequency switching downconversion mixer, and is driven by a square wave that allows simultaneous characterization of multiple harmonics, thus increasing measurement speed and extending the frequency range of operation. In order to allow complex permittivity measurement, a calibration procedure has been developed for the sensor. Measurement results of liquids show good agreement with theoretical values, and the measured relative permittivity resolution is better than 0.3 over a 0.1–10-GHz range. The proposed implementation features a measurement speed of 1 ms and occupies an active area of 0.15×0.3 mm2 , allowing for future compact arrays of multiple sensors that facilitate 2-D dielectric imaging based on permittivity contrast. Index Terms— Biomedical sensors, bridge circuits, complex permittivity measurement, integrated microwave circuits, microwave sensors.

I. I NTRODUCTION

B

ROADBAND dielectric spectroscopy at microwave frequencies has been identified as a promising tool for a large number of applications, ranging from the agricultural, food, and automotive industry to the biomedical domain [1]–[8]. This method relies on the fact that the dielectric footprint of various materials of interest, i.e., their complex permittivity across frequency, varies in conjunction with a parameter that needs to be detected or quantified. To highlight a few examples, in agriculture, the complex permittivity of fruits and vegetables has been correlated with changes in temperature, water, and inorganic material content [1]–[3], while in the automotive industry, it is the preferred method for oil and fuel quality inspection [4], [5]. On the biomedical side of the application spectrum, examples include

Manuscript received March 15, 2017; revised August 1, 2017; accepted September 2, 2017. This work was supported by the Dutch Technology Foundation (STW/NWO) under Project INFORMER 13010. (Corresponding author: Gerasimos Vlachogiannakis.) The authors are with the Department of Electrical Engineering, Mathematics and Computer Science, Delft University of Technology, 2628CD Delft, The Netherlands (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2753228

blood glucose monitoring [6] and ex vivo or in vivo cancer detection and assessment [8], [9]. The latter application is supported by measurements on bulk animal and human tissue, suggesting that the permittivity of cancer tissue can vary by up to 20% compared with healthy tissue [10], [11]. Despite the promising potential suggested by these studies, conventional microwave permittivity measurement techniques, used to acquire the aforementioned literature data, employ expensive and bulky equipment, such as vector network analyzers (VNAs) and probe or cavity sensors interfaced to the material under test (MUT) [12], [13]. These setups are not suitable for most practical application scenarios, such as outdoor, remote-location measurements, and point-of-care medical testing. Moreover, their high cost hinders potential wider adoption of the technology. Miniaturization of sensors and measurement systems is, therefore, essential in order to leverage the true potential of microwave permittivity sensing in real-life applications. Moreover, miniaturized sensors can facilitate new applications that deviate from the bulk-level measurement regime, such as the unexplored area of 2-D sensor arrays for permittivity contrast measurement and visualization at microwave frequencies. Such imaging functionality can prove useful in a variety of applications such as the following: 1) label-free, in vivo cancer visualization as an assisting tool in removal surgery [14]; 2) food and flower quality inspection for early detection of storage disorders (e.g., browning, skin spots, and so on); 3) evaluation of drug penetration through the skin; 4) nondestructive film coating testing in industry. A differentiation should be made at this point between microwave permittivity sensors and low-frequency permittivity/impedance sensors, operating below 100 MHz. For the latter, arrayed implementations have already been implemented successfully [15], [16]. Nevertheless, motivation to move toward broadband microwave frequency implementations still exists for two main reasons: 1) in order to achieve better penetration in the MUT and 2) to employ the higher redundancy implicit in acquiring a permittivity data set, which is more complete and flexible in the frequency domain. Such redundancy is directly linked to increased sensitivity and specificity in biomedical applications. To enable such imaging systems, focus has to be put on a fast readout, with acceptable resolution to fulfill the application requirement, as well as the overall size of the sensor and

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

its signal conditioning circuitry, since this will determine its scalability in a dense array toward a fine spatial resolution. Efforts toward miniaturization of microwave permittivity sensing systems have been mainly concentrated toward CMOS implementations because of the ultimate form factor that CMOS offers. Several microwave CMOS implementations during the last years have demonstrated accurate permittivity readout [17]–[24]. Oscillator-based approaches exist, which are very narrowband, area-consuming, and limited to measurement of the real part of permittivity, and thus are not suitable for the implementation of a broadband permittivity sensing pixel [17], [19], [22], [24]. Several other implementations achieve an operation frequency range of at least a decade by employing broadband downconversion [18], [20], [21], [23] or wide-band PLL-based architectures [22]. However, since they are not meant for imaging applications, little optimization and analysis have been done on the readout speed, resulting in potentially long measurement times. Moreover, the active area still remains quite large if implementation of a sub-mmresolution imager is targeted. In the following sections, we detail an integrated complex permittivity sensor, suitable for use as an imaging pixel, which was prototyped in 40-nm CMOS and occupies sub-mm2 area while achieving fast readout. The proposed sensor, briefly presented in [25], features a single-ended patch sensing element, embedded in a fully differential double-balanced RF-driven impedance bridge. A multiharmonic measurement scheme is employed to extend the frequency range and increase the effective measurement speed. In this paper, we analyze the utilized sensing element in depth, and develop a calibration procedure, based on the analysis of the RF bridge. Moreover, the noise sources that contribute to the system resolution limit are identified and their contribution is quantified. Additional measurement data are complementing the preliminary results reported in [25] that demonstrated the ability to measure material complex permittivity. Independent measurements with the sensing pixel loaded by a probe that offers a known termination are used to validate the bridge transfer characteristic, while the statistical data of material measurements have been collected to evaluate the permittivity resolution of the sensor when the fundamental, third, and fifth harmonic are measured. This paper is organized as follows. Section II analyzes the basic principles behind the system architecture, including the near-field patch sensor, the RF impedance bridge it is embedded in, as well as the multiharmonic intermediate frequency (IF) downconversion readout concept. Section III describes the physical implementation of the permittivitysensing system in a 40-nm CMOS technology. In Section IV, a calibration procedure for the developed sensor is given, and the resulting accuracy and resolution are discussed. Experimental results are presented in Section V. Finally, the conclusions are drawn in Section VI. II. S YSTEM A RCHITECTURE To address the aforementioned application scenarios, it is desirable that the sensor features the following qualities: 1) broadband operation that allows flexibility in choice of frequency;

Fig. 1. 3-D depiction of (a) differential and (b) single-ended patch sensing element.

2) complex material permittivity detection, i.e., ability to detect both real and imaginary part of the permittivity; 3) suitability for embedding in a 2-D array for permittivity contrast imaging, implying small size and fast readout. The proposed architecture consists of a near-field patch sensor, an RF-driven impedance bridge in a double-balanced configuration, and a multiharmonic, IF downconversion scheme. A. Near-Field Sensor The sensing element translates the relative permittivity of the material, expressed as a frequency-dependent complex number   (ω) =   (ω) − j   (ω), into a lumped equivalent complex admittance that can be read out by subsequent circuitry. Previously reported CMOS permittivity sensors typically employ differential capacitive sensing elements, similar to the one shown in Fig. 1(a), implemented on the top metal of the CMOS metal stack, with a passivation opening for direct contact to the MUT [17], [19]–[22]. These sensor types provide convenient access to both terminals [P+ and P− in Fig. 1(a)] and are directly compatible with fully differential readout chains. However, due to their planar configuration, the electric field is mainly concentrated in the vicinity of the sensor surface, i.e., the surface-MUT interface. On the contrary, the electric field lines of a single-ended metal patch sensor, portrayed in Fig. 1(b), penetrate deeper in the MUT, thus allowing sensing further from the sensor-MUT interface. To demonstrate this, EM simulations were carried out to determine the electric field as a function of vertical distance from the sensor surface, using a commercial 3-D EM simulation tool (Keysight EMPro). The two simulated sensors occupy an area of 100 × 100 μm2 , and a distance of 10 μm between fingers was chosen for the differential sensor. A typical 40-nm CMOS metal stack was considered, and the EM simulation was carried out at 1 GHz, in a worst case scenario where the sensor is interfaced to air (  = 1 − j 0). As seen in the simulation results in Fig. 2, a much steeper decay of the electric field is evident in the case of the differential sensor. At a distance of 300 μm, the electric field magnitude is approximately 100 dB lower than the maximum strength, whereas for the patch sensor, this reduction is in the order of 70 dB, a difference of 30 dB. A patch sensor is, therefore, less sensitive to potential air gaps, since a smaller portion of the field is concentrated at the interface. This property is desired in solid or semirigid

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VLACHOGIANNAKIS et al.: 40-nm CMOS COMPLEX PERMITTIVITY SENSING PIXEL FOR MATERIAL CHARACTERIZATION

3

TABLE I PARAMETERS OF L INEAR - TO -Y M ODEL

Fig. 2. EM simulation of normalized electric field magnitude versus vertical distance from the sensor interface for two types of sensors both occupying the same 100 × 100 μm2 area: a single-ended patch and a differential capacitor with 10 μm between fingers.

expected to represent a lossy capacitor of which the reactive and resistive behavior will strongly depend on the real and imaginary parts of the MUT permittivity, respectively. Hence, the admittance Y P at the patch node can be expressed as a parallel combination of a material-dependent admittance YMUT ≈ G MUT (  ) + j ωCMUT (  ) and the baseline admittance Y0 = j ωC0 , yielding Y P = Y0 + YMUT . In order to quantify the permittivity-to-admittance behavior of the patch, a 3-D model of a 100 × 100-μm2 patch on a realistic representation of the available 40-nm CMOS stack, in direct contact with an MUT, was simulated versus varying   and   . The solid lines in Fig. 3(b) show the capacitance and the conductance of node P versus   and   , for different values of   and   , respectively, at a simulation frequency of 1 GHz. An explicit relation of capacitance to   and conductance to   exists that can be linearly approximated by Y P (  ,   , ω) ≈ αi · ω ·   + j ω · (C0 + αr   )

Fig. 3. (a) Cross section of utilized patch sensing element and (b) equivalent patch capacitance and conductance from EM simulations (solid lines) and RFM model (dots) for various values of   and   at f = 1 GHz.

material measurements (e.g., biological tissue), but also in applications when a permittivity contrast measurement deeper in the MUT is targeted. Although the patch sensor is expected to provide a poorer isolation to neighboring pixels, the fact that it is not inherently bound to differential sensing also allows the use of more advanced driving schemes where multiple patches are used to inspect a sample. Examples of such schemes include multiphase patch excitation, selective differential sensing between different sensors, and bootstrapping, i.e., driving neighboring pixels without reading them in order to cancel capacitive cross coupling [26]. Based on the above, the patch configuration was favored as a sensing element in this implementation. Fig. 3(a) shows the cross section of the a square patch sensor implemented on the top metal of a generic CMOS stack. When the patch is in contact with air, the patch node P is loaded by the parallel-plate capacitance C0 , formed between the top metal and the ground plane. When interfaced to an MUT, the load will change depending on the MUT complex permittivity. Since permittivity relates to electric energy storage and loss (  and   , respectively), the sensing element is

(1)

where αr and αi are real parameters. Note that the ω contribution in the real part of the admittance results from the fact that the conductivity of the material is given by σ = ω  [27]. Table I summarizes the parameters of the model in (1) extracted after least square fitting with the EM-simulated curves. Although the linear model is simple, intuitive, and useful for preliminary analysis, it is clear from the simulated results of Fig. 3(b) that G MUT and CMUT also vary with   and   , respectively, an effect not captured by (1). For the purpose of calibration, a rational function model (RFM), fit from EM simulations, can be used to arrive to an analytical model, a methodology widely used in permittivity measurements performed with open-ended coaxial probes [13], [28], [29] √ p N  P n  n=1 p=1 αnp (  ) ( j ωa)  Y P ( , ω) ≈ j ωC0 + √  M Q 1 + m=1 q=1 βmq (   )q ( j ωa)m (2) where a is a scaling parameter, set equal to the patch dimension, and αnp and βmq are N × P and M × Q real model parameters, respectively. In order to find the parameters, (2) is fit with parametric EM simulations across   ,   , and frequency. A fit model with N = P = M = Q = 4 is deemed sufficient, since it already achieves 1% maximum deviation from simulations over a 0.1–10-GHz frequency range. B. RF Impedance Bridge Following the established analytical -to-Y model for the patch, a method of reading out the admittance is required.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

admittance, i.e., G L  G 0 and B L  B0 , (3) denotes that the output varies linearly with the measured load admittance YL . (4) 4Y0 This approximation, however, can result in large errors in the estimation of Y L . A more generic result that accounts for any value of measured load is possible, irrespective of how much it unbalances the bridge and without requiring any approximations. Indeed, assuming that Y L = 0, inverting (3) results in   1 4Y0 1 2+ . (5) = v b,o v in YL v b,o ≈ v in ·

Substituting for Y0 and Y L yields   1 1  = (2 + 4G 0 · G Lw + 4B0 · B Lw ) v b,o v in and

 

Fig. 4. Balanced impedance bridge, driven at RF frequency by a driver, with annotated signals and noise sources contributing to the total noise at the output of the bridge.

A Wheatstone bridge [30], [31] is a widely adopted method of measuring or sensing electrical impedance, since it offers a quantification of impedance variation relative to a constant baseline value, such as C0 in the case of the patch sensor. At RF frequencies, impedance bridges have been widely used in the broadband vector network analysis as directional detection elements, as an alternative or complementary to bidirectional couplers [32]. In this section, an alternative analysis of the ac-driven Wheatstone bridge with complex branch loads is presented. A mathematical manipulation of the bridge equation is performed to extract useful information for the calibration of the sensor. This analysis is later verified by the measurements of various known RF impedances in a probed measurement environment. Moreover, the bridge output noise is calculated to extract information about the minimum detection limit. 1) Bridge Analysis: Consider the RF impedance bridge shown in Fig. 4 with branch admittances Y0 and the load measurand Y L deviating from a baseline admittance Y0 . The bridge is excited at a given frequency ω with a signal of amplitude v in , through bridge driver that amplifies a signal v i of the same frequency. The differential output voltage of the bridge can be found after straightforward circuit analysis v b,o = v b,o+ − v b,o− = v in ·

YL 4Y0 + 2Y L

(3)

where Y L = G L + j B L and Y0 = G 0 + j B0 are the generic complex representation of the admittances. A common approximation is that, for small variations of the measured load

1 v b,o

 =

4 (B0 · G Lw − G 0 · B Lw ) v in

(6)

(7)

where G Lw : = G L /|Y L |2 and B Lw : = B L /|Y L |2 are defined as the weighted load conductance and susceptance values, respectively. Therefore, irrespective of deviation of Y L from Y0 , the real and imaginary parts of the inverse bridge differential output are the linear combinations of the weighted load conductance and susceptance. Formulating the bridge behavior as in (6) and (7) allows to present a linear relation between an output quantity (inverse of output) to the input quantity (weighted conductance and susceptance). In this manner, an intuitive calibration procedure can be obtained that is closer to the bridge operation, rather than utilizing the high-order polynomial fitting [18], [20], [21]. The calibration procedure is described in detail in Section IV-A. 2) Bridge Noise: In order to calculate the noise at the output of the bridge, we can break it down into three uncorrelated components shown in Fig. 4: thermal noise generated by the bridge resistive elements (v th,n ), flicker, shot, and thermal noise generated by any internal active elements driving the bridge (v dr,n ), and input noise to the bridge driver originating from the RF signal generator, either external or internal (v gen,n ). By applying superposition, the contribution of each component to the output noise can be analyzed. The total noise is thus the mean-square sum of these three components: 2 2 2 v n,o 2 = v th,n,bo + v dr,n,o + v gen,n,o . The thermal noise power at the differential output of the bridge is given by   ω+ω/2  1 2 v th,n,o = 4kT  · dω (8) 4Y0 + Y L ω−ω/2  ω+ω/2 4G 0 + G L · dω = 4kT 2 2 (4G + G 0 L ) + (4B0 + B L ) ω−ω/2 (9) where ω is the observation bandwidth. Since the complex permittivity is translated to conductance and capacitance, the bridge susceptance will essentially be that of a capacitance,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VLACHOGIANNAKIS et al.: 40-nm CMOS COMPLEX PERMITTIVITY SENSING PIXEL FOR MATERIAL CHARACTERIZATION

Fig. 5. Thermal and external noise contributions to the bridge output noise versus bridge differential output voltage for different levels of IPN of the external source.

i.e., B = ωC. In addition, the observation bandwidth is typically much smaller than the frequency of interest (ω  ω), and thus, we can safely neglect the frequency variation of the integrated quantity   4G 0 + G L 2 v th,n,o ≈ 4kT ω. (10) (4G 0 + G L )2 + ω2 (4C0 + C L )2 As will be analyzed in Section III-C, a clipping buffer is used as the bridge driver. Assuming a quiet power supply, the contribution of noise from the bridge driver is in the form of cyclostationary phase-modulated (PM) noise that results from upconversion of thermal and flicker noise to the frequency of operation [33]. This noise will be scaled by the bridge similar to the bridge drive signal v in and can, therefore, be expressed as a function of the single-sideband (SSB) phase noise of the driver, L dr , and the differential output (v b,o ) of the bridge  ω 2 2 2 v dr,n,o =2 10 L dr (ω)/10 · v b,o · dω = IPNdr ·v b,o (11) 0

where IPNdr is the integrated phase noise (IPN) of the driver up to the measurement bandwidth ω. Similarly for the external generator noise, any amplitude-modulated component is suppressed by the buffer, but the PM noise will be propagated to the bridge through a phase noise transfer of unity, since any timing variation in the input of the switching buffer will be transferred directly to its output. As a consequence, the contribution of the generator noise to the output of the bridge can be expressed, identically to (11), as 2 2 v gen,n,o = IPNgen · v b,o

(12)

where IPNgen is the double sideband IPN of the generator within the measurement bandwidth ω. Notice from (11) and (12) that the noise components related to the bridge drive are proportional to the output power, which suggests that the more balanced the bridge is, the less the external noise contribution to the output. These contributions can be grouped together into what we can call external noise contributions. Fig. 5 shows how the two noise contributions (thermal and external) will vary versus the bridge output voltage. The total noise power, being the mean-square sum of the two, is dominated by the external sources when the bridge is unbalanced and is limited by the thermal noise level when the bridge is close to balanced state. The transition point between the two dominant noise regimes is denoted as

5

v b,o,t in Fig. 5 and is closer to the balanced state for an external source with higher IPN. In practice, the total noise is in many cases dominated by the external sources, since the phase noise levels of buffers and generators are much higher than the thermal noise level of the bridge, even for small bridge output voltages. As an example, consider a realistic case of the RF bridge as in Fig. 4, with G = 1 mS, C = 100 fF, G L = 0.01 mS, and C L = 1 fF (1% imbalance), driven at 1 GHz (ω = 2π · 1 G · rad/s) with an amplitude of v in = 1 V and read out at an observation time of 1 ms (ω = 2π · 1 k · rad/s). According to (3) and (10), the signal output of the bridge is v b,o = 2.5 mV, and the thermal noise power at the output 2 = 1.489 · 10−15 V2 . For an external source (driver is v th,n,o or generator) to contribute the same level of noise at the bridge output, a required IPN of −85.2 dBc is calculated from (11) or (12), which corresponds roughly to an SSB phase noise of −118 dBc/Hz over all frequency offsets below 1 kHz. This performance is at the boundary of what is achievable by the state-of-the-art frequency synthesizers at this frequency of operation [34], [35]. 3) Double-Balanced, Fully Differential Bridge: The single RF impedance bridge of Fig. 4, analyzed till this point, suffers from a large common-mode signal at its output. In order to achieve the highest sensitivity to load changes, (3) suggests that the drive amplitude voltage |v in | should be maximized. In a CMOS implementation, where the bridge is actively driven by MOS transistors, this maximum amplitude is in the order of the nominal supply (VDD). Moreover, the highest sensitivity is achieved when all branch nominal admittances are equal (Y0 ). Under these assumptions, the worst case common-mode signal v b,CM at the differential output of the bridge is half the supply voltage (peak-to-peak), on top of a useful differential signal v b,o , orders of magnitude smaller, as illustrated in the single-driven topology of Fig. 6(a). Such a large common-mode voltage poses a stringent requirement to the common-mode rejection ratio (CMRR) of the readout chain and compromises the linearity of the active circuitry following the bridge. An antiphase drive of each branch of the bridge, as shown in Fig. 6(b), can mitigate this problem, since the baseline signals, having a phase difference of 180°, will cancel out when combined at the output of the bridge, preferably capacitively to additionally achieve dc blocking. However, this results in a single-ended output of the bridge, and the benefits of a fully differential readout chain cannot be employed. Moreover, if the two drive signals are not exactly 180° out-of-phase, a phase mismatch signal (v b,M M ) will appear in the output of the bridge. This cannot be treated as a constant offset when this phase mismatch is load-dependent due to the limited driving capability of the bridge driver. A double-balanced configuration, shown in Fig. 6(c), uses an antiphase driven copy of the bridge (without the load connection). Capacitively combining the four bridge nodes (A to A and B to B , respectively) results in a differential output. Additionally, any signal caused by phase mismatch of the bridge drive turns into a common-mode signal, which is much smaller than VDD/2 and can easily be rejected in a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

Fig. 6. Evolution of single-driven, single-ended impedance bridge toward a fully differential double-balanced topology. v b,CM denotes for common-mode signal, while v b,M M is the signal caused by the phase mismatch between the two out-of-phase driving sinusoids. (a) Single. (b) Differential single-balanced. (c) Differential double-balanced.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

odd harmonics of LO, as shown in Fig. 7. Situated 2 f IF apart, these harmonics can be isolated and analyzed, enabling the characterization of the load at higher frequencies than the highest achieved by the fundamental drive, and at more than one frequency point at the same time. Since the amplitude of the higher order odd harmonics in the square wave reduces by at least 1/n compared with the fundamental order, where n is the harmonic, a lower sensitivity is expected at these higher harmonics. Nevertheless, useful information can still be acquired, contributing to the previously mentioned goal of redundancy. In addition to the baseband products of the mixing process, cross mixing can create content close to the even harmonics of f RF (e.g., 3 f RF − f LO ). Careful design of the mixer and LO signal is required to minimize self-mixing with the odd harmonics of LO, which will fall within the useful signal frequency f IF . In general, a fully differential chain with layout matching techniques can minimize the second-order harmonic content and nonlinearities. III. C IRCUIT D ESIGN In this section, we discuss the specific implementation and integrated circuit design of the permittivity sensor based on the previously reported architecture. The three circuit blocks comprising the sensor is the RF bridge, the downconversion mixer, and the bridge and LO drivers that provide the square wave for multiharmonic operation. A. Double-Balanced Fully Differential Bridge Design

Fig. 7. Block-level diagram of the multiharmonic IF downconversion architecture with annotated signals and their frequency-domain representation (inset).

fully differential chain. Nevertheless, using a double-balanced bridge configuration instead of a single one comes at the price of doubling both the area and the noise power as well as an increased power consumption needed for driving the bridge because the loading of the drivers is increased. C. Multiharmonic Downconversion The RF output of the bridge needs to be downconverted from the characterization frequency f RF to a convenient IF in order to be digitized and further analyzed. To achieve this, the bridge is connected to a downconversion mixer, as shown in Fig. 7, in which the output signal of the bridge is mixed with an LO signal at fLO , generating an output signal v IF , which is an exact replica of v b,o at f IF  f RF , assuming a perfectly linear mixing operation. A switching mixer with square-wave LO drive is preferred, as it can achieve a higher conversion efficiency than a smallsignal equivalent [36], [37]. As a result, the LO signal also contains odd higher order harmonics of the fundamental f LO . At the same time, it is convenient to apply a square drive to the bridge, in order to maximize its drive amplitude (signals DRIVE+ and DRIVE− in Fig. 7). Therefore, the bridge is driven at multiple odd harmonics, which will be downconverted to odd harmonics of f IF , after being mixed with the

The implemented sensing element is a square 100 × 100 μm2 -patch implemented in the top ultrathick metal of the CMOS stack (M7), with a nitride opening for direct interfacing with an MUT or used for probing. The patch also utilizes patterned thick metal layer (M6) connected to ultrathick through a large via, respecting all stress-related DRC rules for probing. This structure is EM-simulated in order to generate the RFM model of Fig. 3(b), discussed in Section II-A, which is later used for calibration of the system. Fig. 8 shows the schematic of the implemented bridge in which the sensor patch is embedded, implementing the fully differential double balanced architecture discussed in Section II-B3 with some additions for reconfigurability and practical considerations that will be discussed further. As seen in Fig. 8, the main part of the branch admittance is a capacitor Cb . In order to accommodate wide capacitive load variations and experimentally investigate the behavior of the bridge at various imbalanced states, Cb is implemented as a parallel combination of eight switchable capacitors. Each of these comprises a capacitor C1 of roughly 100 f F, in series with a 10-μm/40-nm CMOS switch. The capacitor bank is controlled by a unitary weighted 8-b digital signal b. Due to the finite quality factor of the capacitor and the equivalent ON/ OFF resistance of the switch, we can model the switched capacitor as an equivalent conductance in parallel with a capacitance, with varying values versus frequency during the ON- and OFF-state. Fig. 9 shows the simulated ON / OFF parallel conductance and capacitance versus frequency

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VLACHOGIANNAKIS et al.: 40-nm CMOS COMPLEX PERMITTIVITY SENSING PIXEL FOR MATERIAL CHARACTERIZATION

7

Fig. 8. Schematic of the implemented fully differential double-balanced bridge and 3-D view of patch implementation on two top metals of the 40-nm CMOS technology.

Fig. 9. Equivalent parallel capacitance and conductance of switched capacitor at ON and OFF states.

for the switched capacitor (postlayout extraction). The simulated ON-capacitance and conductance vary versus frequency from 130 to 100 fF and from 0.01 to 0.2 mS/GHz, respectively, while the OFF-capacitance and conductance are from 30 to 12 fF and 0.01 to 0.06 mS/GHz, respectively. At each frequency, the total branch capacitance and admittance depend on the number of ON capacitors, determined by the value of b as: Yb = b × YON + (8 − b) × YOFF . A proper value of b can be used to bring the branch admittance to a value, such that the balanced state falls close to the range of loads measured. For example, for the permittivity range of simulations in Fig. 3(b), we expect a load variation of 60–300 fF and 0–0.8 ms/GHz for patch capacitance and conductance, respectively. A value of b between 0 and 3 can fall within this range. A 1.2-k discharge resistor Rd is placed between the bridge middle nodes ( A, A , B, B  ) and ground in order to ensure a dc discharge path that sets the dc bias condition for the proper operation of the nMOS switches. The value of the resistor is a tradeoff between size consideration and minimum voltage drop due to bridge loading. Similarly, the four 25-fF combining capacitors Cc are of the same order of magnitude as the input capacitance of the mixer, for optimum voltage division. As suggested by (3), the output of the bridge is proportional to the amplitude of the drive signal v in . Since this value

depends on the supply voltage, it is desirable to decouple the system output from the bridge drive amplitude. In addition, in order to gain information of both capacitance and conductance, we need to acquire both the real and imaginary parts of the bridge output. Therefore, an amplitude and phase measurement of the bridge output is required. For the phase measurement to be consistent, a reference phase also needs to be measured. This is required in order to determine the relative phase variation at the output of the bridge, caused only by the patch load variation. A relative amplitude and phase measurement can be achieved without the introduction of any additional active circuitry, by disconnecting the bridge from the patch and connecting it to a fixed on-chip capacitance C f ≈ 100 fF, during a continuous-time measurement, through a series nMOS switch, as shown in Fig. 8. This switch operates in its linear region, because the discharge resistor Rd sets its dc bias point to zero, and the maximum voltage swing across the switch (350 mV in the presence of resistor Rd and parasitics to ground) is well below the simulated 1-dB compression point of 760 mV below 5 GHz. A digital control signal denoted as lc in Fig. 8 that controls the connection of the bridge to either the patch sensor the fixed capacitor C f , is used to acquire a continuous measurement trace containing the both outputs of the bridge during these two load-connection cases. The acquired signal is downconverted and digitized, and the two separate outputs are isolated in the digital domain by synchronization to the control signal lc. The fast Fourier transform (FFT) of the two outputs is then calculated and divided in order to acquire a consistent relative phase difference and amplitude ratio, which only depend on the relation between the fixed and the measured load. Note, however, that this solution does not eliminate the short-term variations of the bridge drive voltage that happen independently during the measurement of the two load-connection cases, as these variations are uncorrelated to each other. B. Downconversion Mixer Fig. 10 shows the schematic of the downconversion mixer connected to the bridge to perform a frequency translation of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Current-mode downconversion mixer schematic consisting of a transconductance stage and a current-mode switch quad.

the RF bridge output to IF. The topology implements a currentmode switching mixer that achieves low 1/ f noise operation and high linearity [38]. The transistors Q 1 and Q 2 , along with resistors R L , form a differential transconductance (gm ) stage. If the value of R L is large enough, most of the drain current of the transistors will be transferred to the output, converting the bridge output voltage (v RF+ , v RF− ) to a differential current (i RF+ , i RF− ). The transistor Q s sets the bias current, which is generally limited for two main reasons: 1) the large resistor value limits headroom of Q 1 and Q 2 , which is required for good linearity and 2) Q s needs to be small in order to minimize its parasitic drain capacitance that deteriorates the CMRR and the second-order nonlinearity. On the contrary, a higher bias current results in a larger amplification and, hence, a better noise performance. As a tradeoff, a bias current of 700 μA was chosen to achieve a transistor gm of 5 mA/V. The output current of the gm stage is fed to a CMOS switching quad that performs the mixing action. Capacitive coupling is used to prevent dc current through the CMOS switches, which is a source of flicker noise and nonlinearity [38]. An optimum switch size can be found, since a large size reduces the ON-switch resistance (and thus the insertion loss) but increases the parasitic capacitance to ground and the loading to the LO driver. In order to convert the downconverted signal current back to voltage and perform digitization of the waveforms using an A/D converter (ADC), a low-noise external transimpedance amplifier is used, which converts the current to voltage through a 10-k resistance and amplifies this voltage with a variable 0–40-dB gain. Fig. 11 shows the simulated conversion gain and noise figure of the mixer when terminated with an external 10-k resistance and driven by an input port with impedance equal to that of the bridge. To investigate the multiharmonic operation, the gain and the noise of the LO third- and fifth-harmonic components are also simulated. Due to the 1/n reduction in the LO amplitude, the conversion gain of the third and fifth harmonics is expected to be 9.5 and 14 dB lower than the first harmonic, respectively. This trend is seen at frequencies above 1 GHz while below that the first and third harmonics experience a larger loss in the RF path due to the capacitive coupling at the bridge-mixer and gm -quad connections. A 20-dB/decade gain roll-off is observed above 1 GHz. The noise figure is 7.5 dB at 2 GHz and stays below 10 dB in the GHz range. Below that, it increases

Fig. 11. Simulated conversion gain (top) and noise figure (bottom) versus of downconversion mixer with an IF of 150 kHz for three LO harmonics.

Fig. 12.

Schematic of the bridge and mixer drivers.

rapidly to 22 dB because of the signal loss at the bridge output capacitor Cc . As expected, the noise figure of the thirdand fifth-harmonic downconversion processes deteriorates by at least as much as the conversion gain deterioration. C. Square-Wave Drivers The bridge and LO drivers share the same topology that utilizes inverter amplifiers to achieve a square-wave rail-torail output. Shown in Fig. 12, the driver consists of a selfbiased inverter that sets the dc voltage of the input waveform to the desired mid-rail value by proper choice of the nMOS and pMOS size. Two complementary copies of the input are created, and a series of increasingly larger cross-coupled inverters further amplify the signal and ensure rise–fall edge alignment, thus minimizing phase imbalance. Optimization of the inverters’ transistor size ratio allows to minimize rise– fall mismatch that creates a common-mode voltage at the output of the bridge. In general, steeper edges (i.e., larger sized transistors and higher power consumption) minimize rise–fall mismatch across PVT variations. In fact, the simulated typical common-mode output on the bridge, caused by the driver at a 1.1-V supply, is 5 mV, while the worst case (fast-n/slow-p, VDD = 1 V) was simulated to be 20 mV, which poses no risk for the linearity of the gm stage, as would be the case with a large common mode caused by the use of a single bridge. Finally, the simulated IPN of the bridge driver, which contributes to the bridge output noise, is between −92 dBc at

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. VLACHOGIANNAKIS et al.: 40-nm CMOS COMPLEX PERMITTIVITY SENSING PIXEL FOR MATERIAL CHARACTERIZATION

1 GHz and −81 dBc at 5 GHz, for an integration bandwidth of 0.01–1 kHz. IV. S YSTEM C ALIBRATION , ACCURACY, AND R ESOLUTION A. Calibration As discussed in Section II-B1 and summarized in (6) and (7), the real and imaginary parts of the inverse bridge differential output are the linear combinations of the weighted load conductance and susceptance. This result allows us to perform a linear fitting procedure for calibration. The benefit of such an approach is that it theoretically requires a minimum number of two known loads, if no systematic or random errors are induced by the calibration materials or measurement noise, although practically more points help average out such errors. In any case, a linear output expression alleviates any error induced from approximating (3) to a Taylor polynomial expansion of a certain order, bounded by the available number of calibration materials. Equations (6) and (7) hold true with the assumption that the bridge is perfectly balanced to the baseline load admittance, i.e., in the middle of the measured load range. In practice, however, due to the asymmetric nature of the patch node ( A in Fig. 8) to the rest of the bridge, and the finite quality factor of the switched branch capacitors, it is quite impractical to accurately ensure such a condition. A generic approach would be to assume that Y A = Yb + YOFF + Y L , where YOFF = G OFF + j ωC OFF indicates how much load should be added at the patch node, so that the bridge is balanced to the baseline load admittance. Being a fictional admittance, YOFF can assume both positive and negative values. The unbalance of the bridge can be defined as Y = YOFF + Y L . Assuming a linear behavior of the circuitry following the bridge, we can use the result of (6) and (7) and formulate the calibration equations about the measured chip output quantity out:   1 = K R + K G R · G w + K C R · Cw (13)  out and   1  = K I + K G I · G w + K C I · Cw (14) out where G w = G/|Y |2 , Cw = C/|Y |2 the unbalance weighted loads, and K R , K G R , K C R , K I , K G I , and K C I are real-valued numbers, further referred to as the K coefficients. A calibration operation would consist of the estimation of these coefficients as well as YOFF . Provided they are available, the sensor load Y L can be estimated by observing the respective chip output outm . More specifically, by solving the system of (13) and (14), the measured weighted load values are acquired Kˆ C I ({1/outm } − Kˆ R ) − Kˆ C R ({1/outm }− Kˆ I ) Kˆ C I Kˆ G R − Kˆ C R Kˆ G I (15) ˆ ˆ ˆ ˆ K G I ({1/outm } − K R ) − K G R ({1/outm }− K I ) = . Kˆ C I Kˆ G R − Kˆ C R Kˆ G I (16)

G w,m =

G w,m

9

From the definition of the weighted loads, we get G m =

G w,m 2 + ω2 Cw,m

(17)

Cw,m 2 G 2w,m + ω2 Cw,m

(18)

G 2w,m

and Cm =

from which, the measured load is calculated as Yˆ L ,m = Ym − YˆOFF . Although the approximate values of YOFF and the K coefficients can be estimated during the design process, their exact value remains unknown due to fabrication tolerances and modeling or simulation inaccuracies. In order to determine these values, a calibration procedure can be defined as follows. 1) Measure the sensor output at a set of known load values YL,cal . 2) Search for the combination of K coefficients and YOFF that achieve the best linear fit of C L ,m and G L ,m versus inverse output, according to (13) and (14), using the adjusted R 2 as a goodness-of-fit merit figure. 3) Store the combination of YOFF and K coefficients corresponding as the calibration parameters of the chip. Note that the calibration coefficients are frequency-specific, since both Y and YOFF are frequency-dependent (see Fig. 9). Moreover, even with the presence of mismatch of the branch admittances of the bridge, the calibration procedure still holds, because there always exists a YOFF , such that linear equations (13) and (14) still hold true. Therefore, minimizing mismatch during the design procedure is not a strict requirement, if YOFF is found through a search algorithm. B. Accuracy and Resolution A distinction should be made at this point between the accuracy and the resolution of the sensor. The accuracy of the permittivity measurement indicates its difference to the actual permittivity of the MUT, and it is affected by the temperature variation, the accuracy of reference liquids, and the accuracy of the assigned -to-Y transfer characteristic. Absolute accuracy is crucial for instrumentation applications, such as material characterization. In this paper, we make use of the tabulated permittivity values that originate from Debye models and that are accurate within 1% [39], [40]. Combined with the fact that no precise temperature is measured or imposed upon the MUT, the accuracy of the calibration procedure is expected to be at best within the same order. For the intended application of imaging, which requires contrast detection, we are rather interested in the measurement resolution, which relates to the minimum detectable permittivity variation and is directly linked to the noise levels at the output. Since the readout of the real and imaginary parts of the bridge output is done by measuring amplitude and phase, we need to link the resolution of the amplitude and phase readout to the noise level, and, from that, assess the expected system resolution. Let v IF = |v IF | · e− j φIF be the single-ended, amplified, and digitized voltage output of the chip. Assuming that the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

A/D conversion quantization noise is far below the signal noise, we can relate the minimum variance bound of the amplitude and phase, acquired by the FFT of v IF , to its signalto-noise ratio (SNR), through the Cramér–Rao bound [41] 2 var{|vˆIF |} ≥ v n,IF 2π var{φˆ IF } ≥ SNRIF

(19) (20)

2 where v n,IF is the noise at the system output. Using the 2 /(F · v 2 ), and the condefinitions for SNR, SNRIF = v b,o n,bo version gain CG = v IF /v b,o , and using, as explained in 2 2 + v2 = IPN · v b,o Section II-B2, that v n,bo th,n,o , we acquire

 2 2 var |vˆIF | ≥ IPN · F · v IF + CG · F · v th,n,o

2 v th,n,o φˆ IF var ≈ IPN · F ≥ IPN · F + 2 2π v b,o

Fig. 13. Simulated   and   resolution versus permittivity for the chip model for f = 1 GHz, b = 2,  f = 1 kHz, I P N = −90 dBc, NF = 7.5 dB, and CG = 30 dB (off-chip amplification included).

(21) (22)

where F = 10NF/10 the system noise factor. Since a ratiometric measurement is carried out by dividing two chip output voltages (the output due to the measured load and the fixed capacitor output), we can infer, by propagation of uncertainty calculations, that the variance of the measured ratio signal out is 2 ˆ ≥ 2 · IPN · F · out2 + 2 · CG · F · v th,n,o var{|out|}

φˆ out ≥ 2 · IPN · F. var 2π

(23) (24)

As expected, a larger external IPN and a system noise factor (F) incur a more noisy readout of both amplitude and phase. Moreover, an unbalanced bridge negatively affects only the variance of the signal amplitude while the phase stays, to a first-order approximation, unaffected, and only depends on the input noise and the noise performance of the readout circuitry. The variance of the measured amplitude and phase propagates to the real and imaginary parts and, through (1), (6), and (7), to a variance of the load (G and C) and permittivity, respectively. We can thus come to the conclusion that the optimal permittivity resolution of both the real and imaginary parts occurs when the bridge is perfectly balanced to the measured admittance. Indeed, as derived in (3) and (4), a balanced bridge has the highest Y L -to-output sensitivity (equal to 4Y0 /v in ). Moreover, the measured output variance is also minimized to the thermal noise level at balance, as predicted from (23). In order to evaluate the achievable permittivity resolution and confirm the optimum operating conditions, a perturbation analysis is carried out on the equations that govern the designed system [(3) multiplied by the system gain] using parameter values provided by the circuit-level simulations. A complex permittivity sweep is performed, and the calculated output amplitude and phase of the chip are superimposed by the random noise predicted by (23) and (24), respectively. Then, the calibration procedure is performed to evaluate the standard deviation of the permittivity and, hence, the resolution. The result of this procedure is surfaces such as the

Fig. 14. Simulated   (top) and   (bottom) resolution versus real part of permittivity, at different bridge capacitance settings for f = 1 GHz,  f = 1 kHz, IPN = −90 dBc, NF = 7.5 dB, and CG = 30 dB (off-chip amplification included).

ones in Fig. 13 for the simulated resolution of the real and imaginary parts of permittivity. For the specific bridge capacitance setting of b = 1 (approx. 260-fF branch capacitance and 42-μS conductance) and frequency of f = 1 GHz, there is a certain complex permittivity value that balances the bridge best, thus offering best resolution. As such, the complex permittivity resolution contains local minima at   ≈ 20.5 and   ≈ 19.5. Fig. 14 shows the simulated permittivity resolution versus MUT permittivity for various values of the branch capacitance setting b at 1 GHz. At this frequency, the best permittivity resolution is expected, since the noise figure and the external IPN of the used RF generator (Keysight E8257D) are minimum. By choosing the proper control value b, an absolute permittivity resolution of 97%) at 1.608 GHz would be delivered to the RF-dc converter which generates a dc voltage of 1.5 V. And then the low dropout voltage regulator is adopted to provide a supply voltage of 1.2 V for the rest of the building blocks. Biomedical signals would be first digitalized by the ADC and then the output would be transformed from 11-b parallel output to a single serial output. The DCU would deliver proper timing signals to control the operation of the system. Based on the injection-locked technique, a low-phasenoise carrier at 402 MHz can be generated by an injectionlocked frequency divider (ILFD) for data transmission by using a small amount of the 1.608-GHz RF input as the injection signal. Finally, the carrier and data are combined through OOK modulation scheme which is performed by using the data to modulate the ON/ OFF operation of the PA. III. C IRCUIT D ESIGN A. RF-DC Converter A RF-dc converter is used to convert the RF energy of the 1.608-GHz signal into the required dc power of the whole chip. As shown in Fig. 2, the RF-dc converter is constructed from three rectifiers in cascade, where each rectifier is based on a cross-coupled differential configuration in a bridge structure [14]. Consider the first rectifier: the dc component of the voltage waveform V X and VY in steady-state condition which can be regarded as the common-mode voltage is generated by the rectification operation and equals half of the output dc voltage of this stage. Particularly, this common-mode voltage act as a static gate bias that compensates the V th to improve the conversion efficiency, as mentioned in [14]. In addition, in this differential V th cancellation scheme, the gate voltage of each transistor is a differential-mode RF signal. When V X is negative and VY is positive, the nMOS M1 would behave like a forward-biased diode with a small ON -resistance. The turn-on voltage of this forward-biased diode would be effectively decreases because the gate bias voltage VY is positive. Meanwhile, the pMOS M4 behaves like another diode with a reduced turn-on voltage, so that the output dc voltage of this stage would be close to VY . As mentioned in Section II, the RF-dc would deliver a voltage of 1.5 V to the regulator which would generate a stable output voltage of 1.2 V. The RF-dc converter needs to provide

Fig. 3. Output power delivered by RF-dc converters containing 2–4 rectifier stages at different loads.

Fig. 4.

Schematic of the LDO.

an output voltage that is high enough to activate the regulator while maintaining good power conversion efficiency (PCE), which strongly depends on the number of the rectifiers in cascade. With a fixed input power of 6 dBm, the relationship between the output power and effective load is investigated for RF-dc converters containing 2–4 rectifiers, as shown in Fig. 3. According to the simulation results, the RF-dc converter formed from three rectifiers can deliver more power than those formed from two or four rectifiers. The required output power for the RF-dc converter to maintain the voltages of 1.2 and 1.5 V under different loads are also shown in Fig. 3. Notably, the RF-dc converter formed from three rectifiers can provide enough output power for the rest of the building blocks which drain the current of 0.97 mA from the supply voltage of 1.5 V and exhibit an effective load of 1.5 k. B. Low Dropout Voltage Regulator A low dropout voltage regulator (LDO) is used to convert the output voltage of the RF-dc converter into a stable supply voltage of 1.2 V required for the rest building blocks of the interface IC. The schematic of the LDO is shown in Fig. 4. The output voltage of the LDO can be expressed as   R1 + R2 Vin (1) + VREF Vout ≈ R2 AEA where AEA represents the gain of the error amplifier. Apparently, the output voltage Vout is independent of the input voltage Vin when AEA is large enough. A reference voltage VREF of 0.6 V is generated by a supply voltage independent bias circuit so that an output voltage of 1.2 V can be obtained when the resistors R1-2 (101 k) are identical.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. LIN et al.: SENSOR/ANTENNA INTERFACE IC FOR IMPLANTABLE BIOMEDICAL MONITORING SYSTEM

Fig. 5.

Fig. 6.

3

Schematic of the ILFD.

Fig. 7.

Block diagrams of the SAR-ADC and the DCU.

Fig. 8.

Measured input returned loss of the RF-dc converter.

Schematic of the PA.

C. Injection-Locked Frequency Divider The injection-locked divide-by-four circuit is formed from two injection-locked divide-by-two circuits in cascade. The schematic of the injection-locked divide-by-two circuit is shown in Fig. 5 [15]. The divider is transformed from a threestage ring oscillator. The bias current, as well as the selfoscillation frequency of the ring oscillator, is determined by the bias voltage (Vbias ). As shown in Fig. 5, the voltage at node x (Vx ) would be close to the voltage at node y (Vy ) at the instants of zero-crossing during each oscillation period of the free-running ring oscillator. The injection signal is applied at the gate of the nMOS M7 which functions as a switch with a bias voltage Vinj_bias to reduce the ON-resistance. When the circuit operates in states A and C, the input voltage Vinj reaches its maximum so that the ON-resistance of M7 comes to its minimum and the voltages at nodes x and y would be equalized. In state B, the input voltage Vinj reaches its minimum so that M7 turns off and the ring oscillator oscillates at the self-oscillation frequency [14]. Through the operation of M7 , the instants of zero-crossing can be controlled by the injection signal. If the self-oscillation frequency of the ring oscillator is close to half of the injecting frequency, the circuit would most likely be injection-locked and function as a divideby-two circuit to deliver an output at half of the injecting frequency.

M1 and M2 , respectively. Through the load–pull technique, the optimal load impedance of the PA is found. According to the simulation results, the 50  is converted into this optimal load impedance (15 + j140)  with an off-chip matching network. E. Successive Approximation Register ADC and Digital Control Unit A single-ended-input SAR-ADC is used to convert the biomedical signal into its digital form. As shown in Fig. 7, it consists of a sample-and-hold circuit(S/H), a capacitive digital-to-analog converter (Cap-DAC), a voltage comparator, and a successive approximation register (SAR). It operates at a sampling rate of 50 k-samples/s. The DCU consists of a PISO circuit, a system timing control circuit and multiplexers, as shown in Fig. 7. The PISO circuit is used to deliver the 11-b output of the SAR-ADC through a serial port. To enable the OOK modulation, two voltages 0.612 and 0.542 V from the bias circuit are fed to the multiplexers whose outputs VBP /VBN would be either 0.612/0.542, or 1.2/0 V, depending on the serial output from the SAR-ADC, DATA.

D. PA For an implantable system, the efficiency of the PA should be optimized for low-power operation. The class E PA can achieve the highest efficiency among others, but the large transistor size would cause a heavy load to its preceding stage. Therefore, the class AB PA is adopted in this system. The schematic of the class AB PA is shown in Fig. 6. The PA would be turned on to deliver logic 1, at which the bias voltages Vbp of 612 mV and Vbn of 542 mV are applied to

IV. E XPERIMENTAL R ESULTS A. RF-DC Converter An impedance matching -network is off-chip deployed at the input of the RF-dc converter. The input returned loss from 1 to 2 GHz is shown in Fig. 8, where the input returned loss falls below −10 dB from 1.53–1.67 GHz. The PCE versus load resistance at different RF powering levels is shown in Fig. 9. The efficiency grows from 17.4%

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 9.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Measured PCE of the RF-dc converter at different loads.

to 25.7% as the input powering level is increased from 6 to 10 dBm. At input powering level of 8 dBm, the circuit achieves the efficiency of 25.7% for the effective load resistance of 1.5 k. The efficiency is higher than 25% as the powering level exceeds 8 dBm. Since the power consumption of the whole chip is around 1.44 mW, an external RF signal with the power level of 8 dBm can provide enough energy for the rest building blocks of the interface IC. B. Low Dropout Voltage Regulator During the measurement, the supply voltage of the LDO is provided by a power supply and varied from 0.9 to 2 V, while the rest building blocks totally consume the current around 1 mA. The output voltage would remain 1.2 V when the supply voltage exceeds 1.2 V, as shown in Fig. 10(a). The dynamic load regulation of the LDO can be observed from the variation (Vout ) in the transient output voltage, as shown in Fig. 10(b) and (c). The output stream from the PISO is sent to the data input of the OOK transmitter for modulation. When a logic 1 is delivered to the data input, the transmitter drains more current from the LDO, which causes a heavyload condition. When a logic 0 is delivered to the data input, the transmitter drains less current from the LDO, which causes a light-load condition. As shown in Fig. 10(b), the LDO output voltage exhibits small variations (1-mW Peak Output Power Employing Common-Mode Impedance Enhancement Faisal Ahmed , Student Member, IEEE, Muhammad Furqan, Student Member, IEEE, Bernd Heinemann, and Andreas Stelzer, Member, IEEE

Abstract— We present a novel method of maximizing the output power and efficiency of millimeter-wave and terahertz signal sources, which are based on the push–push topology. In this method, the common-mode impedance of a differential Colpitts oscillator operating in the odd mode is maximized by introducing a fixed-valued capacitor (C r ) at the common-base node. This capacitor is designed to introduce a common-mode parallel resonance at the desired second harmonic, boosting the common-mode voltage swing and subsequently its output power. The proposed method is analyzed using a high-frequency evenmode π-model. Analytical expressions of input impedance are derived and are used for calculating the common-mode resonance frequency and the required value of C r . Two 0.3-THz voltagecontrolled oscillators (VCOs) are implemented in a 130-nm SiGe BiCMOS process. It is shown that by using the proposed technique, the output power is improved by more than 6 dB, as compared with the conventional approaches. The implemented VCOs work from 292 to 318 GHz and 305 to 327 GHz, delivering a peak output power of 0.6 and 0.2 dBm, with a dc-to-RF efficiency of 0.8% and 0.9%, and can achieve a phase noise of −108 and −105 dBc/Hz at 10-MHz offset, respectively. As compared with the prior state-of-the-art Si-based tunable signal sources and arrays working above 270 GHz, this paper shows the lowest phase noise and the best figure-of-merit, while having an excellent output power, a tuning range, and a dc-to-RF efficiency. Index Terms— Heterojunction bipolar transistor (HBT), millimeter wave (mm-wave), SiGe BiCMOS, terahertz (THz), voltage-controlled oscillators (VCOs), wide tuning range.

I. I NTRODUCTION

T

ERAHERTZ (THz) integrated circuits and systems based on III-V semiconductors, such as InP [1], InAs [2], InGaAs [3], and advanced Si/SiGe-based technologies [4] have shown an enormous potential in terms of feasibility,

Manuscript received May 24, 2017; revised August 9, 2017; accepted October 7, 2017. Date of publication November 8, 2017; date of current version March 5, 2018. This work was supported by the Austrian Center of Competence in Mechatronics. (Corresponding author: Faisal Ahmed.) F. Ahmed and M. Furqan were with the Institute for Communications Engineering and RF-Systems, Johannes Kepler University, 4040 Linz, Austria. They are now with Danube Integrated Circuit Engineering GmbH, 4040 Linz, Austria (e-mail: [email protected]). B. Heinemann is with Innovations for High Performance Microelectronics, GmbH, 15236 Frankfurt (Oder), Germany. A. Stelzer is with the Institute for Communications Engineering and RF-Systems, Johannes Kepler University, 4040 Linz, Austria. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2767593

performance, and lower cost compared with photonics-based alternatives [5]. The potentially immense and unregulated bandwidth available from 0.27 to 3 THz presents a major motivation for several Gb/s to 100-Gb/s wireless communication systems. Wireless channels have minimum latency and are more suitable for real-time systems. THz wireless systems have been envisaged for terrestrial high-capacity Tb/s links over distances of greater than 1 km as well as for indoor WLAN with Gb/s speed [6]. Practical communications over a distance of 20 m at a data rate of 100 Gb/s have been demonstrated using a combination of THz photonics and 35-nm metamorphic high electron mobility transistor technology with a power-gain cutoff frequency ( f max ) of 900 GHz [7]. Researchers have recently demonstrated digital data transmission over the 300-GHz band at a rate exceeding 100 Gb/s over a single channel using a 40-nm CMOS process [8]. In terms of space applications, theoretical models have shown that even with severe atmospheric attenuation, geostationary THz satellite links can support data rates up to 1 Tb/s [9]. In addition to vast potential in the field of wireless communications, the lower-THz regime finds inherent advantages in many other diverse applications, such as noninvasive imaging radars for standoff personal security/screening, material and molecular identification, medical diagnosis, and remote sensing [10], [11]. These applications are possible because of the unique characteristics of THz waves, such as their nonionizing nature, penetration ability, and specific frequency-dependent absorption and dispersion properties [12], [13]. Furthermore, in imaging applications, the available wide bandwidths in this frequency range has the potential to reach even submillimeter range-resolution [14], [15]. In order to successfully attain any of the aforementioned applications, one of the key challenges includes the development of high-power-integrated THz sources working within the atmospheric transmission windows [6]. These signal sources are indispensable for transmitters and receivers. Fundamental signal sources based on common-base crosscoupled topology working above 300 GHz with more than 4.3-dBm output power have been demonstrated using a 250-nm InP-based process with a current-gain cutoff frequency ( f T ) and f max of 392 and 859 GHz, respectively [16]. Using the same technology, two differential oscillators

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

working at 280 GHz were combined using rat-race and the Wilkinson power combiner to achieve an output power of 10 dBm [17]. An experimental SiGe heterojunction bipolar transistor (HBT) technology with f T / f max of 550/720 GHz was recently presented [4]. This shows that in the near future, Si-based alternatives will enable fundamental-mode circuits and systems to work even above 0.3 THz. For now, harmonic extraction continues to be an indispensable technique to obtain sufficient output power at THz. At these frequencies, the available gain from the transistors is very low and passive devices exhibit much higher losses. These fundamental limitations have led to the development of new techniques and architectures for designing high-power THz frequency sources. In [18], a maximum-gain ring oscillator topology was introduced maximizing the power gain by means of appropriately designed passive matching networks. This increase in gain helps to achieve higher oscillation frequency. However, the topology is limited to ring oscillators, which is suitable mostly for CMOS-based designs. To maximize the output power of the harmonics, traditional load–pull simulations were utilized, beyond which the power-combining network was used to sum the power from multiple stages resulting in more dc power consumption and complexity. Building up on this approach, a cross-coupled push–push voltage-controlled oscillator (VCO) working around 239 GHz with −4.8-dBm output power was reported in [19], which replaces the passive matching network with transformer-based resonators. Similarly, capacitive feedback frequency-enhancement, which utilizes both negative resistance Colpitts approach and a capacitive feedback similar to that of −G m oscillators, was reported in [20]. An approach for increasing the oscillation frequency beyond the device cutoff frequency of f T was published in [21], by using a frequency-selective negative resistance tank. A fundamental oscillator prototype based on the buffer-feedback topology, working at 300 GHz in a 65-nm CMOS technology, was demonstrated by Razavi [22]. Varactors used for frequency tuning in the millimeterwave (mm-wave) region exhibit very low quality factors, severely limiting the output power. Furthermore, the parasitic capacitances start dominating at these frequencies, decreasing the effective tuning range. To address this challenge, power combining and harmonic extraction from coupled oscillators with multiple cores have been proposed [23]–[26]. However, the maximum tuning range is still very limited and many cores have to be used to achieve enough output power. Recent publications have demonstrated CMOS and SiGe HBT-based oscillators/VCOs with power sufficient enough for useful system applications. These include push–push oscillators [19], [27], [29], fundamental-oscillator, doubler hybrids [27], [29], [30], and triple-push oscillators [31], [32]. High tuning range and output power in the THz are attainable using frequency multipliers [33]–[37]. However, high-power external signal sources are required to drive the frequency multipliers into saturation. In this paper, we present two push–push VCOs based on a novel technique of introducing a common-mode resonance in a Colpitts push–push oscillator circuit aimed to maximize

1385

the harmonic output power manifolds as compared with a conventional approach. The proposed technique does not influence the odd-mode impedance and thus the fundamental-mode operation of the circuit can be independently designed. Prior works based on CMOS have relied on maximizing harmonic power by increasing the voltage swing at the fundamental frequency using a self-feeding line and blocking the desired even-harmonic signal leakage to the gate [23]–[25], [38]. Other works have utilized the conventional load–pull simulations to find the optimum condition for maximum even-harmonic power generation [18], [19], [29]. The VCOs presented in this paper operate from 292 to 318 GHz and 305 to 327 GHz and provide a peak output power of 1.15 and 1.05 mW, respectively (including pad losses), which to the best of our knowledge represent the highest output power reported for any Si-based tunable signal source based on a single oscillator operating above 270 GHz. Both VCOs demonstrate state-ofthe-art dc–RF efficiencies of 0.8% and 0.94%, respectively. The technique proposed in this paper can be employed to all oscillator and cascode-based frequency multiplication circuits relying on push–push-based even-harmonic extraction. This paper is organized as follows. Section II provides an analysis of the proposed technique using a high-frequency even-mode equivalent circuit of a Colpitts differential oscillator. Using both analytical expressions and Spectre simulations of the common-mode input impedance, the efficacy of the technique in improving the output power is explained. Technology details, with emphasis on high-speed HBT devices and varactor characterization, are provided in Section III. In Section IV, we focus on the details of the THz VCO circuit design, parasitics, and layout issues, with emphasis on the common-mode impedance design methodology. In this section, we also discuss the influence of the proposed technique on tuning bandwidth, frequency pulling, and phase-noise performance. The detailed characterizations and a thorough comparison with state-of-the-art sub-THz and THz signal sources are provided in Section V. Section VI contains the conclusion and summary. II. A NALYSIS OF THE P ROPOSED T ECHNIQUE A simplified schematic of a push–push VCO based on the differential Colpitts topology is presented in Fig. 1(a). L B is the tank inductance and r B comprises the inductor series resistance as well as the intrinsic base resistance. Cr is a fixedvalued resonant capacitor proposed in this paper for commonmode impedance enhancement. Conventionally, a high-valued bypass capacitor is used instead of Cr for providing a lowimpedance path. For the oscillator analysis, we have selected a high-speed HBT (Q 1 ) having five emitter fingers with 2-μm length each. The reason for selection of this transistor size will be provided in Sections III and IV. Following the procedure described in [39], the small-signal equivalent-circuit parameters for the calculated plots are directly extracted from the VBIC model of the HBT at 300 GHz. These parameters, along with the simulated values of f T , Ibias , and gm , are provided in Table I for reference. The HBTs are biased around their peak- f T current density. The values of L B and Cvar are designed to generate a fundamental odd-mode oscillation

1386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

TABLE I D ESCRIPTION AND VALUES OF THE S MALL -S IGNAL E QUIVALENT-C IRCUIT PARAMETERS

The model is simplified by combining the impedances as shown in Fig. 1(c). We denote the impedance looking into  , the common node without and with Cr as Z in and Z in respectively. The input impedance Z in is given by Z in = {Z in } + j {Z in} = Z B + Z π  Z μ

(1)

Z π = Z π + (β(ω) + 1)Z E .

(2)

where The frequency-dependent small-signal gain β(ω) is given by β0 (3) β(ω) = 1 + j ωωT β0

Fig. 1. (a) Simplified lumped element circuit of a differential Colpitts oscillator-based push–push VCO. The blue dashed line shows the proposed common-mode resonant capacitor Cr . (b) High-frequency equivalent evenmode π -model of the VCO half-circuit shown in (a). (c) Simplified equivalent  (with C ). circuit for calculating the input impedance Z in (without Cr ) and Z in r (d) Equivalent input-impedance of the circuit depicted in (c), with and without Cr , showing Reff and Ceff .

at 150 GHz, which is half the desired output frequency of 300 GHz. The impedance seen at the common nodes of the oscillator should be properly designed to maximize the power of the second harmonic [40]. In order to analyze the input impedance of the Colpitts oscillator, we consider its high-frequency even-mode equivalent π-model, as shown in Fig. 1(b). For odd-mode operation, the voltage waveforms are added out of phase and a virtual ground is therefore presented at all of the common nodes. For even mode, the voltage waveforms add in phase, resulting in half the capacitance or twice the inductance/resistance at the common nodes [see Fig. 1(b)].

where β0 corresponds to the small-signal low-frequency gain, and ωT = 2π f T . For ω  ωT /β0 , which holds true in this paper, β(ω) can be approximated as ωT . (4) β(ω) = − j ω The parallel combination of Z π and Z μ is the effective impedance of the active device and the varactor and is denoted by Z eff , as shown in Fig.1(c). To determine the oscillation region and understand its behavior over frequency, it is useful to separate Z eff into its real and imaginary components 1 Z eff = Reff + j X eff = Reff + . (5) j ωCeff The expressions for calculating Z eff , Reff , and Ceff , using the values given in Table I, are given in (6)–(8), shown at the bottom of the next page. The input impedance of the oscillator circuit without Cr can therefore be represented as a simple  , and C , as series resonance circuit consisting of L B , Reff eff shown in Fig. 1(d). Fig. 2 shows the plots of Reff and Ceff as a function of frequency. We can clearly see that both Reff and Ceff are frequency-dependent. The condition for oscillation is met when the negative resitance Reff compensates the resonator losses at the oscillation frequency. At the startup, this negative resistance must exceed the losses by about 20% [41]. The fundamental resonant frequency is then determined by the values of L B and Ceff . Now, we can express the input impedance of the oscillator with and without Cr , using these effective impedances as follows: 1 Z in = Reff + r B + j ωL B + (9) j ωCeff

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

1387

Fig. 2. Calculated plots of effective resistance (Reff ) and effective capacitance (Ceff ) as a function of frequency.

and  Z in

 =

Reff

1 + r B + j ωL B + j ωCeff

 

1 . j ωCr

(10)

The input impedance graphs of the push–push VCO as a function of frequency (shown in Figs. 3 and 4) are plotted using the analytical expression of the input impedance and using Spectre simulations of the even-mode half-circuit of Fig. 1(a). We have made the comparison in order to validate the analysis and show that the simplified, high-frequency circuit model provides not only a good correlation to the Spectre simulations based on the complex VBIC model but also provides better insight. The behavior of the input reactance {Z in } without Cr is plotted in Fig. 3(a). It shows that the reactance of the circuit is zero around the resonance frequency of 150 GHz and starts to become inductive with increasing frequency. At the desired second harmonic frequency, the circuit shows a highly inductive reactance. In order to decrease this reactance, a parallel common-mode resonance f CM is introduced by connecting the capacitor Cr with a properly chosen value in parallel. This resonance is seen in Fig. 3(b) around 300 GHz. Since the capacitor Cr is connected to a common-node, it will ideally have no influence on the fundamental ( f osc = f o /2) mode operation of the circuit. The real part of input impedance {Z in } with and without Cr is plotted in Fig. 4. Without Cr , the plots show no resonance and the resistance of the circuit increases monotonically with the frequency and becomes positive above 200 GHz. Around the second harmonic frequency, the resistance is less than 8 . However, when Cr is employed, it introduces a strong resonance around the second harmonic and increases

Z eff = Reff = Ceff =

(Cπ Cvar (Cπ Cvar

Fig. 3. Analytical and simulated plots of the input reactance of the push–push VCO, as seen from the base terminal. (a) No Cr is used. (b) Optimized value of Cr is used for common-mode impedance enhancement.

the common-mode resistance by more than 15 times. This higher even-mode dynamic impedance helps to generate a stronger second-harmonic signal at the common nodes. The generated power can then be delivered to the output load and extracted from either the base, collector, or emitter, depending upon the optimum load-line impedance.  }, we can Considering Fig. 1(d) and maxima of {Z in calculate the common-mode resonance frequency fCM in terms  = r + R , L , C , and C of the lumped parameters, Reff B eff B eff r  2 1 2(Ceff + Cr )L B − Ceff Cr Reff f CM = . (11) 2π 2Ceff Cr L 2B For an mm-wave LC oscillator circuit design, the values of capacitance as compared with the values of inductance are usually smaller by a factor of thousand or more. Therefore, the

− j (Cπ + Cvar )rπ ω2 − (1 + Cπ rπ ωT )ω + j ωT + Cμ (Cπ + Cvar ))rπ ω3 − ( j Cμ Cπ rπ ωT + j (Cμ + Cvar ))ω2 − Cμ ωT ω Cvar (Cvar − Cπ2 rπ ωT )rπ ω2 − Cvar ωT + Cμ (Cπ + Cvar ))2rπ2 ω4 + ((Cμ + Cvar )2 − (Cμ2 rπ ωT (2Cvar − Cπ2 rπ ωT )))ω2 + Cμ2 ωT2

(Cπ Cvar + Cμ (Cπ + Cvar ))2rπ2 ω4 + ((Cμ + Cvar )2 − (Cμ2 rπ ωT (2Cvar − Cπ2 rπ ωT )))ω2 + Cμ2 ωT2 (Cπ + Cvar )(Cπ Cvar + Cμ (Cπ + Cvar ))rπ2 ω4 + (Cμ + Cvar − Cμ rπ ωT (2Cvar − Cπ2 rπ ωT ))ω2 + Cμ ωT2

(6) (7) (8)

1388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 5. (a) Layout of a high-speed HBT device with a CBEBC configuration showing the interdigitated structure. (b) Interconnect aluminum layers from Metal 1 (M1) to Thick Metal 2 (TM2), drawn to scale. Vias are not shown for simplicity.

Fig. 4. Analytical and simulated plots of the input resistance of the push–push VCO, as seen from the base terminal. (a) No Cr is used. (b) Optimized value of Cr is used for common-mode impedance enhancement.

second term in the numerator of (11) can be neglected and the equation can be simplified to  1 Ceff + Cr f CM ≈ . (12) 2π Ceff Cr L B With this equation, we can approximate f CM , using values of Cr and L B from Table I, and value of Ceff from Fig. 2. The calculated f CM is equal to 319.6 GHz, which is within an error of 6% as compared with the simulated value. Using either (11) or (12) and considering f CM to be equal to the desired second harmonic output frequency f o , we can calculate the required value of the proposed resonant capacitor Cr . III. SiGe HBT BiCMOS T ECHNOLOGY The VCOs based on the proposed technique are manufactured in a 130-nm SiGe HBT BiCMOS technology SG13S from Innovations for High Performance Microelectronics (IHP) [42]. It features bipolar devices based on SiGe:C npn-HBTs with an f T / f max of up to 250/300 GHz. Two different high-speed bipolar devices are available, one with a BEC layout configuration and a maximum emitter length of 0.84 μm, while the other device has a CBEBC configuration with a maximum emitter length of 2 μm. The device with the CBEBC configuration offers somewhat a higher f max value.

The HBTs have a dc current gain of around 900, a collector– emitter breakdown voltage (BVCEO ) of 1.7 V, and a collector– base breakdown voltage (BVCBO ) of 5 V. The maximum output voltage swing for safe operation is between BVCEO and BVCBO , depending upon the base current [43]. Both types of devices can have up to eight emitter fingers in parallel. This interdigited structure helps in establishing a uniform current density across the base region and also helps to reduce the series resistance. The process offers dual gate oxide thickness for 1.2- and 3.3-V supply, offering nMOS, pMOS, and isolated nMOS transistors. The backend-of-line consists of seven aluminum interconnect layers comprising five thin layers and two thick top metal layers of 2 and 3 μm. The layout and the metal stack for an HBT with a CBEBC configuration and a size of 0.17 × 2 × 5 μm2 are shown in Fig. 5. The contact and via losses up to the top thick metals decrease the effective available gain and fmax of the HBT, which have a direct influence to the center frequency and output power of the designed oscillator [44]. Fig. 6 shows the simulated maximum available gain (MAG) and f T / f max for two different HBT sizes with the CBEBC configuration. The devices are simulated around the peak- f T current density at VCE = 1.2 V. The plots include the via and wiring parasitics, extracted using the Sonnet EM solver. The MAG of both devices is less than 3 dB beyond 160 GHz, with the smaller device showing comparatively higher gain. However, the larger HBT shows better performance in terms of f max . We have used both these device sizes for designing the proposed VCOs with a similar target output frequency of 0.3 THz. The process offers accumulation-depletion mode differential MOS varactors with thick gate oxide (7 nm). An MOS varactor compared with a junction varactor exhibits higher capacitance ratio but is more prone to low-frequency noise [45]. A differential structure offers advantage in terms of a quality factor, a tuning range, and a Si area [46]. The process offers MOS varactors with an area of 3.74 μm × 0.3 μm, with multiple gate fingers. A cross-sectional view of an MOS varactor provided by the IHP process is shown in Fig. 7. The simulated and measured results for the MOS varactor with ten gate fingers are presented in Fig. 8. We can observe in the measured curves plotted in Fig. 8(a) that beyond the strong decrease of the

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

1389

Fig. 8. Simulated and measured characteristics of the MOS varactor. (a) Capacitance. (b) Q-factor.

Fig. 6. Simulated plots of (a) MAG of HBTs biased at peak- f T current density and (b) f T / f max . The HBTs are 0.17×2×4 μm3 and 0.17×2×5 μm3 in size. Plots include the effect of parasitics up to the TM2.

Fig. 7. Cross-sectional view of the accumulation-depletion mode differential MOS varactor.

capacitance, a slight reduction is still possible in the depletion region by increasing the control voltage Vctrl . The varactor shows a measured Q-factor of around 10 at 66 GHz. At higher control voltages, the quality factor is improved. IV. THz VCO S C IRCUIT D ESIGN A. Topology Selection There are various debates when it comes to selecting the best topology for the realization of an mm-wave push–push VCO. The conventional differential Colpitts

topology is usually preferred for its phase-noise performance and its ability to operate close to f max [50], [51]. A wider tuning range can be achieved by using an additional varactor at the base terminal of the HBT, a configuration known as the Colpitts–Clapp topology [29], [52]. However, both conventional and transformer-based cross-coupled topologies have been shown to achieve excellent performance in terms of efficiency and wide bandwidth in bipolar and CMOS technologies [19], [27], [53]. For oscillators based on the push–push topology, the second harmonic output can be obtained at any of the virtual differential ground nodes, which means that output can be extracted from either the base, collector, or emitter terminal [54]. This selection depends on several factors, such as the available voltage swing, the common-mode impedance seen at the node, the effect of load pulling, as well as the phase noise performance. Usually large signal simulations are used for finding the final optimum configuration. In [55] and [56], a push–push output is extracted from a common-node of the coupled emitter network. However, in most cases of the mm-wave VCO design, the common-node at the coupled emitter network does not provide the largest signal swing and/or the optimum impedance for maximum power transfer. In comparison, in the case of a common-collector (CC) topology, extracting the output from the base node has the advantage of having an efficient layout as it only requires a single inductor per oscillator; this is usually the most areaconsuming component. In addition, a CC topology provides excellent instability and usually good output power [57], [58]. The output extraction from the collector in a Colpitts configuration is largely advantageous, because the load is isolated from the resonant tank (present at the base and the emitter). This alleviates load pulling and unwanted external locking (frequency steps in the tuning curve) due to reflections from an unmatched load, as described in [51]. This topology also usually provides the largest harmonic power due, primarily, to the reason that a major portion of the second harmonic current from the core HBTs will flow to the collector nodes [59]. Similarly, for a cross-coupled topology, it has been demonstrated using analysis and experimental results that a common-base configuration (with output taken at the collector) outperforms the conventional common-emitter configuration in terms of achieving higher oscillation frequency and output power [16]. A fully differential Colpitts topology is selected for two designed VCOs, which are designated as VCO1 and VCO2

1390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

where f m = f − f osc . Usually, for oscillators working above 100-GHz fundamental frequency, the MIM capacitor placed in parallel to the base–emitter junction of the transistor (Q 1 in Fig. 9) has to be removed in order to operate at higher frequencies. Furthermore, keeping Cπ  Cvar (either by selecting a larger HBT size or a smaller varactor) helps in reducing frequency pushing and phase noise and improves the tuning range. However, at mm-wave frequencies, larger HBTs lead to a decrease in dc-to-RF efficiency because of saturation of the available gain with increasing HBT size and if very small varactors are selected, their relative parasitics become too overwhelming. We therefore selected a size of the HBTs while considering the tradeoffs among the available transconductance, the oscillation frequency, and the phasenoise performance. The varactor size was selected by bearing in mind its quality factor, the tuning bandwidth, and the size of the HBTs used. B. Design of the Common-Mode Impedance and Load Matching

Fig. 9. Schematic of the push–push VCO. The contact parasitics denoted by L par and Rpar are also shown.

in this paper. Both VCOs target the same output frequency of around 0.3 THz. VCO1 is optimized using smaller HBT and varactor size as compared with VCO2. This allows us to observe the influence of HBT/varactor geometries on the output power, efficiency, tuning range, and the phase-noise performance. The HBTs are biased at their peak- f T current density. The circuit schematic including the bias and tuning network is provided in Fig. 9. Since the desired mode of oscillation is odd mode (ωosc = ωo /2), the simplified fundamental oscillation condition is given by [40] Gm = Rpar + r B + R S (ωo /2)2 Cπ Cvar

(13)

where Rpar , R S , and G m are the parasitic resistance of the contact via, the series resistance of TL B , and the large signal transconductance, respectively. Operating near f T , limited G m can be a challenge. In addition, the available gain and the effective f max because of the parasitic losses of the HBT contacts to the topmost RF thick layers are further decreased. The desired output frequency ωo is given by    1 1 Cπ Cvar = (L TLB + L par ) Cμ + . (14) ωo 2 Cπ + Cvar This equation suggests that increasing the size of the HBT would lead to a decrease in the oscillation frequency. The values of Cπ and Cvar , together with the oscillation amplitude and the total equivalent input noise current of the HBT (In ), determine the VCO phase noise performance, as given by [60], [61] L( fm ) =

Pnoise |I 2 | = 2n 2 Po Vosc fm

Cπ2



1 Cπ C var

+1

2

(15)

Although the push–push oscillator is a strongly nonlinear circuit that operates under large signal conditions, a very efficient way to design the common- and differential-mode impedance is to start with a small-signal S-parameter analysis. The simulated differential and common-mode impedance Z D and Z CM , looking into the base of the transistors (as annotated in Fig. 9), are plotted in Fig. 10. Since the design procedure is similar to both VCO1 and VCO2, simulation results from only VCO2 are presented here. The circuit is optimized to produce a large negative resistance at the fundamental frequency, which is essential to compensate all the tank and parasitic losses. A large negative resistance helps in generating a high oscillation voltage. To introduce the common-mode resonance f CM at f o , capacitor Cr is connected at the base and the initial value is calculated using (11). As can be seen, a large negative resistance is produced at 150 GHz, which would ensure that the condition for the onset of oscillation is satisfied. Z D will not be influenced by Cr , as it is connected to a differential virtual ground node. The behavior of Z CM is more interesting as it shows a distinct parallel resonance at 300 GHz. The Q-factor of the common-mode resonance estimated from the magnitude of Z CM impedance plot is around 4. On one hand, the higher voltage swing caused by the enhanced Q-factor in the common-mode impedance leads to increased harmonic power. On the other hand, the Q-factor is not high enough to considerably reduce the bandwidth of the output matching network. The optimum load-line impedance Z opt , which would fulfill the optimum power-matched condition Popt for the second harmonic, is found by load–pull simulations. The output signal is extracted from the common node at the collector. The collector is connected to the power supply via an RF choke. Fig. 11(a) shows the load–pull results obtained by using harmonic-balance simulations when no Cr is used to enhance the common-mode impedance. The power contours represent output power from −12 to −6 dBm with a step size of 1 dB. As a result, the maximum Popt that could be obtained in this case is around −5 dBm. The procedure is repeated with exactly the same circuit conditions but using a fixed value

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

1391

Fig. 10. Simulated input impedances as seen from the ports Z D and Z CM for the designed THz VCO. The fundamental resonance can be seen at 150 GHz with a negative resistance of around −160  and a commonmode resonance achieved using an optimized value of Cr is found at around 300 GHz. (a) Imaginary part. (b) Real part.

of Cr to maximize Z CM , as shown in Fig. 11(b). The power contours are plotted with a 1-dB step from −10 to 0 dBm, with a peak second-harmonic power of +1.7 dBm. This shows an improvement of 6.7 dB in output power with the same dc power consumption as compared with the case if the proposed common-mode impedance enhancement technique is not used. The 50- load is matched to Z opt using TLC , TLo , and CC . The transmission line TLstub is used for compensating the RF-pad capacitance. Fig. 12(a) shows the voltage swing of the second-harmonic signal at the load R L for the two optimized VCOs with and without Cr . The improvement in the pk–pk voltage swing is almost 2.5 times as compared with the case if no Cr is used. The voltage swings correspond to around 1.5- and −6-dBm ouput power at R L . Fig. 12(b) clearly demonstrates how the fundamental and the second-harmonic power vary with respect to Cr . As expected, the fundamental power remains almost constant, however, the second harmonic power is increased considerably at the optimum value of Cr . C. Effect of Cr on Tuning Range and Phase Noise We have shown that by using the proposed technique, the output power and efficiency of the push–push VCO clearly improve but just how does it affect the other two very

Fig. 11. Simulated load–pull power contours to find Z opt for the 0.3 THz VCO. (a) Conventional design without using Cr . The constant power contours are plotted from −12 to −6 dBm with a 1-dB step. Popt is −5 dBm. (b) Proposed design using an optimum value of Cr for common-mode impedance enhancement. The power contours are plotted from −10 to 0 dBm with a 1-dB step. Popt is +1.7 dBm.

important parameters of the VCO: the tuning range and the phase-noise performance. Ideally, Cr should not influence the output frequency; however, there is still a slight dependence of output frequency on Cr . It should be noted that for the entire tuning range of the VCO, Cr is kept constant and is implemented using an MIM capacitor. The optimal value of Cr is found at the center of the tuning range. In Fig. 13(a), we see that the tuning bandwidth of the VCO is decreased by around 2 GHz when Cr is employed, which corresponds to around 0.6% decrease in the normalized tuning range (FTR). To see how much the optimal value of Cr changes throughout the tuning range, the output power of the second harmonic is plotted for different tuning frequencies as a function of Cr .

1392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 12. Simulated plots of the VCO. (a) Voltage swing at the load R L , without and with an optimized value of Cr . (b) Fundamental and second harmonic output power at the load as a function of Cr .

Fig. 13. (a) Simulated tuning characteristics of the VCO without and with an optimized value of Cr . (b) Output power of the optimized VCO for each tuning frequency as a function of Cr .

For each tuning frequency, the value of Cr is swept and the output power is noted. We see that for the simulated tuning range, the optimal value of Cr changes by only 5 fF or less than 20%. The influence of Cr on the change in output frequency fo and on the phase noise is plotted in Fig. 14. The simulated output frequency changes by about 2 GHz (∼ 0.6%) if Cr is swept from 1 to 100 fF. This shows that once the VCO is designed for a particular f osc , introducing Cr will have a negligible effect on f o . The simulated phase noise at an offset of 10 MHz is plotted in Fig. 14 as a function of Cr , which shows a negligible change. D. Design of TL E and the VCO Layout The transmission line TL E provides a path for the biasing current to the virtual ground. The line’s length influences the oscillation frequency, output power, and the phase noise. Ideally, this line should present a very high impedance to the fundamental signal so that the large signal swing is confined and subsequently enhanced within the VCO core. Using large inductances consumes too much chip area and a better choice is to therefore use quarter-wave transmission lines (at fosc ). A properly designed length provides isolation from the capacitance of the current source Q 2 and desensitizes the tuning range from its capacitance. TL E together with the

Fig. 14. Frequency pulling and phase noise as a function of Cr at a tuning voltage of 3 V. The phase noise is plotted at an offset of 10 MHz.

output capacitance of Q 2 forms an LC filter, which decouples high-frequency noise, especially around 2 f osc . This noise can otherwise increase the phase noise considerably [62]. TL E is designed in such a way that its resonance with Cvar is less than the fundamental resonance of the VCO [63] 1 < f osc . 2π L TLE Cvar

(16)

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

1393

TABLE II PARAMETER VALUES OF VCO1 AND VCO2

Fig. 15. VCO2 core layout shows the via stack of the HBTs, MOS varactor, MIM capacitors, and the microstrip transmission lines implemented on TM2.

Table II provides the component values of the two designed VCOs (VCO1 and VCO2) with different HBT and varactor sizes. The layout of the core of VCO2 is shown in Fig. 15. The HBTs and the varactor are placed as close as possible in order to reduce footprint, interconnect inductance, and parasitic capacitance. The base terminals of the HBTs are contacted from the center to ensure minimum series resistance. The topmost metal (3 μm thick) is used for all the microstrip transmission lines. The collector terminals are shorted via M5, which directly connects to one of the MIM capacitor CC terminal. The layout is designed to be as symmetrical as possible. V. C HARACTERIZATION OF THE VCOs Micrographs of the fabricated VCO chips are shown in Fig. 16. Each VCO chip occupies an area of around 0.3 mm2 . As shown in the schematic (see Fig. 9), a bias voltage pad (VB ) has been included so that the behavior of output power, frequency, and phase noise as a function of bias current density can be observed. However, V B can be left unconnected for normal operation. The transmission lines indicated in the schematic of the VCO can be clearly seen in the close-up view of the micrograph in Fig. 16(b). All measurements were performed on-wafer at room temperature using WR-03 band GSG microwave probes from Cascade.

Fig. 16. (a) Micrograph of VCO1. Total chip area is 0.3 mm2 . (b) Close-up view of micrograph of VCO2. DC pads are not shown. The active chip area is around 0.05 mm2 .

Measurement setup for frequency tuning and phase noise is demonstrated in Fig. 17(a). A WR-03 S-bend of around 13 cm was required for an onward connection of the probe. A WR-03 band frequency converter from Rhode & Schwarz was used to downconvert the output signal into an IF signal in the range of 400 MHz. A Keysight 7-GHz signal source analyzer (SSA) E5052B was used to measure both the frequency and the phase-noise performance. The SSA provides a very low noise dc supply and tuning voltage and reduces the short-term and long-term signal phase instabilities. The tuning characteristics for VCO1 and VCO2 were measured at a constant supply voltage of 3.3 V and are shown in Fig. 18. The tuning curves follow the classic profile of an MOS varactor and show a sharp increase in the frequency up to 2 V, after which the capacitance of the varactor begins to saturate. The VCO2 demonstrates a frequency range from 292 to 318 GHz with a relative bandwidth of 8.5%. The VCO1 shows a maximum frequency of 327 GHz with a tuning bandwidth of 7.0%. Measurement setup for characterizing the output power is shown in Fig. 17(b). The output power was measured

1394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 17. On-wafer measurement setups for the VCO chips. (a) Frequency and phase noise measurements employing R & S frequency converters and Keysight SSA. (b) WR-03 band power measurement employing Erickson’s PM4 power meter.

Fig. 19. Measured output power of the VCO chips at different supply voltages as a function of the tuning voltage. (a) VCO1. (b) VCO2.

Fig. 18. Measured tuning characteristics of the VCO chips at a supply voltage of 3.3 V.

using Erickson PM4 calorimeter with a WR-10-based power sensor. A WR-03–WR-10 waveguide transition was therefore used in the setup. The losses associated with the probes and the waveguides were deembedded from the measurements. The measured loss of the probe as provided by the manufacturer is around 5 dB at 300 GHz. The loss of the interconnecting waveguide sections was measured to be 4.5 dB. The measured output power of the VCO chips is shown in Fig. 19. Since the biasing network is tied with VCC , raising the supply voltage increases the current density of the HBTs correspondingly. In regard to VCO1, the core current increases from 20, 26.5, 34, and 42 mA, as supply voltage is varied from 2.7 to 3.6 V in 0.3-V steps, respectively. Both VCO1 and VCO2 demonstrate the highest output power at a supply voltage of 3.3 V, which correspond to a current density of slightly higher than peak- f T . VCO1 shows a peak output power of 0.2 dBm with a dc-to-RF efficiency of 0.94%. However, it has a peak efficiency of 1% at a VCC of 3.0 V

with a peak power of −0.5 dBm. At a supply voltage of 3.3 V, it has a power variation of almost 1 dB over the entire tuning range, while the variation is within 2 dB for the other supply voltages. VCO2 demonstrates a higher peak output power of 0.6 dBm with a dc-to-RF efficiency of 0.8%. This is the highest output power attained for SiGe-based push–push tunable signal sources working above 270 GHz. Due to higher losses associated with varactors, single-frequency oscillators tend to achieve higher output power and efficiency [27]. The output power variation with tuning frequency for VCO2 is almost within 3 dB for all supply voltages. Phase noise measurements were performed using the setup shown in Fig. 17(a) at a supply voltage of 3.3 V. Fig. 20(a) shows the measured phase noise of the VCOs at an offset of 1 and 10 MHz with respect to the tuning voltage. The average phase noise at an offset of 1 MHz for VCO1 and VCO2 over the entire frequency range is −83.9 and −82.7 dBc/Hz, respectively. Similarly, at an offset of 10 MHz, the average phase noise is −102.1 and −103.2 dBc/Hz for VCO1 and VCO2, respectively. The phase-noise performance of both VCOs is improved at higher tuning voltages. This is predominantly due to the improved quality factor of the MOS varactor at higher reverse voltages. In full depletion, the relatively flat capacitance of the varactor reduces the phase noise

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

1395

TABLE III C OMPARISON W ITH S TATE - OF - THE -A RT S IGNAL S OURCES OVER 200 GHz

generated by the AM–FM conversion process [28]. Fig. 20(b) shows the phase noise as a function of offset frequency at a tuning voltage of 6 V. VCO2 achieves a minimum phase noise of around −108 dBc/Hz at 10 MHz, which is the lowest reported value for Si-based signal sources working above 260 GHz. Table III shows a comparison of state-ofthe-art signal sources working above 200 GHz. An figure-ofmerit (FoM) which includes FTR and Pout has been used for a more comprehensive performance comparison in Table III,

as given by [70]



 f o FTR FoMT = L( f ) − 20 log  f 10   Pdc +10 log (17) − POUT 1 mW where f o is the center frequency of the tuning range. As shown in Fig. 21, both VCO1 and VCO2 show the best FoMT for oscillator-based fixed and tunable signal sources working

1396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

oscillators. The technique involves maximizing the commonmode impedance by introducing a parallel common-mode resonance at the second harmonic of the fundamental oscillation frequency. Although prior works have utilized commonmode impedance optimization to enhance the performance of harmonic oscillators, the presented approach is unique in terms of its easy design, simple implementation, and significant improvement in output power and efficiency while having almost negligible effect on tuning bandwidth. Based on the proposed technique, two voltage-controlled oscillators working beyond 0.3 THz are implemented in a 130-nm SiGe BiCMOS technology. As compared with a conventional Colpitts topology with the same dc power consumption, it is shown that the output power is improved by more than 6 dB. The fabricated chips outperform the conventional SiGe-based push–push VCOs working in this frequency range and show the best FoM for signal sources working above 270 GHz. R EFERENCES

Fig. 20. Measured phase-noise performance of the VCO chips at a supply voltage of 3.3 V. (a) Phase noise plot versus the tuning voltage. (b) Phase noise plot versus offset-frequency at a tuning voltage of 6 V.

Fig. 21. Comparison of FoMT of state-of-the-art signal sources in Si/SiGe and InP HBT technologies. This paper presented here represents the highest FoMT in its frequency range.

above 270 GHz. For frequency multiplier-based signal sources, the phase noise at the output depends upon the phase noise of the reference oscillator, the multiplication factor, and the additional noise added from the multiplier circuit. VI. C ONCLUSION We presented a new technique for improving the output power and dc-to-RF efficiency of push–push-based mm-wave

[1] X. Mei et al., “First demonstration of amplification at 1 THz using 25-nm InP high electron mobility transistor process,” IEEE Electron Device Lett., vol. 36, no. 4, pp. 327–329, Apr. 2015. [2] D.-H. Kim and J. A. del Alamo, “30-nm InAs PHEMTs with f T =644 GHz and f max =681 GHz,” IEEE Electron Device Lett., vol. 31, no. 8, pp. 806–808, Aug. 2010. [3] D.-H. Kim, B. Brar, and J. A. del Alamo, “ f T =688 GHz and f max =800 GHz in L g =40 nm In0.7 Ga0.3 As MHEMTs with gm_max >2.7 mS/μm,” in IEDM Tech. Dig., Washington, DC, USA, Dec. 2011, pp. 319–322, doi: 10.1109/IEDM.2011.6131548. [4] B. Heinemann et al., “SiGe HBT with f T / f max of 505 GHz/720 GHz,” in IEDM Tech. Dig., San Francisco, CA, USA, Dec. 2016, pp. 3.1.1–3.1.4. [5] H.-J. Song and T. Nagatsuma, “Present and future of terahertz communications,” IEEE Trans. THz Sci. Technol., vol. 1, no. 1, pp. 256–263, Sep. 2011. [6] J. Federici and L. Moeller, “Review of terahertz and subterahertz wireless communications,” J. Appl. Phys., vol. 107, no. 11, 2010, Art. no. 111101. [7] S. Koenig et al., “Wireless sub-THz communication system with high data rate,” Nature Photon., vol. 7, pp. 977–981, Oct. 2013. [8] K. Takano et al., “A 105 Gb/s 300 GHz CMOS transmitter,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2017, pp. 308–310. [9] J. Y. Suen, M. T. Fang, S. P. Denny, and P. M. Lubin, “Modeling of terabit geostationary terahertz satellite links from globally dry locations,” IEEE Trans. THz Sci. Technol., vol. 5, no. 2, pp. 299–313, Mar. 2015. [10] P. H. Siegel, “Terahertz technology in biology and medicine,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 10, pp. 2438–2447, Oct. 2004. [11] E. Pickwell and V. P. Wallace, “Biomedical applications of terahertz technology,” J. Phys. D, Appl. Phys., vol. 39, no. 17, pp. R301–R310, 2006. [12] K. B. Cooper, R. J. Dengler, N. Llombart, B. Thomas, G. Chattopadhyay, and P. H. Siegel, “THz imaging radar for standoff personnel screening,” IEEE Trans. THz. Sci. Technol., vol. 1, no. 1, pp. 169–182, Sep. 2011. [13] R. Al Hadi et al., “A 1 k-pixel video camera for 0.7–1.1 terahertz imaging applications in 65-nm CMOS,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 2999–3012, Dec. 2012. [14] K. Sengupta, D. Seo, L. Yang, and A. Hajimiri, “Silicon integrated 280 GHz imaging chipset with 4×4 SiGe receiver array and CMOS source,” IEEE Trans. THz. Sci. Technol., vol. 5, no. 3, pp. 427–437, May 2015. [15] N. Pohl, S. Stanko, M. Caris, A. Tessmann, and M. Schlechtweg, “An ultra-high resolution radar-system operating at 300 GHz,” in Proc. IEEE Topical Conf. Wireless Sens., Sens. Netw., Jan. 2015, pp. 62–64. [16] J. Yun, D. Yoon, H. Kim, and J. S. Rieh, “300-GHz InP HBT oscillators based on common-base cross-coupled topology,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3053–3064, Dec. 2014. [17] J. Yun, J. Kim, and J.-S. Rieh, “A 280-GHz 10-dBm signal source based on InP HBT technology,” IEEE Microw. Wireless Compon. Lett., vol. 27, no. 2, pp. 159–161, Feb. 2017.

AHMED et al.: 0.3-THz SiGe-BASED HIGH-EFFICIENCY PUSH–PUSH VCOs WITH >1-mW PEAK OUTPUT POWER

[18] J. Sharma and H. Krishnaswamy, “216- and 316-GHz 45-nm SOI CMOS signal sources based on a maximum-gain ring oscillator topology,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 492–504, Jan. 2013. [19] H. Koo, C.-Y. Kim, and S. Hong, “Design and analysis of 239 GHz CMOS push-push transformer-based VCO with high efficiency and wide tuning range,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 62, no. 7, pp. 1883–1893, Jul. 2015. [20] M. Jahn, H. Knapp, and A. Stelzer, “A 122-GHz SiGe-based signalgeneration chip employing a fundamental-wave oscillator with capacitive feedback frequency-enhancement,” IEEE J. Solid-State Circuits, vol. 46, no. 9, pp. 2009–2020, Sep. 2011. [21] Q. J. Gu et al., “CMOS THz generator with frequency selective negative resistance tank,” IEEE Trans. THz. Sci. Technol., vol. 2, no. 2, pp. 193–202, Mar. 2012. [22] B. Razavi, “A 300-GHz fundamental oscillator in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 46, no. 4, pp. 894–903, Apr. 2011. [23] M. Adnan and E. Afshari, “A 247-to-263.5 GHz VCO with 2.6 mW peak output power and 1.14% DC-to-RF efficiency in 65 nm bulk CMOS,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2014, pp. 262–263. [24] Y. M. Tousi, O. Momeni, and E. Afshari, “A 283-to-296 GHz VCO with 0.76 mW peak output power in 65 nm CMOS,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2012, pp. 258–260. [25] Y. M. Tousi, O. Momeni, and E. Afshari, “A novel CMOS high-power terahertz VCO based on coupled oscillators: Theory and implementation,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3032–3042, Dec. 2012. [26] Y. Tousi and E. Afshari, “A high-power and scalable 2-D phased array for terahertz CMOS integrated systems,” IEEE J. Solid-State Circuits, vol. 50, no. 2, pp. 597–609, Feb. 2015. [27] J. Yun, D. Yoon, S. Jung, M. Kaynak, B. Tillack, and J. S. Rieh, “Two 320 GHz signal sources based on SiGe HBT technology,” IEEE Microw. Wireless Compon. Lett., vol. 25, no. 3, pp. 178–180, Mar. 2015. [28] E. Hegazi and A. A. Abidi, “Varactor characteristics, oscillator tuning curves, and AM-FM conversion,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 1033–1039, Jun. 2003. [29] S. P. Voinigescu et al., “A study of SiGe HBT signal sources in the 220–330-GHz range,” IEEE J. Solid-State Circuits, vol. 48, no. 9, pp. 2011–2021, Sep. 2013. [30] S. Shopov, A. Balteanu, J. Hasch, P. Chevalier, A. Cathelin, and S. P. Voinigescu, “A 234–261-GHz 55-nm SiGe BiCMOS signal source with 5.4–7.2 dBm output power, 1.3% DC-to-RF efficiency, and 1-GHz divided-down output,” IEEE J. Solid-State Circuits, vol. 51, no. 9, pp. 2054–2065, Sep. 2016. [31] S. Jameson and E. Socher, “High efficiency 293 GHz radiating source in 65 nm CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 7, pp. 463–465, Jul. 2014. [32] J. Grzyb, Y. Zhao, and U. R. Pfeiffer, “A 288-GHz lens-integrated balanced triple-push source in a 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 48, no. 7, pp. 1751–1761, Jul. 2013. [33] O. Momeni and E. Afshari, “A broadband mm-wave and terahertz traveling-wave frequency multiplier on CMOS,” IEEE J. Solid-State Circuits, vol. 46, no. 12, pp. 2966–2976, Dec. 2011. [34] K. Sengupta and A. Hajimiri, “A 0.28 THz power-generation and beam-steering array in CMOS based on distributed active radiators,” IEEE J. Solid-State Circuits, vol. 47, no. 12, pp. 3013–3031, Dec. 2012. [35] E. Ojefors, B. Heinemann, and U. R. Pfeiffer, “Active 220- and 325-GHz frequency multiplier chains in an SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 5, pp. 1311–1318, May 2011. [36] Y. Yang, O. D. Gurbuz, and G. M. Rebeiz, “An eight-element 370–410-GHz phased-array transmitter in 45-nm CMOS SOI with peak EIRP of 8–8.5 dBm,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4241–4249, Dec. 2016. [37] F. Golcuk, O. D. Gurbuz, and G. M. Rebeiz, “A 0.39–0.44 THz 2×4 amplifier-quadrupler array with peak EIRP of 3–4 dBm,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4483–4491, Dec. 2013. [38] R. Han and E. Afshari, “A CMOS high-power broadband 260-GHz radiator array for spectroscopy,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 3090–3104, Dec. 2013. [39] D. Costa, W. U. Liu, and J. S. Harris, “Direct extraction of the AlGaAs/GaAs heterojunction bipolar transistor small-signal equivalent circuit,” IEEE Trans. Electron Devices, vol. 38, no. 9, pp. 2018–2024, Sep. 1991. [40] S. Voinigescu, High-Frequency Integrated Circuits. New York, NY, USA: Cambridge Univ. Press, 2013.

1397

[41] G. D. Vendelin, Design of Amplifiers and Oscillators by the S-Parameter Method. New York, NY, USA: Wiley, 1982. [42] H. Rücker et al., “A 0.13 μm SiGe BiCMOS technology featuring f T / f max of 240/330 GHz and gate delays below 3 ps,” IEEE J. SolidState Circuits, vol. 45, no. 9, pp. 1678–1686, Sep. 2010. [43] M. Rickelt, H.-M. Rein, and E. Rose, “Influence of impact-ionizationinduced instabilities on the maximum usable output voltage of Si-bipolar transistors,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 774–783, Apr. 2001. [44] S. T. Nicolson et al., “Design and scaling of W-band SiGe BiCMOS VCOs,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1821–1833, Sep. 2007. [45] Y.-J. Chan, C.-F. Huang, C.-C. Wu, C.-H. Chen, and C.-P. Chao, “Performance consideration of MOS and junction diodes for varactor application,” IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2570–2573, Sep. 2007. [46] A. S. Porret, T. Melly, C. C. Enz, and E. A. Vittoz, “Design of high-Q varactors for low-power wireless applications using a standard CMOS process,” IEEE J. Solid-State Circuits, vol. 35, no. 3, pp. 337–345, Mar. 2000. [47] J. Victory, Z. Yan, G. Gildenblat, C. McAndrew, and J. Zheng, “A physically based, scalable MOS varactor model and extraction methodology for RF applications,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1343–1353, Jul. 2005. [48] T. Soorapanth, C. P. Yue, D. R. Shaeffer, T. I. Lee, and S. S. Wong, “Analysis and optimization of accumulation-mode varactor for RF ICs,” in Symp. VLSI Circuits, Dig. Tech. Papers, Jun. 1998, pp. 32–33. [49] R. Castello, P. Erratico, S. Manzini, and F. Sveito, “A ±30% tuning range varactor compatible with future scaled technologies,” in Symp. VLSI Circuits, Dig. Tech. Papers, Jun. 1998, pp. 34–35. [50] S. Zeinolabedinzadeh, P. Song, M. Kaynak, M. Kamarei, B. Tillack, and J. D. Cressler, “Low phase noise and high output power 367 GHz and 154 GHz signal sources in 130 nm SiGE HBT technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [51] W. Perndl, H. Knapp, K. Aufinger, T. F. Meister, W. Simburger, and A. L. Scholtz, “Voltage-controlled oscillators up to 98 GHz in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1773–1777, Oct. 2004. [52] N. Pohl, H.-M. Rein, T. Musch, K. Aufinger, and J. Hausner, “SiGe bipolar VCO with ultra-wide tuning range at 80 GHz center frequency,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2655–2662, Oct. 2009. [53] D. Yoon, J. Yun, and J.-S. Rieh, “A 310–340-GHz coupled-line voltagecontrolled oscillator based on 0.25-μm InP HBT technology,” IEEE Trans. THz Sci. Technol., vol. 5, no. 4, pp. 652–654, Jul. 2015. [54] Y. Baeyens et al., “Highly efficient harmonically tuned InP D-HBT push–push oscillators operating up to 287 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 341–344. [55] A. Chakraborty, S. Trotta, and R. Weigel, “A low-phase-noise monolithically integrated 60 GHz push–push VCO for 122 GHz applications in a SiGe bipolar technology,” in IEEE Bipolar/BiCMOS Circuits Technol. Meet. (BCTM) Dig., Sep./Oct. 2013, pp. 195–198. [56] R. Wanner, H. Schäfer, R. Lachner, G. R. Olbrich, and P. Russer, “A fully integrated 70 GHz SiGe low phase noise push-push oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1–4. [57] Y. Baeyens and Y. K. Chen, “A monolithic integrated 150 GHz SiGe HBT push-push VCO with simultaneous differential V-band output,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 877–880. [58] K. W. Kobayashi et al., “A 108-GHz InP-HBT monolithic push–push VCO with low phase noise and wide tuning bandwidth,” IEEE J. SolidState Circuits, vol. 34, no. 9, pp. 1225–1232, Sep. 1999. [59] P.-Y. Chiang, O. Momeni, and P. Heydari, “A highly efficient 0.2 THz varactor-less VCO with −7 dBm output power in 130 nm SiGe,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), Oct. 2012, pp. 1–4. [60] J.-C. Nallatamby, M. Prigent, M. Camiade, and J. Obregon, “Phase noise in oscillators—Leeson formula revisited,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1386–1394, Apr. 2003. [61] F. Ahmed, M. Furqan, B. Heinemann, and A. Stelzer, “A SiGebased D-band fundamental-wave VCO with 9 dBm output power and −185 dBc/Hz FoMT ,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), Oct. 2015, pp. 1–4. [62] E. Hegazi, H. Sjoland, and A. A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 1921–1930, Dec. 2001.

1398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

[63] H. Li and H. M. Rein, “Millimeter-wave VCOs with wide tuning range and low phase noise, fully integrated in a SiGe bipolar production technology,” IEEE J. Solid-State Circuits, vol. 38, no. 2, pp. 184–191, Feb. 2003. [64] J.-Y. Kim, H.-J. Song, K. Ajito, M. Yaita, and N. Kukutsu, “A 325 GHz quadrature voltage controlled oscillator with superharmonic-coupling,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 8, pp. 430–432, Aug. 2013. [65] V. Radisic et al., “A 330-GHz MMIC oscillator module,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 395–398. [66] C. Jiang, A. Cathelin, and E. Afshari, “An efficient 210 GHz compact harmonic oscillator with 1.4 dBm peak output power and 10.6% tuning range in 130 nm BiCMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., May 2016, pp. 194–197. [67] R. Han et al., “A SiGe terahertz heterodyne imaging transmitter with 3.3 mW radiated power and fully-integrated phase-locked loop,” IEEE J. Solid-State Circuits, vol. 50, no. 12, pp. 2935–2947, Dec. 2015. [68] H. Jalili and O. Momeni, “A 318-to-370GHz standing-wave 2D phased array in 0.13 μm BiCMOS,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2017, pp. 310–312. [69] Y. Zhao et al., “A 0.56 THz phase-locked frequency synthesizer in 65 nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 51, no. 12, pp. 3005–3019, Dec. 2016. [70] P.-Y. Chiang, O. Momeni, and P. Heydari, “A 200-GHz inductively tuned VCO with −7-dBm output power in 130-nm SiGe BiCMOS,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3666–3673, Oct. 2013. [71] A. Mostajeran and E. Afshari, “An ultra-wideband harmonic radiator with a tuning range of 62 GHz (28.3%) at 220 GHz,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2017, pp. 164–167. [72] S. Jameson, E. Halpern, and E. Socher, “A 300 GHz wirelessly locked 2×3 array radiating 5.4 dBm with 5.1% DC-to-RF efficiency in 65 nm CMOS,” in IEEE ISSCC Dig. Tech. Papers, Jan./Feb. 2016, pp. 348–350. [73] J. Grzyb, B. Heinemann, and U. R. Pfeiffer, “Solid-state terahertz superresolution imaging device in 130-nm SiGe BiCMOS technology,” IEEE Trans. Microw. Theory Techn., to be published, doi: 10.1109/TMTT.2017.2684120. [74] N. Sarmah, P. R. Vazquez, J. Grzyb, W. Foerster, B. Heinemann, and U. R. Pfeiffer, “A wideband fully integrated SiGe chipset for high data rate communication at 240 GHz,” in Proc. Eur. Microw. Integr. Circuits Conf., Oct. 2016, pp. 181–184. [75] J. Grzyb, K. Statnikov, N. Sarmah, B. Heinemann, and U. R. Pfeiffer, “A 210–270-GHz circularly polarized FMCW radar with a single-lenscoupled SiGe HBT chip,” IEEE Trans. THz Sci. Technol., vol. 6, no. 6, pp. 771–783, Nov. 2016.

Faisal Ahmed (S’15) received the B.E. degree (Hons.) in electronic engineering from the NED University of Engineering and Technology, Karachi, Pakistan, in 2006, and the master’s degree (Hons.) in microwave engineering from the Technical University of Munich, Munich, Germany, in 2009. He is currently pursuing the Ph.D. degree at the Institute for Communications Engineering and RF-Systems, Johannes Kepler University, Linz, Austria. In 2006, he joined the Pakistan Space and Upper Atmosphere Research Commission (SUPARCO), where he was involved in on-board satellite telemetry and telecommand subsystems. From 2010 to 2011, he was a Manager (payload subsystem) with the China Academy of Space and Technology, involved in the development and launch of the Paksat-1R Communication Satellite. In 2017, he joined Danube Integrated Circuit Engineering, Linz, as a Millimeter-Wave Circuit Designer. His current research interests include analog-integrated circuit and system design for millimeter and terahertz waves for radar sensors and microwave imaging. Mr. Ahmed was the recipient of the Excellence Award in Satellite Research of SUPARCO in 2006 and 2011. He was also the recipient of the 2015 Best Student Contribution Award of the Radio Frequency Engineering Working Group (ARGE HFT) of the Austrian Research Association and the 2016 International Journal of Microwave and Wireless Technologies Best Paper Award.

Muhammad Furqan (S’12) received the B.E. degree (Hons.) in electronic engineering from the NED University of Engineering and Technology, Karachi, Pakistan, in 2006, and the master’s degree (Hons.) in microwave engineering from the Technical University of Munich, Munich, Germany, in 2009. He is currently pursuing the Ph.D. degree at the Institute for Communications Engineering and RF-Systems, Johannes Kepler University, Linz, Austria. He was with the Computational Electromagnetics Laboratory, King Abdullah University of Science and Technology, Thuwal, Saudi Arabia, from 2010 to 2012, where he was involved in numerical techniques in electromagnetics with an emphasis on ferrite materials. In 2017, he joined Danube Integrated Circuit Engineering, Linz, Austria, as a MillimeterWave Circuit Designer. His current research interests include circuit and system design for millimeter-wave communication systems and microwave radar sensors. Mr. Furqan was the recipient of an Academic Award for Excellence in 2010 and 2011. He was also the recipient of the 2016 International Journal of Microwave and Wireless Technologies Best Paper Award. He was honorably mentioned at the 2017 IEEE MTT-S International Microwave Symposium Student Paper Competition. Bernd Heinemann received the Diploma degree in physics from the Humboldt Universität zu Berlin, Berlin, Germany, in 1984, and the Ph.D. degree in electrical engineering from the Technische Universität Berlin, Berlin, in 1997. In 1984, he joined Innovations for High Performance Microelectronics, Frankfurt (Oder), Germany. From 1984 to 1992, he contributed to the development of an epi-free 0.8-μm BiCMOS technology. Since 1993, he has been a member of a team involved with the exploration and technological implementation of SiGe HBTs. His current research interests include the development and characterization of MOS and bipolar devices. Andreas Stelzer (M’00) was born in Haslach an der Mühl, Austria, in 1968. He received the Dipl.Ing. degree in electrical engineering from the Technical University of Vienna, Vienna, Austria, in 1994, and the Dr. Techn. (Ph.D.) degree (Hons.) in mechatronics from Johannes Kepler University, Linz, Austria, in 2000. In 2003, he joined the Institute for Communications Engineering and RF-Systems, Johannes Kepler University, as an Associate Professor. He has been a Key Researcher with the Austrian Center of Competence in Mechatronics, Linz, since 2008, where is currently responsible for numerous industrial projects. He has been the Head of the Christian Doppler Research Laboratory for Integrated Radar Sensors, since 2007, and a Full Professor with Johannes Kepler University, since 2011, heading the Department of RF-Systems. He has authored or co-authored over 360 journal and conference papers. His current research interests include microwave sensor systems for industrial and automotive applications, radar concepts, SiGe-based circuit design currently up to 320 GHz, microwave packaging in eWLB, RF, and microwave subsystems, surface acoustic wave sensor systems and applications, and digital signal processing for sensor signal evaluation. Dr. Stelzer is a member of the Austrian Electrotechnical Association, the IEEE Microwave Theory and Techniques Society (MTT-S), the IEEE Instrumentation and Measurement Society, and the IEEE Circuits and Systems Society. He serves as the IEEE Distinguished Microwave Lecturer from 2014 to 2016. He was the recipient of several awards including the 2008 IEEE MTT-S Outstanding Young Engineer Award, the 2011 IEEE Microwave Prize, the 2012 European Conference on Antennas and Propagation Best Measurement Paper Prize, the 2012 Asia–Pacific Conference on Antennas and Propagation Best Paper Award, the 2011 German Microwave Conference Best Paper Award, the EEEfCOM Innovation Award, and the 2003 European Microwave Association Radar Prize of the European Radar Conference. He has served as an Associate Editor for IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS . He currently serves as the Co-Chair for MTT-27 Wireless-Enabled Automotive and Vehicular Applications.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Inkjet Printing of Epidermal RFID Antennas by Self-Sintering Conductive Ink S. Amendola , A. Palombi, and G. Marrocco

Abstract— The recently introduced inkjet printing technology with ambient sintering is here investigated for the fabrication of epidermal antennas suitable for radio-frequency identification (RFID) and sensing. The attractive feature of this manufacturing process is the possibility to use low-cost printers without any high-temperature curing. In spite of the estimated maximum achievable conductivity of the ink (σUHF = 1 × 105 S/m) in the UHF-RFID band that is two orders of magnitude lower than that of the bulk copper, a threefold printing process provides the same on-skin radiating performance as manufacturing technologies using bulk conductors. Experiments demonstrate that the epidermal antennas printed on the PET substrate are insensitive to moderate mechanical stress, like the natural bending occurring over the human body, and to the possible exposure to body fluids (e.g., sweat). Moreover, the electromagnetic response remains stable over the time when the printed layouts are coated with biocompatible membranes. Index Terms— Additive manufacturing, body sensor network, inkjet printing, radio-frequency identification (RFID), RFID tags, UHF antennas, wearable sensor, wireless sensor.

I. I NTRODUCTION

F

LEXIBLE and body-conformable sensors are a promising driver for the new generation of noninvasive and discrete body-centric systems with application to biomedicine, security, and entertainment. The recent convergence between the emerging Epidermal Electronics [1] and the more assessed radio-frequency identification (RFID) technology for passive body-centric systems [2] is indeed stimulating the development of novel skin-tight batteryless devices provided with sensor capabilities and wireless interfaces for communication with a remote reader unit. Pioneering applications of epidermal RFID tags to the wireless measurement of body temperature and to wound healing monitoring were already demonstrated in [3] and [4]. Reshaping RFID transponders, conventionally used in logistics of bulk objects, into a suitable layout for skin mounting demands for techniques to deposit conductive traces over biocompatible ultrathin flexible membranes. Cost-effective and easily accessible methods are, hence, required for both the rapid prototyping of laboratory samples as well as for the mass production of skin sensors over the large scale. The first Manuscript received July 13, 2017; revised September 27, 2017; accepted October 4, 2017. (Corresponding author: S. Amendola.) The authors are with the Pervasive Elecromagnetics Laboratory, University of Rome Tor Vergata, 00173 Rome, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2767594

referred prototypes of tattoo-like tags, in the UHF RFID band (860–960 MHz), were fabricated by profiling conductive silver painting or a nickel-based screening spray by means of the stencil technique [5]. Inkjet printing is a promising technology for depositing metal traces on flexible and even stretchable substrates [6]–[8]. This process is being increasingly adopted by the electronics industry for the fabrication of RF circuits and wireless devices [9] by using electrically engineered inks made by metallic nanoparticle, conductive polymers (PEDOT-PSS), organometallic compounds, and carbon nanotubes (refer to [10] and [11] for a complete survey). Inkjet printing of silver nanoparticle inks has already been experimented also for manufacturing RFID tags [12], [13] and even to produce epidermal antennas over transfer tattoo paper [14]. Most of the published works refer to highly specialized and expensive printing equipment, like the FUJIFILM Dimatix DMP-2800, with nanoparticle silver-based inks (e.g., from Xerox, Sigma-Aldrich). This technology requires thermal or laser postdeposition sintering treatments at high temperature (between 135° and more than 300°) to provoke the coalescence of the nanoparticles that are enclosed in a polymeric shell—especifically designed to forbid agglomeration prior to the deposition—and, accordingly, to achieve the optimal electrical conductivity. Depending on the specific printing process, the kind of substrate, the number of the printed layers, and the resulting thickness of the traces, the dc conductivity of printed ink nowadays approaches the same order of magnitude (σ ÷ 107 S/m) as bulk conductors [σ (Ag) = 6.3 × 107 S/m, σ (Cu) = 5.9 × 107 S/m, and σ (Al) = 3.5 × 107 S/m]. Just to give an example, a conductivity of σ = 2 × 107 S/m was measured at 2450 MHz in [15] for a silver-based ink printed on cardboard and thermally cured. Very recent progresses in materials science originated a new class of conducting inks [16], [17] that dry at room temperature and form an instantly conductive layer, without the need of time-consuming thermal sintering [18], [19]. These sintering-free inks are, hence, suitable to be cheaply and easily deposited on a flexible substrate by using consumer-grade, low-cost, inkjet printers. The feasibility of the fabrication of a variety of functional electronic prototypes, including touch and proximity-sensitive surfaces and capacitive liquid-level sensors, has already been demonstrated in [20] and [21]. Ta et al. [22] proposed procedures to make interconnections between double-sided patterns with the purpose of fabricating multilayered instant-printed circuits. Even more recently,

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

the self-sintering ink was used for the fabrication of miniaturized artificial magnetic conductors in the sub-GHz applications [23] and for the manufacturing of chipless humidity RFID sensors [24]. The declared spatial resolution of the printed trace was 150 μm while the ink sheet resistance was estimated to be 0.3 /m (with no indication about the number of printed layers). The application of the above manufacturing technique to epidermal antennas working in the UHF-RFID band requires a more in-depth analysis to take care of a number of additional parameters that are specific to the cohabitation of an antenna with the human skin, such as the printing quality over common biocompatible substrates, the presence of the sweat, the possible bending over body curvatures, and the need of a protective coating. In spite of some information about the radio-frequency feature of the self-sintering inkjet printing of antennas that may be derived from the above cited papers, other issues, such as the achievable conductivity versus the specific inkjet process (say the number of printed layers), the long-term performance, and the robustness to the mechanical and chemical stress, are still unknown and worthwhile for further investigation. Thus, this paper describes a complete and independent characterization of the self-sintering inkjet technology for the specific fabrication of epidermal antennas with the overall goal of identifying the most appropriate modalities to achieve readrange performance as close as possible to the bulk copper in the case of application onto the skin, and to test the sensitivity of the inkjet-printed antennas in variable boundary conditions typical of body-centric systems. The basics of the sinteringfree conductive ink are reviewed in Section II regarding the ink conductivity in the dc regime and the identification of suitable printing substrates. Then, in Section III, the ink conductivity is estimated in the UHF-RFID band by means of a combined experimental/simulated identification procedure versus the number of printed layers. Section IV addresses the achievable performance of realistic epidermal antennas concerning the achievable realized gain versus the trace width, the resistance to bending and to body fluids, and the stability over time. Some possible biocompatible coatings are also experimented. Finally, in Section V, the self-sintering printing technology is demonstrated for the real application over the skin and then compared with other conventional manufacturing options involving bulk conductors that have been already proposed for epidermal antennas. II. S ELF -S INTERING AG I NK The silver nanoink from Mitsubishi Paper Mill [16] consists of an aqueous solution containing silver nanoparticles of approximately 20 nm diameter uniformly dispersed in a solvent consisting of polymer latex and halide emulsion. The conductivity of this ink spontaneously emerges at an ambient temperature as soon as the solution is dried, thanks to the formation of interconnections among the silver nanoparticles, which is triggered by the halide [27]. The printer selected for ink deposition was the Brother MFC-J5910DW whose specific nozzles eject higher volumes of ink than other printers, meaning that a greater amount of conductive ink can be deposited in

a short time. The standard CMYK cartridges were all refilled by the Ag ink using disposable syringe filters. The print quality is 6000 dpi × 1200 dpi. Accordingly, in comparison with a single-nozzle printer, the considered printing process releases four times the amount of ink that roughly corresponds to four layers. However, unlike professional printers, this lowcost procedure does not permit any kind of control over the inkjet process like the size of the droplet, the temperature of the nozzle, and the time between two consecutive droplets, so that the appearance of the resulting trace could be different between the two procedures. To prevent confusion, the term “layer” is hereafter avoided while the term “single-printed trace” will refer to the output of the printer, as it is. A. Suitable Printing Substrates The first step of the research considered the possibility to deposit this ink over sheets and membranes suitable to host epidermal devices, such as inkjet tattoo-paper, polyurethanebased dressing, cellulose membrane, and adhesive nonwoven fabrics. Tattoo transfer paper, in particular, has already been experimented for printing silver ink dispersed in organic solvent and then sintered at 135 °C [14]. Two additional media suggested by the ink manufacturer were also considered: a resin-coated paper and a PET film (white or transparent) having a PVA-based ink receptive layer on the top [28]. The tested materials with the corresponding optical micrographs of a 2 × 10 mm2 printed trace are listed in Table I. None of the skin-like membranes revealed adequate for selfsintering ink, as the dc impedance measured between the two extremities of the trace was higher than 2 M. The ink penetrated within the fibrous matrix (4, 5), spread over hydrophobic surface (2), or formed evident cracks (1), thus preventing the activation of chemical sintering and the creation of a continuous conductive path. A low resistance was achieved only with the commercial printing sheets (6, 7) thanks to their specific surface treatment based on a porous coating layer that facilitates the ink adhesion and the solvent absorption at the nanoscale and prevents smearing. Although being flexible, thin, and biocompatible, the texture of these sheets is not entirely suited to intimately adhere to the skin; they have been used anyway in this paper for the ink characterization for epidermal-oriented applications, while major efforts are being currently devoted to process the surface of the other more skin-like membranes to make them printable. B. Spontaneous-Sintering Effect Fig. 1(a) shows an example of the sheet resistance of a single-printed rectangular trace over the PET substrate with the size ratio Width/Length = 100, according to the standard in [29]. The spontaneous chemical sintering of the ink activates right after printing, and it continues for the next 30 min with a progressive reduction (up to 17%) of the sheet resistance (R S ) down to its asymptotic value that is hereafter considered as the ink resistivity. The resulting value of R S is rather unaffected by the temperature of the printing environment, while it slightly reduces in the case of high humidity conditions [see Fig. 1(b)].

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AMENDOLA et al.: INKJET PRINTING OF EPIDERMAL RFID ANTENNAS BY SELF-SINTERING CONDUCTIVE INK

3

TABLE I F LEXIBLE S UBSTRATES T ESTED FOR THE D EPOSITION OF S ELF -S INTERING I NK BY D ESKTOP P RINTER

Fig. 1. (a) Time-variant dc sheet resistance (in /sq) of a printed W/L = 100 trace on PET transparent film as measured at ambient conditions (T = 24.1 °C and relative humidity RH = 64%). Time t = 0 marks the end of the print procedure. (b) Sheet resistance of several printed traces on PET for variable ambient conditions during the printing process.

The thickness of the profiles of printed traces, as measured by the Dektak 3ST surface profilometer, is rather nonuniform with an average value t = 470 nm due to ink agglomeration and substrate porosity (see Fig. 2). The overall average sheet resistance derived from multiple measurements is R S = 0.22 /sq and the corresponding conductivity in dc can be, hence, estimated as 1 ≈ 1 · 107 S/m (1) RS t which is just a quarter of that of bulk conductor and also in line with the values reported in literature for the artificial sintering-based conducting inks. As shown in Section III, the conductivity in the UHF band is expected to be rather different due to the frequency dependence of the response of the nonmetallic matters inside the composite ink. σdc =

III. I NK C ONDUCTIVITY IN THE UHF-RFID BAND V ERSUS THE P RINTING PASSES The conductivity of printed traces, as for more conventional conducting ink, is dependent on the number of printed layers.

Fig. 2. Measured profile (by Dektak 3ST surface profilometer) of the inkjet-printed trace on a PVA-coated PET film.

The considered desktop printer does not permit to freely control the amount of deposition; thus the only way to test the overprinting effect is reloading several times the same sheet for a new printing task for the purpose to increase the ink density. Common techniques to estimate the surface impedance at microwave frequencies are usually based on the measurement of the insertion loss of microstrip lines [15] as well as on the estimation of the quality factor in narrowband resonant cavities [30] or on wideband transmission/reflection measurements in guided devices [31]. In this paper, the RF conductivity of the Ag ink in the UHF band versus the number of print layers was instead derived by an alternative method involving a single-port measurement of a resonant antenna and a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 3. Meandered monopole used as a reference antenna for the identification of the ink conductivity. Size [mm]: a = 5.7, b = 10, c = 1.7, d = 14, e = 2, and f = 17. Comparison between the measured S11 of the printed MLA and the simulated response of the corresponding FDTD model including the identified conductivity in the UHF band. The response obtained with the dc conductivity (1.5×106 S/m) and the RF conductivity (3.3×106 S/m) deduced in [24] is also reported for comparison.

parameter-identification procedure. For this purpose, a numerical model of the antenna needs to be matched, with respect to the unknown conductivity of its traces, to the measured reflection coefficient (S11 ) of the corresponding prototype. A similar method was already applied for the characterization of conducting polymers loading an RFID antenna in [32]. The considered test antenna was a meander-line monopole (MLA) [see Fig. 3 (inset)] to take benefit from the presence of the transmission-line current mode in the meanders generated by the multiple foldings [33], [34] that induces a huge sensitivity of the antenna response to the conductivity of the traces. The monopole was designed to have the first two series resonances around 900 and 2450 MHz, respectively. The printed monopole was placed over a copper ground plane and connected to an SMA connector via a two-part conductive epoxy glue (CircuitWorksCW2400 by Chemtronics, 20-min curing at 55 °C). The dielectric properties of the printing substrate (ε = 1.9 and σ = 0.015 S/m) were previously derived through the method described in [37]. The reflection coefficient S11 was, hence, measured in the frequency band 300–3000 MHz through a vector network analyzer. Then, the same layout was modeled by the finite-difference timedomain (FDTD) method (CST Microwave Studio 2016) and the following penalty function was minimized to identify the unknown trace effective conductivity: s=

2 

w1 | f n,meas − fn,sim (σ )|

n=1

+ w2 |B meas ( f n ) − B n,sim ( f n , σ )| + w3 |S11,meas ( f n ) − S11,sim ( f n , σ ) − 5|

(2)

where { f 1 , f 2 } are the first two resonant frequencies of the MLA around the UHF band, S11 ( f n ) the reflection coefficient at these resonances (expressed in dB), B( f n ) the bandwidth referred to S11 = −10 dB around the resonances, and w1 = w2 = 0.2 and w3 = 0.1 the weighing coefficients. Minimization was achieved by using the

Fig. 4. SEM micrographs. (a) 100k × macrostructural evolution of the granular conductive patterns as a function of the number of printing passes. (b) 500× magnification of the trace edge in case of four-pass printing.

trust region framework method by varying the conductivity of the numerical model. Fig. 3 shows the measured S11 (averaged over five printed prototypes made by a single-pass printing), which exhibits two sharp nulls around 870 and 2100 MHz. The identified effective RF conductivity was σUHF (pass = 1) = 3.3·103 S/m, and hence sensibly lower (four orders of magnitude) than the corresponding dc value. Indeed, unlike pure metals, the self-sintering ink is characterized by a considerable frequency-dependent behavior due to the composite nature of the ink (a conductive filler within a polymer matrix) and the residual presence of nonconductive materials (binders, solvents, and additives) after spontaneous sintering that introduce extra losses [8]. The measurements were then repeated for an increasing number of printing passes. The microstructure of the pattern evolves, as illustrated by the surface SEM photographs (magnification of 100k×) in Fig. 4(a). A significant densification and

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AMENDOLA et al.: INKJET PRINTING OF EPIDERMAL RFID ANTENNAS BY SELF-SINTERING CONDUCTIVE INK

5

TABLE II E STIMATED UHF C ONDUCTIVITY V ERSUS A N UMBER OF P RINTINGS

coarsening of the silver grains is produced by the spontaneous coalescence of metallic nanoparticles when increasing the number of the printing layers from one to four. A lower magnification image [500×, see Fig. 4(b)] shows that the use of a low-cost desktop inkjet printer led to an irregular profile of the edge of the printed trace, with ink dots spread around the edge. Moreover, the tray-based paper loading mechanism produces unavoidable overall misalignments of up to 0.2–0.5 mm when the substrate is manually reinserted into the printer distributor for additional ink release. Overall, the resulting effect is the widening and blurring of the trace with a local reduction of the effective conductivity close to the edge of the trace. Thus, to profitably apply this overprinting procedure, the antenna should not have traces thinner than 1 mm. The conductivity achieved in the case of n = {1, 2, 3, 4} printing passes was estimated through the above identification procedure and listed in Table II. The resulting values increases by up to two orders of magnitude (σ ≈ 105 ) when overprinting the antenna three times (n = 4 passes). It is worth noting that the retrieved conductivities are substantially lower than the value σref = 3.3 · 106 S/m that can be deduced from [23] by applying (1) for the declared parameters R S = 0.3 /sq and t = 1 μm. However, by introducing the latter value in the numerical modeling of the MLA, the corresponding S11 profile is rather divergent from the measured data (again in Fig. 3). This fact and the further comparison between modeling and measurement of epidermal antennas shown in Section IV seem to corroborate the lower value of conductivity as found here. The mismatch with [23] could probably be due to the manufacturing batch of the ink, as well as to different state of preservation before printing (transportation chain) and/or inside the printing cartridges themselves. IV. A PPLICATION TO E PIDERMAL RFID A NTENNAS The applicability of the considered inkjet-printed technology to epidermal RFID sensor tags is now discussed with the help of an example of a meandered rectangular loop (2.5 × 5 cm2 ) radiating like two-C dipoles [3]. The radiator was connected to the EM4325 RFID microchip, which embeds an internal temperature sensor, by means of a T-match transformer properly shaped to achieve impedance matching (Z in = 23 − j 145  at 870 MHz). The geometrical parameters of the antenna were optimized by means of numerical simulations accounting for the estimated conductivity of inkjet-printed traces for the application over a plastic box (PET εr = 2, tanδ = 0.005 at 1 GHz) filled with a liquid mixture (HSL900V2 by Speag, r = 41.2, and

Fig. 5. (a) Inkjet-printed meandered-loop epidermal antenna over PET substrate. (b) Simulated and measured realized gains (broadside direction), when the tag is attached onto a liquid phantom simulating the human body.

σ = 0.95 S/m) mimicking the electromagnetic properties of human tissues. The printed antenna was connected to the IC through the silver-based conductive glue. The resulting RFID tag was experimentally characterized in terms of the realized gain—defined as the power gain of the tag (along the broadside direction) multiplied by the power transfer coefficient between the antenna and the chip—which is directly related to the read range of the sensor. This performance indicator was measured by the turn-ON power method, i.e., the tag was interrogated by an RFID reader at a fixed distance (25 cm) with an increasing power until the tag starts responding. The comparison in Fig. 5 between the simulated and measured realized gains shows a good agreement in the worldwide RFID band with a local error of less than ±1 dB, thus corroborating the correctness of the estimated conductivity in the UHF band. Fig. 6 shows the measured realized gain when the tag was fabricated by multiple overprinting as described above. The experimental outcomes are in full agreement with the numerical model. The realized gain increases by about 1 dB per overprint, so that nearly bulk copper performance is achieved with just threefold printings and no practical advantage has to be expected with further release of the conducting ink. Since the reading range is related to the square root of the tag’s realized gain, the expected benefit of the threefold printing process with respect to the single-printed antenna is a 25% increase in the range of the antenna. A. RF Performance Versus the Trace Width In order to derive the best compromise between the radiation performance (realized gain) of the inkjet-printed epidermal

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. Maximum realized gain (measurements and simulations) of epidermal tag at 900 MHz as a function of the estimated ink conductivity. Fig. 8. Inkjet-printed antenna mounted over a hinge setup for the bending fatigue test.

Fig. 7. (a) Measured realized gain of three couplets of epidermal antennas made by different trace widths w = {2, 1, 0.5, 0.25} mm. (b) Magnified details (60×) of the 0.25-mm highly porous single-printed trace.

distribution of the silver nanoparticles in the trace, and hence of the presence of discontinuous (low density) zones (holes). When the trace becomes narrower, the absolute reduction of the contiguous (interconnected) conductive path will strongly degrade the overall effective conductivity of the ink, while the simulation always uses the same constant value. Accordingly, for the considered printing procedure and materials, the 1-mm trace width could be considered as a practical and conservative lower bound. B. Resistance to Bending and Body Fluids

antennas and the fabrication cost (i.e., the amount of used ink), the same layout as above was manufactured with traces having a smaller width w = {1, 0.5, 0.25} mm. Case by case, the T-match section was slightly readapted to account for the corresponding impedance changes (mostly the imaginary part). To avoid the blurring effect due to multifold printing, and hence to drop out potential additional sources of uncertainty, only the case of single-pass ink release was considered. Despite similar performance is expected from simulations regardless of the width of the antenna’s traces, the measurements returned instead a rather different behavior. The comparison in Fig. 7(a) shows that the peak of the realized gain slightly decreases (1 dB) when the trace is halved down to 1 mm but it is reduced by 5 dB in the case of 0.5 mm width. For thinner 0.25-mm traces, the communication performance is further degraded down to the point where the tag was not readable at all from distance higher than 10 cm. Similar results were found in [35] concerning the sheet resistance measured in dc. As visible in the magnified detail of the 0.25-mm trace [see Fig. 7(b)], several tiny perforations appear within the traces. As a consequence, when the width is less than 1 mm, there are not enough connected conductive clusters for the electric current to flow. The degradation of the radiation performance of the narrower trace tags and the discrepancy with simulation are due to the fact that the estimated conductivity is an “effective” value that accounts for the nonuniform

Depending on the region of the body where the epidermal tag is attached on, it can be subjected to mechanical stresses due to the flexure over different body curvatures and to the repetitive bending around joints. Moreover, inkjetprinted layouts conceived for epidermal sensing applications can be exposed to moisture, including perspiration and sweat, especially in the case of printed resistive electrodes that cannot be insulated. It is, hence, crucial to assess the robustness of the considered ink against bending and exposure to body fluids, which can both potentially affect the performance of the printed layout. A threefold printed tag was, hence, subjected to a bending fatigue test by using the setup described in [36], which consists of a stepper motor driving the central pin of a hinge with 12-mm radius (worst case, small curvature like a finger) (see Fig. 8). The bending angle was swept from 0° to 180° for up to 2000 cycles at the speed of 12 cycles/min. Visual inspection by the SEM microscope did not reveal any substantial change (microcracks/wrinkles/permanent folds) in the trace morphology before and after the fatigue test and the measured realized gain was invariant (see Fig. 9). To assess the robustness of the ink against the exposure to the body fluids, the printed sample was initially placed inside a box at saturated vapor of a physiological solution (NaCl 9 gr/L) and then even immersed in the saline solution for several hours. In all the cases, the radiating performance

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AMENDOLA et al.: INKJET PRINTING OF EPIDERMAL RFID ANTENNAS BY SELF-SINTERING CONDUCTIVE INK

7

Fig. 9. Measured realized gains of a threefold printed epidermal antenna before and after exposure to 100% RH, after immersion in saline solution and after 2000 of bending cycles.

Fig. 10. Variation of the maximum realized gain versus time of bare tags (single and overprinted) and coated tags with the respect to the initial value (printing time, t = 0).

of the tag measured after quick drying remained practically unchanged (see Fig. 9). C. Tag Coating (Stability Over Time) The conductivity of silver inkjet-printed layouts tends to degrade along with time in ambient air due to oxidation. A biocompatible coating layer is, hence, required for the encapsulation of the antenna. Two possible encapsulations were considered: 1) a silicon-based organic polymer [polydimethylsiloxane (PDMS)] and 2) a medical-grade polyurethane ultrathin (25 μm) dressing (Rollflex from Master-AID). The PDMS was prepared by mixing two liquid components (prepolymer and cross-linking agent, Sylgard 184 by Dow Corning)—in a 10:1 mass ratio. The resulting viscous liquid was manually brushed over the printed traces and then cured at 60 °C for 20 mins. The second film was instead directly stuck over the tag thanks to its adhesive backing. The response along with time of bare and coated tags is compared in Fig. 10. All the prototypes were printed simultaneously, i.e., at the same ambient conditions. The peak gain of the uncoated single-printed trace severely decreased (−4 dB) after one month, until becoming unreadable two weeks later. Overprinting process slows down the degradation

Fig. 11. (a) Prototypes of the test antenna made for comparison by three different manufacturing technologies. (b) Cross section of the corresponding integrated plasters (half). Depending on the antenna technologies, the antenna substrate is PET (inkjet), polyimide (photolithography), or biosilicone (copper-based methods). (c) Example of the placement over a volunteer’s arm.

(Gτ = −2 dB after two months) as the oxidation process initially involves the most superficial ink layers. Both the polymeric coatings revealed adequate to protect the ink ensuring reasonably unaltered tags’ gain, even ten months after the fabrication. It is worth noting that the presence of the protective layer is not expected to affect the measurement of skin parameters as the possible sensitive parts of the tag could be left locally uncoated to permit the direct interaction with the underlying body tissues, while it has been previously verified in [3] that a thin layer does not alter the measurement of the skin temperature. V. O N -S KIN P ERFORMANCE AND C OMPARISON W ITH C ONVENTIONAL M ANUFACTURING T ECHNIQUES The performance of the mono and threefold inkjet-printed epidermal tags were finally evaluated in real on-skin placement and then compared with the response of the same layout fabricated with more conventional and assessed additive and subtractive manufacturing technologies involving bulk conductors [see Fig. 11(a)]. 1) Flexible adhesive-backed copper foil (thickness 35 μm) carved by a two-axis digital-controlled cutting plotter. 2) 120-μm-radius copper wire manually shaped, with the help of nails, over a double-sided adhesive tape.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12. Measured realized gains (broadside direction) versus frequency of the epidermal tags attached over a volunteer’s arm as in Fig. 11.

3) Gold layer patterned over a ultrathin (5–7 μm) polyimide substrate by means of flexible photolithography. The last two solutions permit to achieve very thin and flexible antennas at the price of an expensive fabrication process (photolithography) and severe limitations in the geometry (microwire). The carved copper prototype looks instead bulky and less suitable to on-skin comfortable placements. Each antenna was placed over the arm of a volunteer, at different times, by means of a 600-μm-thick biosilicone substrate (ε = 2.5 and σ = 0.005 S/m) and then fixed through an extremely thin (25 μm) double-sided medical tape (3M 1509) [see Fig. 11(b) and (c)]. The biosilicone layer was used as a separating membrane that partially confines the electric nearfield of the antenna within the low-loss region of the substrate, thus significantly reducing the power loss and improving the radiating performance, as extensively demonstrated in [38]. The corresponding measured realized gains are shown in Fig. 12. In spite of small mutual frequency shifts due to a slightly different input impedance of the tags (indeed no postmanufacturing retuning was performed [3]), all the prototypes exhibited similar performance, with the peak value of the realized gain comprised between −15 and −11.5 dB and a rather broadband behavior. As expected from the previous investigations over phantoms, the monoprinted antenna returned a gain degradation of about 2–3 dB with respect to the bulk conductor prototypes while instead nearly identical performance was obtained by the threefold printed tag. Accordingly, the maximum achievable reading distance varies with the manufacturing process from 50 to 70 cm, when considering very low chip sensitivity for temperature sensing (Pchip = −4.5 dBm), and from 3.7 to 5.2 m when considering the state-of-the-art chip for labeling (Pchip = −22 dBm). VI. C ONCLUSION The presented experimentations demonstrated that selfsintering conductive inkjet manufacturing with low-cost printers is a feasible technology for the fabrication of planar antennas suitable to epidermal RFID and sensing. In spite of the few degrees of freedom in controlling the manufacturing process, self-sintering inkjet printing can be

easily tuned to achieve epidermal antennas with the same radiation performance of the bulk copper provided that threefold printing is performed. Nevertheless, suboptimal printing could still be attractive for a very quick and low-cost intermediate prototyping and tests when a modest reduction of the read distance could be tolerated. The printed traces are resistant against repeated cycles of bending, and hence the antenna could be suitable to comply with the natural deformation of the human body. The printing resolution is mostly imposed on the quality of the printer nozzle. By accounting also for the effective conductivity of monoprint layouts and for misplacements occurring during the overprinting, the minimum practical size of a trace should be roughly 1 mm. This looks enough for conventional UHF meandered dipole and loop antennas and for the interconnection to strap and TSSOP chip packages. This lower bound could be, however, inadequate for the fabrication of fine detailed patterns like multiturn coils and the interconnecting lines of a QFN chip package, as also discussed in [26]. Concerning the printing substrate, PET film is an excellent biocompatible medium to produce robust printed prototypes of epidermal antennas. Nevertheless, additional research is needed to find self-sintering ink-compatible elastic and stretchable membranes that are really suitable to a comfortable application over the human skin. On the basis of recently published papers [39], a promising solution could be the use of biocompatible and biodegradable substrates based on poly(caprolactone) and poly(lactic acid), either in the form of dense film and nonwoven fibrous fabrics that might undergo suitable surface treatments. The resulting membranes are expected to have mechanical properties comparable to skin dermis along with a bunch of many other interesting properties such as drug releasing and cell culturing platform. R EFERENCES [1] J. Rogers, R. Ghaffari, and D. H. Kim, Strechable Bioelectronics for Medical Devices and Systems. New York, NY, USA: Springer, 2016. [2] S. Manzari, C. Occhiuzzi, and G. Marrocco, “Feasibility of body-centric systems using passive textile RFID tags,” IEEE Antennas Propag. Mag., vol. 54, no. 4, pp. 49–62, Aug. 2012. [3] S. Amendola, G. Bovesecchi, A. Palombi, P. Coppa, and G. Marrocco, “Design, calibration and experimentation of an epidermal RFID sensor for remote temperature monitoring,” IEEE Sensors J., vol. 16, no. 19, pp. 7250–7257, Oct. 2016. [4] C. Occhiuzzi, A. Ajovalasit, M. A. Sabatino, C. Dispenza, and G. Marrocco, “RFID epidermal sensor including hydrogel membranes for wound monitoring and healing,” in Proc. Annu. IEEE Int. Conf. RFID, San Diego, CA, USA, Apr. 2015, pp. 182–188. [5] M. A. Ziai and J. C. Batchelor, “Temporary on-skin passive UHF RFID transfer tag,” IEEE Trans. Antennas Propag., vol. 59, no. 10, pp. 3565–3571, Oct. 2011. [6] Z. Yin, “Inkjet printing for flexible electronics: Materials, processes and equipments,” Chin. Sci. Bull., vol. 55, no. 30, pp. 3383–3407, Oct. 2010. [7] S. Khan, L. Lorenzelli, and R. S. Dahiya, “Technologies for printing sensors and electronics over large flexible substrates: A review,” IEEE Sensors J., vol. 15, no. 6, pp. 3164–3185, Jun. 2015. [8] S. Merilampi, T. Laine-Ma, and P. Ruuskanen, “The characterization of electrically conductive silver ink patterns on flexible substrates,” Microelectron. Rel., vol. 49, no. 7, pp. 782–790, 2009. [9] B. S. Cook, T. Le, S. Palacios, A. Traille, and M. M. Tentzeris, “Only skin deep: Inkjet-printed zero-power sensors for large-scale RFID-integrated smart skins,” IEEE Microw. Mag., vol. 14, no. 3, pp. 103–114, May 2013.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AMENDOLA et al.: INKJET PRINTING OF EPIDERMAL RFID ANTENNAS BY SELF-SINTERING CONDUCTIVE INK

[10] M. Singh, H. M. Haverinen, P. Dhagat, and G. E. Jabbour, “Inkjet printing-process and its applications,” Adv. Mater., vol. 22, no. 6, pp. 673–685, 2010. [11] G. Cummins and M. P. Y. Desmulliez, “Inkjet printing of conductive materials: A review,” Circuit World, vol. 38, no. 4, pp. 193–213, 2012. [12] J. Siden, M. K. Fein, A. Koptyug, and H.-E. Nilsson, “Printed antennas with variable conductive ink layer thickness,” IET Microw. Antennas Propag., vol. 1, no. 2, pp. 401–407, Apr. 2007. [13] K. Koski et al., “Inkjet-printed passive UHF RFID tags: Review and performance evaluation,” Int. J. Adv. Manuf. Tecnol., vol. 62, nos. 1–4, pp. 167–182, Sep. 2012. [14] V. Sanchez-Romaguera et al., “Towards inkjet-printed low cost passive UHF RFID skin mounted tattoo paper tags based on silver nanoparticle inks,” J. Mater. Chem. C, vol. 1, no. 39, pp. 6395–6402, 2013. [15] H. Saghlatoon, L. Sydanheimo, L. Ukkonen, and M. Tentzeris, “Optimization of inkjet printing of patch antennas on low-cost fibrous substrates,” IEEE Antennas Wireless Propag. Lett., vol. 13, pp. 915–918, 2014. [16] Mitsubishi Imaging (MPM), Inc. NBSIJ Diamond Jet Silver Nanoparticle Ink. Accessed: Oct. 2017. [Online]. Available: http://diamondjet.com/silvernanoparticleink-2.aspx [17] Methode Electronics, Inc. Conductive Inkjet Ink 9101. Accessed: Oct. 2017. [Online]. Available: http://www.methode.com/Documents/ TechnicalLibrary/MDC_Inks_Conductive_Inkjet_Ink_9101_Data_Sheet. pdf [18] S. Magdassi, M. Grouchko, O. Berezin, and A. Kamyshny, “Triggering the sintering of silver nanoparticles at room temperature,” ACS Nano, vol. 4, no. 4, pp. 1943–1948, 2010. [19] M. Grouchko, A. Kamyshny, C. F. Mihailescu, D. F. Anghel, and S. Magdassi, “Conductive inks with a, ‘built-in’ mechanism that enables sintering at room temperature,” ACS Nano, vol. 5, no. 4, pp. 3354–3359, Mar. 2011. [20] Y. Kawahara, S. Hogdes, B. S. Cook, C. Zhang, and G. D. Abowd, “Instant inkjet circuits: Lab-based inkjet printing to support rapid prototyping of UbiComp Devices,” in Proc. ACM Int. Joint Conf. Pervasive Ubiquitous Comput., 2013, pp. 363–372. [21] Y. Kawahara, S. Hodges, N. W. Gong, S. Olberding, and J. Steimle, “Building functional prototypes using conductive inkjet printing,” in IEEE Pervasive Computing, vol. 13, no. 3, pp. 30–38, Jul./Sep. 2014. [22] T. Ta, M. Fukumoto, K. Narumi, S. Shino, Y. Kawahara, and T. Asami, “Interconnection and double layer for flexible electronic circuit with instant inkjet circuits,” in Proc. ACM Int. Joint Conf. Pervasive Ubiquitous Comput., Sep. 2015, pp. 181–190. [23] S. Genovesi, F. Costa, F. Fanciulli, and A. Monorchio, “Wearable inkjet-printed wideband antenna by using miniaturized AMC for subGHz applications,” IEEE Antennas Wireless Propag. Lett., vol. 14, pp. 1927–1930, 2016. [24] M. Borghese, F. A. Dicandia, F. Costa, S. Genovesi, and G. Manara, “An inkjet printed chipless RFID sensor for wireless humidity monitoring,” IEEE Sensor J., vol. 17, no. 15, pp. 4699–4707, Aug. 2017. [25] A. M. Mansour, N. Shehata, B. M. Hamza, and M. R. M. Rizk, “Efficient design of flexible and low cost paper-based inkjet-printed antenna,” Int. J. Antennas Propag., vol. 2015, Art. no. 845042, Sep. 2015. [26] P. H. King, J. P. Scanlan, and A. Sóbester, “From radiosonde to papersonde: The use of conductive inkjet printing in the massive atmospheric volume instrumentation, system (MAVIS) project,” in Proc. AIAA Infotech Aerosp., 2015.

9

[27] T. Yoshiki, S. Shino, and K. Kobayashi, Process for preparing conductive material, U.S. Patent 8 012 676 B2, Sep. 6, 2011. [28] Silver Nanoparticle Transparency Film, document NB-TP-3GU100, Mitsubishi Imaging (MPM), Inc., Oct. 2017. [Online]. Available: http://diamondjet.com/silvernanoparticleink-1-1-1-1.aspx [29] Test Method for Determining the Electrical Resistivity of a Printed Conductive Material, American Society Testing Materials (ASTM) Designation: F1896-98, Jun. 2004, pp. 1–3. [30] A. Hernandez, E. Martin, J. Margineda, and J. M. Zamarro, “Resonant cavities for measuring the surface resistance of metals at X-band frequencies,” J. Phys. E, Sci. Instrum., vol. 19, no. 3, pp. 222–225, 1986. [31] F. Costa, “Surface impedance measurement of resistive coatings at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 62, no. 2, pp. 432–437, Feb. 2013. [32] S. Manzari and G. Marrocco, “Modeling and applications of a chemicalloaded UHF RFID sensing antenna with tuning capability,” IEEE Trans. Antennas Propag., vol. 62, no. 1, pp. 94–101, Jan. 2014. [33] M. Shahpari and D. V. Thiel, “The impact of reduced conductivity on the performance of wire antennas,” IEEE Trans. Antennas Propag., vol. 63, no. 11, pp. 4686–4692, Nov. 2015. [34] G. Marrocco, “Gain-optimized self-resonant meander line antennas for RFID applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 1, pp. 302–305, 2003. [35] Y. Matsuda, “Electric conductive pattern element fabricated using commercial inkjet printer for paper-based analytical devices,” Anal. Chem., vol. 87, no. 11, pp. 5762–5765, May 2015. [36] G. Saggio et al., “Resistive flex sensors: A survey,” Smart Mater. Struct., vol. 25, no. 1, p. 013001, Dec. 2015. [37] S. Amendola, C. Occhiuzzi, A. Ajovalasit, M. A. Sabatino, C. Dispenza, and G. Marrocco, “Dielectric characterization of biocompatible hydrogels for application to epidermal RFID devices,” in Proc. IEEE Microw. Conf. (EuMC), Sep. 2015, pp. 379–382. [38] S. Amendola and G. Marrocco, “Optimal performance of epidermal antennas for UHF radiofrequency identification and sensing,” IEEE Trans. Antennas Propag., vol. 65, no. 2, pp. 473–481, Feb. 2017. [39] A. H. Najafabadi, “Biodegradable nanofibrous polymeric substrates for generating elastic and flexible electronics,” Adv. Mater., vol. 26, no. 33, pp. 5823–5830, 2014.

S. Amendola, photograph and biography not available at the time of publication.

A. Palombi, photograph and biography not available at the time of publication.

G. Marrocco, photograph and biography not available at the time of publication.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Polyphase-Basis Discrete Cosine Transform for Real-Time Measurement of Heart Rate With CW Doppler Radar Junhyeong Park , Jong-Wook Ham, Seungwoon Park, Do-Hoon Kim, Seong-Jin Park, Hyunseong Kang, and Seong-Ook Park, Senior Member, IEEE

Abstract— This paper presents a novel polyphase-basis discrete cosine transform that can be used for challenges to observe heart rate (HR) variability and sudden changes of HR in short time. To succeed in the challenges, real-time measurement which requires a short window length is needed. As the window length decreases, however, increased main-lobe width (MLW) and sidelobe width (SLW) result errors in measuring HR. Unlike commonly used discrete Fourier transform (DFT), the proposed method is based on DCT, which improves the accuracy of measured HR even though the short window length is used for the real-time measurement of HR. We demonstrate that the DCT can give 2 times shorter MLW and SLW than the DFT can. To verify the proposed method, it is compared with well-known existing methods, arctangent demodulation and complex signal demodulation, which use the DFT. We measured HR using a 10.225-GHz continuous-wave Doppler radar. In each experiment, the window lengths of 3, 2, and 1.5 s were used to measure HR in real time. Experimental results show that the proposed method has lower mean and standard deviation of errors than the existing methods have. Index Terms— Arctangent demodulation (AD), complex signal demodulation (CSD), continuous-wave (CW) Doppler radar, discrete cosine transform (DCT), discrete Fourier transform (DFT), heart rate (HR) variability (HRV), main-lobe (ML) width (MLW), polyphase-basis DCT (PB-DCT), real-time measurement.

I. I NTRODUCTION

M

ANY studies for detecting cardiopulmonary movements (heartbeat and respiration) with Doppler radar have been researched for many decades. Thanks to noninvasive and noncontact characteristics of the Doppler radar, there are three main advantages of using it. First, the measurements are Manuscript received July 26, 2017; revised September 26, 2017; accepted October 16, 2017. This work was supported in part by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Science, ICT and Future Planning, under Grant NRF-2016R1A2B4010918 and in part by a Project of UAV Safety Technology Research called “Flight Safety Regulation Development and Integrated Operation Demonstration for Civil RPAS” funded by the Ministry of Land, Infrastructure and Transport, Republic of Korea Government under Grant 17ATRP-C108186-03. (Corresponding author: Junhyeong Park.) The authors are with the School of Electrical Engineering, South Korea Advanced Institute of Science and Technology, Daejeon 34141, South Korea (e-mail: [email protected]; [email protected]; physicsoly@kaist. ac.kr; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772782

easy to perform, because there is no need to attach, detach, or clean up the instrument. Second, it could be helpful for patients suffering from burns, shingles, or complex regional pain syndrome, which should not be touched. Third, because the electromagnetic wave penetrates clothing, patients do not need to takeoff clothes, which benefits patient monitoring. A continuous-wave (CW) Doppler radar is one of the famous types of radar systems in this field due to its simplicity and high sensitivity. Various topics have been discussed using the CW Doppler radar [1]–[26]. For instance, leakage cancellation technique was applied for heart rate (HR) detection at a long distance [3]. And, HR detection from the four sides of a human body was done with a Ka-band CW Doppler radar [4]. Respiration was monitored during sleep [5], [6], and respiratory pattern was analyzed as well [7]. Random body movement cancellation using the front and back CW radar [8], [9] and the fast acquisition of HR using time-window-variation technique [10] were also presented. Among the topics, measuring HR in near real time is one of the most recent studies in this field [10]. HR changes constantly, which is described as HR variability (HRV). Moreover, in urgent situations, it undergoes rapid change. To analyze the HRV and sudden changes of HR in short time, realtime measurement is required. Clearly, the shorter the window length is, the more likely it is to be real-time measurement. However, as the window length is decreased, main-lobe (ML) width (MLW) and sidelobe (SL) width (SLW) increase [31]. This makes ML and SLs of a heartbeat signal overlapped with those of other signal components on the spectrum, which lower an accuracy of measured HR. Because of this tradeoff, more than 10 s of the window length has been used for most published papers [8], [17]–[20] to get a high accuracy of measured HR rather than to pursue real-time measurement. Therefore, improving the accuracy even with the short window length is an important issue for the real-time measurement of HR. In order to measure HR, there are two techniques used mainly. One is arctangent demodulation (AD) and the other is complex signal demodulation (CSD). AD and CSD are often used with discrete Fourier transform (DFT). In this paper, these two methods are called as the existing methods for convenience. Both methods can resolve the null point problem, but have pros and cons [9]. In the case of AD, it suffers

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

less from nonlinear phase modulation [9]–[11], [16]. However, it has problems of dc offset and wrapping [9]–[11], [29]. Additional hardware or the signal processing such as center tracking is needed to minimize the dc offset [11]–[13]. Also, calibration should be taken whenever the distance between an antenna and a subject is changed to remove unwanted dc offset [10]. Besides, another signal processing algorithm such as an extended differentiate and cross-multiply (DACM) algorithm is required to resolve the wrapping problem [16], [29]. On the other hand, CSD has a problem of the nonlinear phase modulation, but it can resolve the dc offset problem easily by subtracting the average of data in a window [4], [8], [9]. Also, CSD does not have the wrapping problem. Thus, CSD not only reduces concerns about the dc offset, but also gives lower computational cost than the AD does [29]. In case of the problem of the nonlinear phase modulation, the harmonics of the respiration signal and intermodulation terms are troublesome, because they can adversely affect a heartbeat signal by being included in a frequency range of HR [6], [10], [26]. Unless MLW and SLW of the harmonics get shortened, they can distort the peak of the heartbeat signal in the spectrum. Thus, the accuracy of the measured HR can be degraded. The shorter the window length is, the worse the effect is. Therefore, a technique that overcomes the tradeoff is needed. This paper proposes a new method called polyphase-basis discrete cosine transform (PB-DCT), which provides improved accuracy by reducing MLW and SLW, even with the short window length. Unlike previous research, the proposed method is based on the DCT. The DCT has been frequently used in data compression field because of its property that is called energy compaction. Due to this property, the DCT reduces the spectral leakage [30]. In other words, the DCT can compress a magnitude of SLs by decreasing SLW. Although many types of DCT exist, we defined a new type of DCT focusing on the magnitude response. We mathematically demonstrate that newly defined DCT can make 2 times shorter MLW and SLW than the DFT can. Thanks to these reduced MLW and SLW, the proposed method has better accuracy of the measured HR than the existing methods have. This is verified with simulations and experiments. The proposed method is an extended method to overcome a limitation of the DCT. In this paper, newly defined DCT is just called as DCT for convenience. Because the DCT requires real input and real basis sequence, the phase as well as the frequency of an input signal affects the magnitude response. Thus, if the phase of the input signal and the basis sequence are not properly considered, the magnitude response can be distorted. This phenomenon is analyzed intuitively and mathematically. Then some additional procedures to complete the proposed method are introduced. In both CSD and AD, HR is finally measured by a peaksearch method after applying the fast Fourier transform (FFT) [3], [8], [9]. Therefore, the results of CSD and AD with the FFT are compared with those of the proposed method. Indeed, the FFT has been mostly used in previous studies. The FFT has faster computational speed than the DFT has, however, the DFT underlies the FFT. Thus, in this paper, the DFT is used rather than the FFT for the mathematical

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

derivation. An X-band CW Doppler radar whose carrier frequency is 10.225 GHz was implemented and used for the measurement. Also, a commercial electrocardiogram (ECG) equipment called QECG-3 was used as a reference. To measure HR in near real time, window lengths of 3, 2, and 1.5 s were selected. Digital signal processing for both simulations and measurements was performed using MATLAB. Last, for each window length, the mean and standard deviation (STD) of errors between the reference HR values and the measured values of the proposed method are compared with those between the reference HR values and the measured values of the existing methods. In Section II, the existing methods are explained and MLW and SLW by the DFT and the DCT are derived, respectively. Implementation of the X-band CW Doppler radar is presented in Section III. In Section IV, simulation conditions, procedures, and results for the DCT are presented to show the effect of shortened MLW and SLW. The limitation of the DCT is studied in Section V. Then, the PB-DCT is proposed to overcome the limitation in Section VI. An additional processing to complement it is also explained. Results and discussion of simulations and experiments are presented in Section VII, and conclusion is followed in Section VIII. II. E XISTING M EASUREMENT M ETHOD AND D ISCRETE C OSINE T RANSFORM A. Complex Signal Demodulation CSD was proposed to resolve the null point problem [8]. The null point problem is a typical issue of the CW Doppler radar. Severe attenuation of the desired signal in the baseband is caused by various lengths in radar equipment and a phase shift by reflection, which can be changed depending on the distance from an antenna to a subject [14]. Like AD, CSD is one of the representative methods for the measurement of respiration rate (RR) and HR by resolving the null point problem. To apply CSD, quadrature demodulation should be implemented in the radar hardware system. In-phase (I ) and quadrature phase (Q) signal at the baseband can be represented as follows [8]–[14]:   4π x h (t) 4π xr (t) 4πd0 + + + θ0 + φ(t) I (t) = cos λ λ λ   4π x h (t) 4π xr (t) 4πd0 + + + θ0 + φ(t) (1) Q(t) = sin λ λ λ where λ is a wavelength of the transmitted CW signal, 4πd0 /λ is a constant phase shift term due to the distance (d0 ) between the antenna and the subject, θ0 is the other constant phase shift term due to the phase shift by reflection and various lengths in the hardware system, φ(t) is residual phase noise, and 4π x h (t)/λ and 4π xr (t)/λ are modulated phase terms which have motion information of heartbeats (x h (t)) and respiration (xr (t)), respectively. Generally, periodic cardiopulmonary movements are assumed to be single tone signals as x h (t) = m h sin ωh and xr (t) = m r sin ωr in CSD. Also, I and Q signals are combined as a complex signal. Using a property of a Fourier series and Bessel functions, the final baseband signal (B(t)) can be expressed as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

3

follows [8]–[10]: B(t) = I (t) + j Q(t)    4π x h (t) 4π xr (t) 4πd0 + + +θ0 +φ(t) = exp j λ λ λ = DC I Q + 2 j [C10 sin(ωr t) + C01 sin(ωh t) + · · ·] · e j φ + 2[C20 cos(2ωr t) + C02 cos(2ωh t) + · · · ] · e j φ

(2)

where DC I Q = DC I + j · DC Q is a complex dc component, Ci j = Ji (4πm r /λ)J j (4πm h /λ), Jn (x) is the nth order Bessel function of the first kind, and φ = 4πd0 /λ+θ0 +φ(t). After taking the DFT at (2) and analyzing a magnitude response with the peak-search method, we can extract HR. Because the magnitude of e j φ is always unity, CSD is not affected by the null point problem. In comparison with AD, CSD has two major advantages. One is about dc offset and the other is about the computational cost. In the case of AD, additional hardware for dc calibration or the signal processing such as center tracking is required to minimize the dc offset [11]–[13]. Also, the dc calibration should be done whenever the environment changes [8]–[10]. Besides, to resolve the wrapping problem of AD, an additional algorithm such as DACM is needed [16]. However, in CSD, although dc offset exists in each channel and may affect the dc component, i.e., dc I Q in (2), the dc component can be easily and quickly removed by subtracting the average of signal data in a window [8], [9]. Therefore, CSD can reduce the burden of additional hardware or the signal processing used to minimize the dc offset. Thus, CSD has lower computational cost than has the AD [29]. However, CSD has a problem of nonlinear terms such as harmonics terms and intermodulation terms. Even though we assume that the periodic cardiopulmonary movements are single tone signals, the nonlinear terms are produced due to the nonlinear phase modulation [4], [8], [9]. Fig. 1 shows results of CSD and DFT with different window lengths. Simulation conditions were set to consider the designed radar and various situations in practice. Details about the conditions are explained in Section IV. As shown in Fig. 1(a), there are harmonics of the respiration and intermodulation terms. Entire graphs in Fig. 1 show the influence of increased MLW and SLW as the window length decreases. As the window length is reduced to pursue the real-time measurement of HR [Fig. 1(d)], the second harmonic of the respiration signal and the intermodulation term ( f h − fr ) are overlapped with the heartbeat signal, and the resolving ability decreases, which increases the error of measured HR to be 4.01 BPM. Therefore, there is need to reduce MLW and SLW even with the short window length for the real-time measurement of HR. Fig. 1(a) shows that the second harmonic of the respiration signal has the biggest magnitude among the nonlinear terms. Also, the intermodulation terms ( f h − fr , f h + fr ) are bigger than the third harmonic of the respiration signal. Fig. 1(b) shows that the third harmonic of the respiration signal is comparable with the SLs around it. Therefore, the second harmonic of the respiration signal will be the most problematic component and the intermodulation terms ( f h − fr , fh + fr ) will be the next problematic component.

Fig. 1. Simulation results of CSD and the DFT when window lengths are (a) 70, (b) 35, (c) 6, and (d) 3 s. Respiration signal was filtered out by HPF. Considering the X-band CW Doppler radar in practice, respiration signal and heartbeat signal were assumed to be a single tone sinusoidal signal and halfcycle sine pulse, respectively. Parameters were set as follows: λ ∼ = 29.34 mm, m r = 0.8 mm, m h = 0.08 mm, fr = 0.333 Hz (=20 BPM), and f h = 1.117 Hz (=67 BPM). Simulation conditions are explained in detail in Section IV-A.

If RR is small, the second harmonic of the respiration signal also can be attenuated by a high-pass filter (HPF). Also, the intermodulation terms ( f h − fr , f h + fr ) get closer to the peak of the heartbeat signal as RR decreases. Therefore, when RR is small, the intermodulation terms ( f h − fr , f h + fr ) will be the most dominant problematic component in measuring HR. B. Arctangent Demodulation As we already mentioned, AD is one of the most famous methods to measure RR and HR without the null point problem. Applying arctangent function to the ratio of quadrature

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

signals in (1), phase information of quadrature signals can be extracted as   Q(t) ψ(t) = arctan I (t) ⎞  ⎛ sin 4π xλh (t ) + 4π xλr (t ) + φ  ⎠ = arctan ⎝ cos 4π xλh (t ) + 4π xλr (t ) + φ 4π x h (t) 4π xr (t) + + φ. (3) = λ λ Therefore, AD suffers less from the nonlinear terms than CSD and DFT do. However, discontinuity can occur due to the codomain phase range of arctangent, so additional signal processing such as DACM should be applied [9], [16]. We did not consider dc offset in (1), because it is not the problem in a single-channel application as well. Considering dc offset (VI , VQ ) of each channel, (1) can be rewritten as   4π x h (t) 4π xr (t) + +φ I (t) = VI + cos λ λ   4π x h (t) 4π xr (t) Q(t) = VQ + sin + +φ . (4) λ λ Then, the result of AD is [13]  ⎞ ⎛ VQ + sin 4π xλh (t ) + 4π xλr (t ) + φ  ⎠. ψ(t) = arctan ⎝ VI + cos 4π xλh (t ) + 4π xλr (t ) + φ

(5)

In AD, the dc offset must not be removed by the way in which the average of each channel is subtracted, because dc offset should be distinguished from dc information which is required for an accuracy [13]. Besides, dc offset is produced by not only the electrical circuit but also the unmodulated reflected signal. For example, reflected signal from stationary objects and other parts of the human body also contribute to make dc offset [9]. Therefore, recalibration is needed whenever the environment changes, which even includes the change of the distance to the subject [10]. For the calibration, additional hardware is required [11], or additional signal processing such as center tracking algorithms should be applied [33]. C. Derivation of MLW With DFT When we apply the DFT for real applications, we should consider windowing. MLW is determined depending on a type of window functions [31], [32]. In this paper, considering resolving ability on the spectrum, rectangular window which has small MLW was used. Basic formula for the N-point DFT is X DFT [k] =

L−1

x[n]e− j

2π k N n

(6)

n=0

where x[n] is a sampled input signal, L is the number of samples in a window, and n and k indicate the index of time and frequency domains, respectively. Zero padding was used to minimize an error due to the insufficiently spaced interval in frequency domain. Sampling frequency was 100 Hz

and N was 217 , so the interval of samples in the frequency domain is about 0.046 BPM. Because modulated baseband signals in (1) can be expressed as a series of periodic sinusoidal signals as in (2), we can put a simple cosine signal in x[n] for convenience in the derivation of MLW. Also, according to Euler’s formula, we can express (6) as follows: X DFT [k] =

L−1

A

n=0

e j ω0n + e− j ω0 n − j 2π k n e N 2

(7)

where A is an amplitude and ω0 is angular frequency of the input signal. For convenience of the derivation, we can use just ω instead of 2πk/N considering continuous spectrum. Then, (7) can be changed as X DFT (e j ω ) =

L−1 A − j (ω−ω0 )n (e + e− j (ω+ω0 )n ). 2

(8)

n=0

After calculating (8), a result of the DFT is ⎞  ⎧⎛ (ω−ω0 )L ⎨ sin − j (ω−ω )(L−1) 2 A ⎝ 0 2  ⎠  X DFT (e j ω ) = e 0 2 ⎩ sin ω−ω 2 ⎞⎫  ⎛ (ω+ω0 )L ⎬ sin − j (ω+ω0 )(L−1) 2 2  ω+ω0  ⎠ . + ⎝e ⎭ sin 2

(9)

The left term represents a desired signal and the right term represents an image signal. Because these two terms cannot be independent perfectly, SLs of the image signal can affect the error in measuring frequency of the desired signal. However, it gives little error in MLW. Thus, for the convenience of the derivation of MLW, we consider the left term only. Then, solutions that make X DFT (e j ω ) zero are 2mπ , m = ±1, ±2, ±3, . . . . (10) L Therefore, MLW and SLW by the DFT can be expressed as follows: 4π ωMLW by DFT = ω|m=1 − ω|m=−1 = L 2π . (11) ωSLW by DFT = ω|m=2 − ω|m=1 = L We can change (11) as follows: ω = ω0 +

 f MLW

by DFT

=

2 2FS = L T

 f SLW

by DFT

=

1 FS = L T (12)

where Fs is sampling frequency and T is the window length. Therefore, basically, if the window length is decreased, MLW and SLW increase. D. Derivation of MLW With New Type of DCT Unlike the DFT, the DCT uses real input and real basis sequence. There are several types of the DCT depending on the form of the basis sequence. However, most of existing types were defined considering the use of data compression mainly. What we need is just magnitude response to measure HR. Therefore, new and simple type of DCT focusing

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

5

on the magnitude response is defined here. The new type of DCT can be simply defined as follows:   L−1 2πk n . (13) x[n] cos X DCT [k] = 2 N n=0

Henceforth, the newly defined DCT will be called as DCT for convenience. As in the case of the DFT, if we consider the simple cosine signal as an input and use ω instead of 2πk/N, then (13) can be rewritten as follows: X DCT (e j ω ) =

L−1 A j (ω+ω0 )n (e + e− j (ω−ω0 )n 2 n=0

+ e j (ω−ω0 )n + e− j (ω+ω0 )n ). (14) After solving (14), a result of the DCT is  ⎞ ⎧⎛  0 )L ⎨ cos (ω−ω02)(L−1) sin (ω−ω 2 ⎠   X DCT (e j ω ) = A ⎝ 0 ⎩ sin ω−ω 2  ⎞⎫  ⎛ (ω+ω0 )(L−1) 0 )L ⎬ sin (ω+ω cos 2 2 ⎠ . (15)  ω+ω0  +⎝ ⎭ sin 2

If we consider only the left term for the same reason as the case of the DFT, both the cosine term and the sine term on the numerator can have solutions that make X DCT (e j ω ) zero as follows: ⎧ (2m + 1)π ⎪ ⎨ + ω 0 , m = All integers L−1 (16) ω = 2nπ ⎪ ⎩ + ω0 , n = ±1, ±2, ±3, . . . L Considering Nyquist criterion, we should use ω0 from 0 to π. Within such frequency range, solutions for m = 0, −1 are more close to ω0 than those for n = ±1. Therefore, MLW and SLW by the DCT are 2π ∼ 2π = L −1 L π ∼ π 2π − ωSLW by DCT = ω|n=1 −ω|m=0 = = . (17) L L −1 L MLW and SLW can also be expressed as follows:

ωMLW by DCT = ω|m=0 −ω|m=−1 =

1 FS = L T 1 FS = . (18)  f SLW by DCT = 2L 2T Therefore, it is mathematically proved that the DCT can give 2 times shorter MLW and SLW than the DFT can. To verify the advantage of the DCT, we simply put a cosine signal that has a unity amplitude, 1 Hz (60 BPM), and zero phase for the input. Fig. 2 shows results of the DFT and the DCT when the window lengths are 3 and 1.5 s. Comparing Fig. 2(a) with (b), we can check that the MLWs from both transform techniques are inversely proportional to the window length. In Fig. 2(a), MLW from the DFT is 40 BPM, and that from the DCT is 20 BPM. In the case of Fig. 2(b), the DFT and DCT result in BPM of 80 and 40, respectively. Thus, the DCT makes MLW 2 times shorter than that from the  f MLW by DCT =

Fig. 2. Results of DFT and DCT when window lengths are (a) 3 and (b) 1.5 s.

DFT as we mathematically expected. If we focus on the measured BPM values, we can check that the values are not exactly 60 BPM in Fig. 2. This is due to SLs of the image signal that is the right term in (9) and (15). We have neglected the right terms for convenience of derivations. Indeed, SLs of them affect the desired signal. However, in the case of the DCT, thanks to the energy compaction property, it has low leakage, which means that it has low magnitude of the SLs [30]. Therefore, although both the DFT and the DCT cannot be free from the SLs, the DCT is less vulnerable to the SLs of the image signal than the DFT is. And, this is one of the reasons why the DCT gives more accurate BPM values of the signal than the DFT does. E. Window Function for the Real-Time Measurement of HR In the real-time measurement of HR, a window function that gives short MLW, short SLW, and low level of SLs is preferred to minimize overlapping ML and SLs of HR with those of the nonlinear terms. Fig. 3 shows comparison between the DFT and the DCT with popular window functions. In the case of the DFT, all windows except rectangular and Tukey give MLW of 80–120 BPM, which is too broad. Rectangular and Tukey give similar level of SLs in the range of HR, but rectangular gives narrower MLW than Tukey does. Therefore, rectangular window is most suitable for the DFT. In the case of the DCT, all the windows in Fig. 3 have MLW of 20 BPM, but the level

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I S PECIFICATIONS OF C OMPONENTS IN THE X -BAND CW D OPPLER R ADAR

Fig. 3. Comparison between DFT and DCT with various window functions. Window length is 3 s.

of the first SLs is too high except rectangular window. Thus, rectangular window is the best even for the DCT. F. Relationship Between DFT and DCT There is a nice relationship between the DFT and the DCT as follows:   L−1 2πk n X DCT [k] = 2 x[n] cos N =

n=0 L−1

x[n]e− j

n=0

2π k N n

+

L−1

x[n]e j

2π k N n

n=0

∗ = X DFT [k] + X DFT [k] = 2Re{X DFT [k]}. (19)

Therefore, we can exploit a property of fast computation from the FFT by calculating the right-hand side of (19) for obtaining the result of the DCT. III. I MPLEMENTATION OF R ADAR S YSTEM In CW Doppler radar, because modulated phase terms, i.e., 4π x h (t)/λ and 4π xr (t)/λ in (1), are inversely proportional to the wavelength of the transmitted signal, higher transmit frequency makes a higher sensitivity, but it decreases the linearity of the modulated baseband signal. Considering this, we chose X-band for the frequency band of the transmitted signal. The exact frequency value is 10.225 GHz. When 10.225 GHz is compared with 2.4 GHz [7], [11]–[15], [18], [19] and C-band [8]–[10], [17], it gives higher sensitivity. Also, it has higher linearity than Ka-band has [4], [6], [21], [22]. Fig. 4 shows the block diagram of the X-band CW Doppler radar system and photographs of some blocks of the radar system. Direct conversion produces dc offset, and it can result in the problem such as saturation on baseband circuitry [22], [23]. Thus, to reduce the dc offset, heterodyne conversion structure was applied. In the light of self-mixing of 9.5-GHz local oscillator (LO), which is one of the causes of the dc offset [23], we inserted an isolator at the output of the low-noise amplifier (LNA). Also, to prevent the reflected wave and leakages, isolators were added at inputs of the mixers, the

power amplifier (PA), the LNA, and the output of the PA. Seven isolators in the radar system are made by different companies, and one is designed for UHF-band and the others are for X-band, which are desired bands of the radar system. Their insertion loss is less than 1 dB, and isolations range from 20 to 24 dB. To avoid unwanted reflections from clutters out of the cardiopulmonary movements, an antenna which has a narrow beamwidth was chosen. Vertical and horizontal beam widths of the antenna are 8°. Attenuators were added to adjust LO input level of the quadrature demodulator and transmit power. The transmit power is about 2.35 mW. Considering the null point problem, an analog devices ADL5382 was used for the quadrature demodulator. There is an output commonmode voltage that is default of the ADL5382. In order to block this voltage without loss of the heartbeat signal power, an instrumentation amplifier circuitry was designed and applied to each channel. Thanks to the instrumentation amplifiers, we can also remove the common mode noise and amplify the I and Q signals. The baseband low-pass filter (LPF) is Butterworth sixth-order active LPF, and it is designed to have a cutoff frequency of 6.12 Hz. Two stages including the Sallen–Key LPF and the single-pole active LPF are cascaded. The quadrature demodulator, the instrumentation amplifiers, and the baseband LPFs were created on the same printed circuit board. For an analog-to-digital converter (ADC) and data acquisition (DAQ), Pico Technology PicoScope 4424 was used. The other components in the radar system are listed in Table I. Performance of the quadrature channels in the implemented radar system is shown in Fig. 5. Because the quadrature

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

Fig. 4.

7

Block diagram and photographs of the X-band CW Doppler radar system.

Fig. 5. Errors between I and Q channels in the X-band CW Doppler radar system. (a) Amplitude imbalance and phase imbalance versus initial phase (φ0 ). Averages of amplitude imbalance and phase imbalance are 1.04 dB and 0.44°, respectively. (b) Measured data and fit ellipses with LM method after removing dc offset.

demodulation is frequently applied to overcome the null point problem, important factors in quadrature demodulationbased Doppler radar system are imbalances of amplitude and phase between I and Q channels. To measure the degree of the imbalance, Levenberg–Marquardt (LM) method which is verified by Zakrzewski et al. [34] was used. Using a metal sphere and a commercial programmable motion controller (PMC-1HS-USB), we obtained about 80% arc length of the ellipse to guarantee a high degree of accuracy. Changing the distance (d0 ) from the antenna to the metal sphere, which is equal to changing initial phase, i.e., φ0 = 4πd0 /λ + θ0 in (1), total ten ellipses were obtained, as shown in Fig. 5(b). Fig. 5(a) shows the measured results of the imbalances in the radar system. Averages of the amplitude imbalance and the phase imbalance are around 1.04 dB and 0.44°, respectively. Therefore, the implemented radar system is robust in terms of the quadrature imbalances. IV. S IMULATIONS W ITH DCT A. Simulation Conditions To predict how the DCT gives more reliable HR than the DFT does, simulations were conducted using MATLAB.

In many papers, single tone signals were assumed to be the cardiopulmonary movements [4], [8]–[10], [17], [19]. However, in the real world, the cardiopulmonary movements are not a single tone signal. A heartbeat itself is a pulse which is caused by the contraction of muscles of the heart. Also, in some papers, a shape of the detected signal from the heartbeat shows that the shape is more like pulse rather than sine wave [18], [21], [22]. Therefore, by a compromise between the common assumption and real situations, halfcycle sine pulses were used for the heartbeat signal, i.e., x h (t) in (1). In the case of respiration, the shape is very diverse and it depends on person [7]. It is difficult to model various shapes of respiration signal for simulations. Despite this, like other papers, we used a single tone signal that underlies the respiration signal [4], [8]–[10], [17], [19]. Relaxed ordinary people have an amplitude of m h = 0.08 mm, and m r which varies from 0.1 mm to several millimeters depending on the person [26]–[28]. Thus, we used m h = 0.08 mm. In recently published papers, the amplitude, which is 3.3 times [10] and 8 times [24] the amplitude of the heartbeat signal, was used for the respiration signal. Considering more difficult situations, we assumed m r to be 10 times the amplitude of the heartbeat signal, so m r = 0.8 mm. The window length of 3 s was used.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 7. Null point problem. The graph shows a situation that Q signal is at the optimum point and I signal is at the null point. Fig. 6. Virtual signals for simulations. (a) xh (t) = m r sin ωr and xh (t) = m h f (t), where f (t) is a function of half-cycle sine pulses with unity amplitude, m r = 0.8 mm, m h = 0.08 mm, fr = 0.333 (=20 BPM), and f h = 1 (=60 BPM). (b) I and Q signals, when I signal is at the optimum point and Q signal is at the null point. TABLE II S PECIFICATIONS OF D ESIGNED D IGITAL F ILTERS

The distance, d0 in (1), was randomly set to from 1 to 1.1 m in the view of random human body thickness and experiment conditions. RR was randomly chosen from 6 to 25 BPM, and HR was randomly selected from 51 to 120 BPM. These are the most dominant rate range of people in daily life. A uniform distribution was used for the probability distribution function of the random values. Fig. 6 shows an example of virtual signals that fulfill the aforementioned conditions. B. Digital Filtering and Variance Test Although the baseband LPFs exist in the radar system, high-frequency noises can be generated from the ADC/DAQ equipment. Also, ML and SLs of the fundamental respiration signal also can distort the peak of the heartbeat signal. Therefore, the digital LPF and HPF were designed and used. To reduce the amount of computation and phase distortion of the digital filtering, zero-phase digital filtering with infinite duration impulse response (IIR) filters was used. The IIR filter has less computation storage unit than a finite duration impulse response filter has, and the phase distortion problem of the IIR filter can be eliminated by the zero-phase digital filtering [25]. Therefore, the zero-phase digital filtering with the IIR filter is suitable for the real-time measurement of HR. Specifications of the digital filters are listed in Table II. The DCT should use real input to maintain relation (19) so that the DCT exploits the fast computation property of the FFT. Therefore, we have to use a single channel, namely, we need to select either I or Q signal. Because the proposed method uses

single channel, dc offset can be removed easily by subtracting the average of the signal from the single channel. Therefore, additional hardware or signal processing are not required, which makes measurement convenient in practice. However, it may result in the null point problem [15]. Fortunately, in quadrature demodulation, if one channel goes to the null point, then the other channel goes to the optimum point [14]. Thus, if we can distinguish which channel is close to the optimum point, we can minimize the null point problem by selecting the better channel. As described in Fig. 7, power of alternating current (ac) signals of each channel depends on aforementioned points. If we can compare the power of ac signals, then it is possible to select the better channel. To choose the channel that is more close to the optimum point, we introduced a variance test. Average power of a signal is composed of the power of ac signals and the power of dc signal. This can be proved as follows: Average power of a signal  1 T /2 2 x (t) dt = lim T →∞ T −T /2  1 T /2 = lim (x(t) − x + x)2 dt T →∞ T −T /2  1 T /2 = lim (x(t) − x)2 dt T →∞ T −T /2   1 T /2 1 T /2 2 2(x(t) − x)x dt + lim x dt + lim T →∞ T −T /2 T →∞ T −T /2 = PowerAC (Variance of the signal) + 0 + PowerDC . (20) Therefore, if we take the variance of the filtered signal of each channel and select the channel that has the bigger variance, then we can minimize the null point problem by choosing the better channel. In case of the worst case that I and Q signals are on the odd multiples of π/4 in Fig. 7, both I and Q signals have almost the same power [14]. So, it is hard to distinguish which one is better. However, even in the worst case, we can find which channel is better by taking the variance after the digital filtering. As described in Fig. 8, the power of the heartbeat signal is distinguishable with the short window length. Therefore, this method is useful even in the worst case because our purpose is to use the short window length for the near real-time measurement of HR.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

Fig. 8.

9

I and Q signals, when the situation is the worst case.

Fig. 10. Results of the DCT that show the limitation of the DCT. An input signal was the single tone cosine signal and four random phases of the input signal (α) were used. The phase of basis sequence (β) was 0. Window length of 3 s was used and A was 1. Shape of spectrum always changes as random α changes. Frequency of the input signal is 60 BPM.

CSD and DFT shows poor accuracy. Especially, the peak of the heartbeat signal is affected by the peak of the second harmonic of the respiration signal and the intermodulation terms ( f h − f r , f h + fr ). On the other hand, thanks to the narrower MLW and SLW of the DCT than those for the DFT, the result of the DCT shows highest accuracy, as shown in Fig. 9(c). Because the DCT uses a real input (the single channel), the nonlinear terms that are caused by nonlinear phase modulation also exist in the results of DCT, which can be explained by related equations in the single channel [4], [8], [9]. Nevertheless, because of 2 times shorter MLW and SLW of the DCT than those of the DFT, peaks on the spectrum are separated well and the measured HR is highly close to the true value. V. L IMITATION OF DCT

Fig. 9. Simulation results of (a) AD and DFT, (b) CSD and DFT, and (c) DCT. Simulation conditions were set as described in Section IV-A. Randomly chosen RR and HR are 20 and 60 BPM, respectively.

C. Simulation Results of DCT Fig. 9 compares the simulation results of the existing methods with those of the DCT. The window length was 3 s. Also, the zero-phase digital filtering with IIR filters and the variance test were applied. In the case of AD and DFT in Fig. 9(a), although we assumed a single tone signal for the respiration signal, an error exists due to SLs of the secondharmonic signal of the heartbeat signal. SLs of the image signal of the heartbeat signal also contribute to the error, as we explained in Section II-D. In Fig. 9(b), the result of

Although we have focused on the terms of constant phase shift and residual phase noise due to the null point problem as in some papers [4], [8], [9], we need to consider the phase of the cardiopulmonary movements. For a convenience of derivation in Section II and simplicity of simulation, we set the phase of the cardiopulmonary movements zero. However, because the phase of the cardiopulmonary movements in a window always changes, we should put a random phase instead of zero phase. Considering this, we added the random phase at the input signal, and took the DCT. Fig. 10 shows four results of the DCT when four random phases of the input signal (α) were used. In the case of the phase of basis sequence (β), it was set to be 0. In most graphs, the maximum peak does not match with 60 BPM, though the frequency of the input signal was set to 60 BPM. This phenomenon can be analyzed intuitively. We should consider the inner product first as follows:  ∞ f (x)g(x) d x (21)  f, g = −∞

X[k] =

L−1 n=0

x[n]ϕk∗ [n].

(22)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Magnitude responses of the DCT over β − α. Window length of 3 s was used and A was 1. Sampling frequency was 100 Hz, and the frequency of the input signal was 60 BPM. Thus, according to (26), the maximum magnitude value at the input frequency is around 300.

Equation (21) is a general integral form of the inner product. Equation (21) represents how f (x) and g(x) are related to each other. Likewise, meaning of the general form of finitelength transform (22) is how the input sequence x[n] and basis sequence ϕk∗ [n] are related to each other according to k. In the case of the DFT, because the basis sequence is complex exponential function, phase of the basis seldom affects the magnitude response. However, in the case of the DCT, because both the input and the basis sequence are real, not only the frequency but also the phase can determine how strongly the input and basis sequence are related to each other. Therefore, if the phase of the input and the basis sequence are not matched, the phenomenon happens. We can also analyze the problematic phenomenon mathematically. Revised derivation can be started as follows:   L−1 2πk n + β . (23) A cos(ω0 n + α) cos X DCT [k] = 2 N n=0

After solving (23), the revised result is X DCT (e j ω )   ⎞ ⎧⎛ 0 )L ⎨ cos (ω−ω02)(L−1) + β − α sin (ω−ω 2 ⎠  ω−ω0  = A ⎝ ⎩ sin 2  ⎞⎫  ⎛ 0 )L ⎬ cos (ω+ω02)(L−1) + β + α sin (ω+ω 2 ⎠ . (24)  ω+ω0  +⎝ ⎭ sin 2 Considering only the left term for the same reason in Section II, solutions of the left term and the magnitude value of it at the frequency of the input signal are as follows: ⎧ (2m + 1)π − 2(β −α) ⎪ ⎨ + ω 0 , m = All integers L −1 (25) ω = 2nπ ⎪ ⎩ + ω 0, n = ±1, ±2, ±3, . . . L |X DCT (e j ω0 )| = AL|cos(β − α)|.

(26)

The solutions (25) and the magnitude value (26) at the frequency of the input signal depend on α and β. Therefore, the magnitude value will be reduced, the spectrum will be distorted, and errors of measured frequency will occur, unless α and β satisfy the condition as follows: β − α = mπ, m = 0, ±1, ±2, ±3, . . . .

(27)

Fig. 12. Measured BPM from the dominant peak and error versus β − α. Simulation conditions were the same as those in Fig. 11.

Condition (27) makes the magnitude value close to the maximum. To show the effect of (27), we analyzed magnitude responses of the DCT when β − α are 0, π/8, . . . , 14π/8, and 15π/8, and Fig. 11 shows the results. Due to the period of (26), the magnitude responses are the same when the difference of β − α is π. When β − α are 0 and π, a magnitude of the dominant peak is closest to the maximum value. Also, there is no distortion in the shape of magnitude response, and error of the frequency of the input signal is the minimum among the results. Fig. 12 shows measured BPM from the dominant peak and error versus β −α. We can check that the error approaches to zero when β − α satisfies (27). VI. P OLYPHASE -BASIS D ISCRETE C OSINE T RANSFORM A. Concept of PB-DCT Because the phase of the input signal is random in our application, it can be difficult to fulfill relation (27). However, once (27) is met, the magnitude value at the frequency of the input signal approaches to the maximum, which results in less errors as analyzed in Section V. To realize this, we newly propose the PB-DCT. In Fig. 11, if we compare the results in order of the magnitude of dominant peaks, we can recognize that the peak which has the biggest magnitude value indicates the frequency that is closest to the true frequency of the input signal. The PB-DCT uses this property. As described in Figs. 13 and 14, the PB-DCT takes DCTs in parallel

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

Fig. 13.

11

Concept of PB-DCT.

Fig. 15. Magnitude responses of simulated PB-DCT that has the first, second, and third biggest peak out of eight peaks in the eight magnitude responses of DCTs. (a) Ideal case. True values of HR and RR are 71 and 17 BPM, respectively. (b) Unideal case. True values of HR and RR are 79 and 19 BPM, respectively.

bases are, the more accurate the result can be, but the more computations will be needed. Fortunately, as we have analyzed in Section V, we only need to divide the phase from 0 to π, not from 0 to 2π, thanks to the periodicity in (26). Compromising an accuracy with the computational cost, we chose eight bases with the constant phase interval π/8. The phases of bases are 0, π/8, . . . , 6π/8, and 7π/8. B. Weighted Average Fig. 14.

Flowchart for the proposed PB-DCT.

with bases that have different phases. Then, we collect each dominant peak in the magnitude response of each DCT result. We can select the peak whose magnitude is the biggest among the collected peaks. The selected peak will represent the frequency value which is closest to the true value of the frequency of the input signal. When we consider applying the PB-DCT to practical applications, the problem for the real-time measurement of HR is the computational cost. The more different phases of the

Theoretically, we can measure the most reliable HR value by selecting the biggest peak among the collected peaks as a result of the PB-DCT. Fig. 15(a) describes the theoretical prediction. The biggest peak indicates HR (71.18 BPM) which is closest to the true value, 71 BPM. However, due to MLs and SLs of the nonlinear terms, the most reliable HR may not always be determined from the biggest peak. As shown in Fig. 15(b), although the biggest peak indicates 77.55 BPM, the second biggest peak indicates 79.51 BPM, which is closer to the true value 79 BPM. This means that the HR value from other peaks which are not the biggest peak also has some importance.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III S IMULATION R ESULTS (U NIT: BPM)

Fig. 16. Approximated PMFs for the counts that show how many times the most reliable HR appears at the magnitude rank of the peaks from the PB-DCT when the window lengths are (a) 3, (b) 2, and (c) 1.5 s. Simulations were done 10 000 times with each window length.

To predict how often this happens before experiments, we simulated the PB-DCT 10 000 times with the conditions, as described in Section IV. Short window lengths of 3, 2, and 1.5 s were used for the near real-time measurement of HR. We ranked the collected peaks by the magnitude and counted how many times the most reliable HR appears at each rank. To approach probability mass function (PMF), 10 000 times of simulations were done for each window length. We made approximated PMFs, which are shown in Fig. 16. Probabilities of magnitude ranks from the second to the eighth represent unideal cases due to the aforementioned MLs and SLs. Nevertheless, the ideal case (first rank) that is theoretically predicted has the greatest probability in all window lengths. It has been proven that the DCT gives narrower MLW and SLW than the DFT does, but these widths are also increased as the window length decreases even with the DCT, as described in (18). For this reason, the probability of the first rank is reduced as the window length decreases. The PMFs can be utilized to complement the results. In order to get more reliable HR, we took the weighted average to all eight measured HRs from eight magnitude responses of the PB-DCT. Finally, the measured HR through the PB-DCT can be decided as follows: Measured HR =

8

(HR from nth ranked peak × PN (n)).

n=1

(28) VII. R ESULTS AND D ISCUSSION A. Results and Discussion of Simulations To predict improvements of the proposed method, simulations for the whole process of it were done in conditions described in Section IV. In addition, the random phases of the input signal (α) were applied. The entire process of the proposed method is shown in Fig. 14. Using a common laptop, the processing times of AD and DFT, CSD and DFT, and the proposed method were computed by the tic toc operands in MATLAB. In the case of AD and DFT, we referred recent papers which improve the processing time of it [16], [32]. AD and DFT, CSD and DFT, and the proposed method took time around 0.04, 0.02, and 0.14 s, respectively. Although the

proposed method has the longest processing time, 0.14 s is small enough to measure HR in real time. We simulated 1000 times for each window length (3, 2, and 1.5 s). For the evaluation, mean and STD of errors from the results of the existing methods and the proposed method were calculated. Table III shows the results of simulations. When the proposed method is compared with CSD and DFT, the proposed method shows improved mean of errors in all cases of the short window lengths. Thus, it is expected that the proposed method is generally more suitable than CSD and DFT for the purpose of the real-time measurement of HR. Also, STDs of errors are smaller in the proposed method than in the CSD and DFT. This means that the proposed method will reduce the variation (spikes) of errors than will the CSD and DFT. These analyses also can be applied in comparison with AD and DFT except when the window length is 3 s. Because we assumed a single tone signal for the respiration signal in simulations, there are no harmonic terms of the respiration signal in AD. Only SLs of the second harmonic term of the heartbeat signal and SLs of the image signal of the heartbeat signal affect the accuracy. Thus, if the respiration signal is close to the single tone signal, AD and DFT can be comparable with the proposed method. Due to the same reason, the degree of improvements compared to AD and DFT is smaller than that of CSD and DFT. However, in practice, the respiration signal is not the single tone signal, rather it has some harmonic components. Therefore, AD and DFT can suffer from the harmonics of the respiration signal in practice, and this can lead to an increase of the degree of improvements when the proposed method is compared with AD and DFT. When we consider the degree of improvements of the mean error according to window lengths, it is recognized that the degree of improvements increases as the window length decreases. Therefore, it is expected that the proposed method is more useful for the real-time measurement of HR than the existing methods are. B. Experimental Conditions, Results, and Discussion The measurement environment is shown in Fig. 17. The distance between the antenna and the mat is around 1.2 m, so the distance from the antenna and a subject can vary from 1.1 to 1 m depending on the person. Three people took part in the experiments and carried out total 15 measurements.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

13

Fig. 17. (a) Experimental environment. (b) Results in time domain. Measurement concept in time domain is also described in (b). I channel is close to the optimum point and Q channel is close to the null point in (b).

The measurements of cardiopulmonary movements with the X-band CW Doppler radar were started and ended at the same time with the operation of commercial ECG equipment. Each measurement time was from 1 min 20 s to 1 min 30 s. Mean of the HR in each measurement varied from 56 to 98 BPM. Average of the HR in total measurements was about 73 BPM. A sliding window technique commonly shifts the window one sample by one sample [18]. However, the one-sample interval which is 0.01 s for the sampling frequency of 100 Hz is too short when we consider the processing time. Therefore, we put a sliding interval of 1 s. We compared each measured HR from the existing methods and the proposed method with the inverse value of the latest RR interval in the current window. By doing so, the measured HRs can be compared with the most up-to-date HR. Fig. 17 also represents this measurement concept with results of the heartbeat signal sensing of the radar in time domain. As we explained in Section II-B, dc offset must be removed by the calibration for AD. LM method also can be used for center estimation which finds dc offset [33]. To complete AD and DFT properly, calibrations were conducted by the LM method. Also, DACM algorithm was applied to avoid discontinuity of AD. As a result, there were two typical cases of the spectrum results that show the improvements by the proposed method. Case I which is more general than Case II is shown in Fig. 18. Case I is close to the expected result that we already explained in Section IV-C, except for the result of AD and DFT. As we explained in Section VII-A, because respiration signal is not the single tone signal in practice, harmonics of the respiration signal can influence the heartbeat signal in AD. Fig. 18(a) shows that the peak of the heartbeat signal is mainly affected by the second harmonic of the respiration signal. Because of this, error can be larger than when the respiration signal is assumed to be the single tone signal. In the case of CSD and DFT, not only the second harmonic of the respiration signal but also the intermodulation terms ( f h − fr , f h + fr ) can affect the peak of the heartbeat signal, as we verified in Section II-A. As shown in Fig. 18(b), peaks of these three terms are overlapped with the peak of the heartbeat

Fig. 18. Representative experimental result of Case I. (a) and (b) Results of AD and DFT, and CSD and DFT, respectively. (c) Result of the proposed method. The window length was 3 s, the true HR from the ECG equipment was 75.29 BPM, and true RR was around 23 BPM. In the case of the PB-DCT, (c) spectrum that has the biggest peak among the maximum peaks. The measured HR after the weighted averaging was 75.74 BPM. (d) HR variation versus time with the existing methods and the proposed method when Case I is more dominant than Case II.

signal, and CSD and DFT gives the largest error among the results of methods. For the proposed method, on the other hand, thanks to the reduced MLW and SLW of the DCT, the peak of the heartbeat signal is separated from the second harmonic of the respiration signal and the intermodulation terms ( f h − fr , f h + fr ) and less affected by them, as shown in Fig. 18(c). Therefore, the proposed method gives the smallest error among the results of methods.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 20. Average of evaluation parameters for all the measurement results. (a) Average of means of errors versus window lengths. (b) Average of STDs of errors versus window lengths.

TABLE IV E XPERIMENTAL R ESULTS : AVERAGE OF E RRORS (U NIT: BPM)

Fig. 19. Representative experimental result of Case II. (a) and (b) Results of AD and DFT and CSD and DFT, respectively. (c) Result of the proposed method. The window length was 3 s, the true HR from the ECG equipment was 55.65 BPM, and true RR was around 13 BPM. In the case of the PB-DCT, (b) spectrum that has the biggest peak among the maximum peaks. The measured HR after the weighted averaging was 54.46 BPM. (d) HR variation versus time with the existing methods and the proposed method when Case II is more dominant than Case I.

Noticeable improvements by the proposed method can be obtained especially in Case II. As shown in Fig. 19(a) and (b), the peak of the heartbeat signal is pushed out from the HR range when the existing methods are applied. Of course, we can recognize that the peak around 45 BPM includes the heartbeat signal, because we know the true value of HR from the ECG equipment. However, when we consider applying the existing methods to the practical applications, distinguishing

the peak of the heartbeat signal is difficult because the peak is in the frequency range of the second harmonic term of the respiration signal, or intermodulation term ( f h − fr ). Much worse, the second-harmonic term of the heartbeat signal is in the HR range, and there is no other peak in the HR range. Thus, in real-life situation where no reference value exists, the peak of the second-harmonic term of the heartbeat signal can be misinterpreted as a fundamental term of the heartbeat signal, because the second-harmonic term is dominantly included within the HR range. Thus, the error is extremely big. Because the RR was around 13 BPM in the situation of Fig. 19, both the fundamental and the second harmonic of the respiration signal can be attenuated by the HPF in Table II. Thus, in the case of AD and DFT for Fig. 19, Case II occurred due to SLs of the second-harmonic term of the heartbeat signal and SLs of the image signal of the heartbeat signal. In the case of CSD and DFT, the intermodulation terms ( f h − fr , f h + f r ) are the main causes to make the peak of heartbeat signal pushed out from the HR range, which can occur Case II in Fig. 19(b). On the other hand, the proposed method can identify the true HR well, thanks to the advantage of the DCT. Fig. 19(c) and (d) demonstrates this. Case II happens frequently when the HR is from 51 to 60 BPM. The average values of means and STDs of errors for 15 measurements are listed in Table IV and shown in Fig. 20. In all the cases of window lengths, the average values of means of errors are lower in the proposed method than in the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. PARK et al.: PB-DCT FOR REAL-TIME MEASUREMENT OF HR WITH CW DOPPLER RADAR

existing methods. Thus, the proposed method generally has better accuracy than the existing methods have. Also, we can say that the proposed method reduces the variation (spikes) of errors more than the existing method does, because its average values of STDs of errors are lower than those of the existing methods. The degree of the improvement in the mean error grows as window length becomes shorter. Therefore, the proposed method is robust for the measurement of HR in real time. VIII. C ONCLUSION A new method to measure HR in near real time has been proposed. To observe the HRV and the sudden changes of HR in short time, real-time measurement is needed, so the window length should be shortened. However, it results degraded accuracy in HR measurement due to the increased MLW and SLW. The proposed method which is based on the DCT has an advantage that can give 2 times shorter MLW and SLW than the DFT can. Thanks to this advantage, the proposed method can separate the peak of heartbeat from the peaks of nonlinear terms on the spectrum, so the accuracy of measured HR is improved. The proposed method has another advantage that it does not need the calibration to remove dc offset, so it makes the measurement more convenient. Although the proposed method requires simulations before applying, experimental results showed that the proposed method gives higher accuracy than the existing methods do (AD and DFT, and CSD and DFT), and showed that it reduces the variation (spikes) of errors. In the conclusion, the proposed method is more robust and generally suitable than the existing methods for the measurement of HR in real time. R EFERENCES [1] J. C. Lin, “Noninvasive microwave measurement of respiration,” Proc. IEEE, vol. 63, no. 10, p. 1530, Oct. 1975. [2] J. C. Lin, J. Kiernicki, M. Kiernicki, and P. B. Wollschlaeger, “Microwave apexcardiography,” IEEE Trans. Microw. Theory Techn., vol. MTT-27, no. 6, pp. 618–620, Jun. 1979. [3] J.-H. Lee and S.-O. Park, “A 14 GHz non-contact radar system for long range heart rate detection,” in Proc. Int. Symp. Antennas Propag. (ISAP), Oct. 2013, pp. 204–206. [4] C. Li, Y. Xiao, and J. Lin, “Experiment and spectral analysis of a low-power Ka-band heartbeat detector measuring from four sides of a human body,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4464–4471, Dec. 2006. [5] M. Zakrzewski, A. Vehkaoja, A. S. Joutsen, K. T. Palovuori, and J. J. Vanhala, “Noncontact respiration monitoring during sleep with microwave Doppler radar,” IEEE Sensors J., vol. 15, no. 10, pp. 5683–5693, Oct. 2015. [6] C. Li, J. Lin, and Y. Xiao, “Robust overnight monitoring of human vital signs by a non-contact respiration and heartbeat detector,” in Proc. 28th Annu. Int. Conf. IEEE Eng. Med. Biol. Soc., Aug./Sep. 2006, pp. 2235–2238. [7] Y. S. Lee, P. N. Pathirana, C. L. Steinfort, and T. Caelli, “Monitoring and analysis of respiratory patterns using microwave Doppler radar,” IEEE J. Transl. Eng. Health Med., vol. 2, pp. 1–12, 2014. [8] C. Li and J. Lin, “Complex signal demodulation and random body movement cancellation techniques for non-contact vital sign detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, USA, Jun. 2008, pp. 567–570. [9] C. Li and J. Lin, “Random body movement cancellation in Doppler radar vital sign detection,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 12, pp. 3143–3152, Dec. 2008. [10] J. Tu and J. Lin, “Fast acquisition of heart rate in noncontact vital sign radar measurement using time-window-variation technique,” IEEE Trans. Instrum. Meas., vol. 65, no. 1, pp. 112–122, Jan. 2016.

15

[11] B.-K. Park, O. Boric-Lubecke, and V. M. Lubecke, “Arctangent demodulation with DC offset compensation in quadrature Doppler radar receiver systems,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 5, pp. 1073–1079, May 2007. [12] B.-K. Park, V. M. Lubecke, O. Boric-Lubecke, and A. Høst-Madsen, “Center tracking quadrature demodulation for a Doppler radar motion detector,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, USA, Jun. 2007, pp. 1323–1326. [13] B.-K. Park, A. Vergara, O. Boric-Lubecke, V. M. Lubecke, and A. Høst-Madsen. (2007). Quadrature Demodulation With DC Cancellation for a Doppler Radar Motion Detector. [Online]. Available: http:// citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.626.4604&rep=rep1 &type=pdf [14] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. A. Kovacs, “Range correlation and I/Q performance benefits in single-chip silicon Doppler radars for noncontact cardiopulmonary monitoring,” IEEE Trans. Microw. Theory Techn., vol. 52, no. 3, pp. 838–848, Mar. 2004. [15] B.-K. Park, S. Yamada, V. M. Lubecke, and O. Boric-Lubecke, “Singlechannel receiver limitations in Doppler radar measurements of periodic motion,” in Proc. IEEE Radio Wireless Symp., San Diego, CA, USA, Oct. 2006, pp. 99–102. [16] J. Wang, X. Wang, L. Chen, J. Huangfu, C. Li, and L. Ran, “Noncontact distance and amplitude-independent vibration measurement based on an extended DACM algorithm,” IEEE Trans. Instrum. Meas., vol. 63, no. 1, pp. 145–153, Jan. 2014. [17] J. Tu and J. Lin, “Respiration harmonics cancellation for Accurate Heart Rate measurement in non-contact vital sign detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–3. [18] B. Lohman, O. Boric-Lubecke, V. M. Lubecke, P. W. Ong, and M. M. Sondhi, “A digital signal processor for Doppler radar sensing of vital signs,” IEEE Eng. Med. Biol. Mag., vol. 21, no. 5, pp. 161–164, Sep. 2002. [19] C. Gu, G. Wang, Y. Li, T. Inoue, and C. Li, “A hybrid radar-camera sensing system with phase compensation for random body movement cancellation in Doppler vital sign detection,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4678–4688, Dec. 2013. [20] K. J. Lee, C. Park, and B. Lee, “Tracking driver’s heart rate by continuous-wave Doppler radar,” in Proc. 38th Annu. Int. Conf. IEEE Eng. Med. Biol. Soc., Aug. 2016, pp. 5417–5420. [21] Y. Xiao, J. Lin, O. Boric-Lubecke, and V. M. Lubecke, “A Ka-band low power Doppler radar system for remote detection of cardiopulmonary motion,” in Proc. 27th IEEE Annu. Eng. Med. Biol. Soc. Conf., Jan. 2005, pp. 7151–7154. [22] Y. Xiao, J. Lin, O. Boric-Lubecke, and V. M. Lubecke, “Frequencytuning technique for remote detection of heartbeat and respiration using low-power double-sideband transmission in the ka-band,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 5, pp. 2023–2032, May 2006. [23] B. Razavi, “Design considerations for direct-conversion receivers,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 44, no. 6, pp. 428–435, Jun. 1997. [24] S. Kazemi, A. Ghorbani, H. Amindavar, and D. R. Morgan, “Vital-sign extraction using bootstrap-based generalized warblet transform in heart and respiration monitoring radar system,” IEEE Trans. Instrum. Meas., vol. 65, no. 2, pp. 255–263, Feb. 2016. [25] L. Chen, X. Yang, J. Wu, and L. Fan, “Non-contact physiological signal monitoring system based on Doppler radar,” IEICE Electron. Exp., vol. 14, no. 3, p. 20161178, 2017. [26] C. Li and J. Lin, “Optimal carrier frequency of non-contact vital sign detectors,” in Proc. IEEE Radio Wireless Symp., Long Beach, CA, USA, Jan. 2007, pp. 281–284. [27] M. Singh and G. Ramachandran, “Reconstruction of sequential cardiac in-plane displacement patterns on the chest wall by laser speckle interferometry,” IEEE Trans. Biomed. Eng., vol. 38, no. 5, pp. 483–489, May 1991. [28] A. Lazaro, D. Girbau, and R. Villarino, “Analysis of vital signs monitoring using an IR-UWB radar,” in Prog. Electromagn. Res., vol. 100, pp. 265–284, 2010. [29] L. Ren, H. Wang, K. Naishadham, O. Kilic, and A. E. Fathy, “Phase-based methods for heart rate detection using UWB impulse Doppler radar,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 10, pp. 3319–3331, Oct. 2016. [30] S. V. Narasimhan and M. Harish, “A new spectral estimator based on discrete cosine transform and modified group delay,” in Proc. Conf. Convergent Technol. Asia–Pacific Region (TENCON), vol. 3. Oct. 2003, pp. 1194–1198.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

[31] G. Proakis and D. K. Manolakis, Digital Signal Processing: Principles, Algorithms, and Applications, 4th ed. Upper Saddle River, NJ, USA: Prentice-Hall, 2007. [32] A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Processing, 3rd ed. Upper Saddle River, NJ, USA: Prentice-Hall, 2010. [33] M. Zakrzewski, H. Raittinen, and J. Vanhala, “Comparison of center estimation algorithms for heart and respiration monitoring with microwave Doppler radar,” IEEE Sensors J., vol. 12, no. 3, pp. 627–634, Mar. 2012. [34] M. Zakrzewski et al., “Quadrature imbalance compensation with ellipsefitting methods for microwave radar physiological sensing,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 6, pp. 1400–1408, Jun. 2014.

Junhyeong Park received the B.S. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2015 and 2017, where he is currently pursuing the Ph.D. degree in electrical engineering. His current research interests include continuouswave Doppler radar for biomedical applications, frequency-modulated continuous-wave radar system for drone detection, and radar signal processing.

Jong-Wook Ham received the B.S. degree in physics and M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2012 and 2014, respectively, where he is currently pursuing the Ph.D. degree in electrical engineering. His current research interests include electromagnetic theories and analytical techniques in the area of electromagnetics.

Seungwoon Park received the B.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 2016, where he is currently pursuing the M.S. degree in electrical engineering. His current research interests include frequencymodulated continuous-wave radar system for drone detection.

Do-Hoon Kim received the B.S. degree in electrical engineering from Yonsei University, Seoul, South Korea, in 2017. He is currently pursuing the M.S. degree in electrical engineering at the Korea Advanced Institute of Science and Technology, Daejeon, South Korea. His current research interests include radar signal processing.

Seong-Jin Park received the B.S. degree in electronic engineering from Korea Aerospace University, Goyang, South Korea, in 2014. He is currently pursuing the Ph.D. degree in electrical engineering at the Korea Advanced Institute of Science and Technology, Daejeon, South Korea. His current research interests include design of millimeter-wave antenna, millimeter-wave systems, and 5G communication.

Hyunseong Kang received the B.S. and M.S. degrees in electrical and electronics form ChungAng University, Seoul, South Korea, in 2012 and 2014, respectively. He is currently pursuing the Ph.D. degree in electrical engineering at the Korea Advanced Institute of Science and Technology, Daejeon, South Korea. His current research interests include frequencymodulated continuous-wave radar system for drone detection, metamaterials, and microwave circuit design.

Seong-Ook Park (M’05–SM’11) was born in Yeongcheon, South Korea, in 1964. He received the B.S. degree in electrical engineering from KyungPook National University, Daegu, South Korea, in 1987, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, South Korea, in 1989, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, AZ, USA, in 1997. From 1989 to 1993, he was a Research Engineer with Korea Telecom, Daejeon, where he was involved in microwave systems and networks. He then was with the Telecommunication Research Center, Arizona State University, until 1997. Since 1997, he has been a Professor with the Korea Advanced Institute of Science and Technology. His current research interests include antenna, radar system, and analytical and numerical techniques in the area of electromagnetics. Dr. Park is a member of the Pi Kappa Phi.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

15 GHz Doherty Power Amplifier With RF Predistortion Linearizer in CMOS SOI Narek Rostomyan , Graduate Student Member, IEEE, Jefy A. Jayamon, Member, IEEE, and Peter M. Asbeck, Fellow, IEEE

Abstract— A two-stage, high-power symmetric Doherty power amplifier (PA) at 15 GHz is presented. The PA is implemented in 45 nm CMOS silicon on insulator and achieves more than 23 dB power gain with 25.7 dBm saturated output power and 31% peak power added efficiency (PAE). The 6 dB backoff PAE is 25%, which is a 64% improvement compared to ideal class B PA back-off performance. High output power is obtained by employing four-stack multigate devices at the output stage; driver stages employ two-stack devices. A simple analog predistortion linearizer is proposed that effectively corrects the AM–AM response of the Doherty PA and extends the P1dB from 23 to 25.1 dBm. The PA also exhibits excellent AM–PM response. The amplifier has compact dimensions and occupies only 1 mm2 chip area, including pads. Index Terms— Analog predistortion, CMOS, Doherty, 15 GHz band, 5G transmitters, power amplifier (PA), RF linearization, silicon on insulator (SOI), stacked PA.

I. I NTRODUCTION

R

ESEARCH and development of 5G wireless communication systems have attracted much attention in recent years [1]. While key requirements and standards for 5G are still not finalized, these communication systems are expected to provide considerably higher data rates, very low latency as well as more reliable radio links. To achieve these objectives, 5G communication systems will utilize higher frequency bands to increase the channel capacity. Also, by using a large number of antennas [2], deployment of multiple-input-multipleoutput (MIMO) architectures will be possible. Beamforming and spatial multiplexing will be enabled to provide adequate coverage and higher data rates. Active research and field tests have been performed for characterizing channel propagation in cm-wave and mm-wave bands, such as 15 GHz [3], [4], 28 GHz [5], and 70 GHz [6] bands. Depending on the required equivalent isotropically radiated power (EIRP) and the number of antennas, the required peak output power level per transmit antenna of an MIMO transmitter is estimated to be in the range of 20–27 dBm. While most of current mobile communication PAs are implemented using

Manuscript received July 3, 2017; revised September 13, 2017; accepted October 5, 2017. This work was supported in part by Nokia Bell Labs and in part by the Center for Wireless Communications, University of California at San Diego. The authors are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772785

III–V or SiGe technologies, CMOS PAs are a major contender due to lower fabrication cost, compact size, and the possibility to be integrated with the baseband and digital system blocks. CMOS solutions may be enabled by the relatively low power levels needed per antenna. Due to relatively low breakdown voltages (BVs) of CMOS FETs, the power handling capability of a CMOS power amplifier (PA) has traditionally been limited. However, the power levels can be considerably increased by stacking the transistors. Recent research in transistor stacking in CMOS silicon on insulator (SOI) has proven that with correct biasing and capacitive loading of the transistor gates, the output voltage swing at the top transistor can reach N × Vds,max , where N is the number of series transistors, and Vds,max is the maximum drain-to-source voltage allowed on a single FET for reliable operation [7]. In [8] and [9], a compact unit cell implementation of a four-stacked device was demonstrated in 45 nm CMOS SOI technology that is based on a fourgate finger, single diffusion FET, together with capacitors implemented with back end of line metalization layers. This multigate cell structure considerably reduces the parasitics of interconnections between the stacked transistors and provides better heat removal mechanisms. The technique of the four-stack multigate-cell has already been used to implement a high output power and high-gain tuned class AB PA in the 15 GHz band [10], where more than 25 dBm saturated output power and 32.4% peak power added efficiency (PAE) could be demonstrated. However, for modern communication signals with high peak to average power ratio (PAPR), back-off efficiency enhancement of the PA is of high importance. This paper presents a 15 GHz two stage, high output power symmetric Doherty PA that is based on a classic load modulation output network with a lumped 90° phase shifter. The PA demonstrates more than 23 dB gain and more than 25.7 dBm saturated output power. Peak PAE is more than 31% and 6 dB back-off efficiency of 25% can be achieved. A simple RF predistortion linearizer network based on an envelope detector and an adaptive shunt loss element is also presented. The linearizer is able to considerably improve gain flatness of the Doherty PA. Measurements with 200 MHz 16-quadratic-amplitude modulation (QAM) and 64QAM modulated signals have demonstrated that the linearized PA produces 20.6 dBm average output power and 21.8% PAE for 16-QAM with 9.5% error vector magnitude (EVM), and 16.4 dBm average output power and 15.2% PAE for 64-QAM with 5.5% EVM. The PA has a compact form factor and can be

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Full schematic of the Doherty PA.

confined within a 1 mm2 chip area. To the authors’ knowledge, the performance of the two-stage Doherty PA presented in this paper features the highest power and efficiency of an integrated silicon Doherty PA reported to date. Similar results were attained only in GaAs [11]. This paper is organized as follows. In Section II, the implementation of driver stages and high-power output stages is introduced. Considerations for load–pulling of a stacked device are discussed. The input and output combiner network implementations are also presented. Section III presents the proposed RF predistortion network. Section IV covers the experimental results for small signal, continuous wave (CW), and modulation measurements. Finally, conclusions are given in Section V. II. D OHERTY PA I MPLEMENTATION The design of the integrated, two-stage CMOS Doherty PA with the analog predistortion linearizer is shown in Fig. 1. In this section, the general design approach for the realization of the high-power final stage and the driver stage are first presented, followed by considerations for load modulation of stacked devices. Subsequently, compact, and low-loss input and output combiners based on classic lumped element 90° phase shifters are discussed. A. High-Power Final Stage and Driver Stage In a CMOS SOI process, high output power can be achieved by transistor stacking as shown in Fig. 2(a). This allows voltage swings on each transistor to add up and result in a high voltage swing at the output. In recent works, it has been demonstrated that more than 25 dBm can be achieved by using the multigate unit cell approach [8], [10]. Each multigate unit consists of four stacked FETs, each implemented with a single source and drain, together with four-gate fingers which are wg wide. The contacts to interfinger source and drain regions are removed, which produces significant reduction in parasitic capacitance and resistance. Each of the four-gate fingers in an unit cell is connected to a gate capacitor of appropriate size which allows a finite voltage swing at the gate [Fig. 2(a)]. The values of the gate capacitors are selected to guarantee equal drain-to-source voltage swings and not too high drainto-gate swings on each stack transistor. In the unit cell,

Fig. 2. stage.

Schematics of the (a) four-stack final stage and (b) two-stack driver

these capacitors are realized as metal-oxide-metal capacitors which are designed around the transistor using the available metalization layers. A complete analysis of the multigate cell can be found in [9]. An additional advantage of the multigate unit cell approach is its scalability. The cells can be arranged in an array of M elements to achieve desired device width of M · wg . This allows a compact high PA realization. The maximum number of elements M is, however, limited due to the difficulty of ensuring phase coherence between cells that are spaced far apart. In this paper, both the main path and the peaking path of the Doherty PA are realized with two-stage amplifiers. The first stage (driver) consists of a 256 μm wide two-stack amplifier shown in Fig. 2(b). The top device gate is terminated with a finite capacitance of 380 fF which results in a nonzero voltage swing at the gate. The two-stack structure resembles the traditional cascode arrangement. However, the gate of the two-stack amplifier is not at RF ground as in a cascode, hence the drain-to-gate swing, which is usually the limiting factor for the cascode voltage, is reduced. In this arrangement,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ROSTOMYAN et al.: 15 GHz DOHERTY PA WITH RF PREDISTORTION LINEARIZER IN CMOS SOI

Fig. 3.

Simulated I –V curves of an extracted 32 μm wide NMOS device.

3

Fig. 4. Simulated voltage waveforms of a four-stack device with 4.8 V supply voltage and RLoad = 35 .

the overall output voltage swing can be higher than each transistor’s BV, because the voltage can be equally distributed across each transistor. This stage can achieve saturated output power of Psat = 20 dBm. The final, high-power stage is realized using 256 multigate unit cells. Each cell is wg = 1.2 μm wide, so that the resultant total device width is 256 × 1.2 μm = 307.2 μm. Minimum length, double pitch devices were used both for the two-stack and the multigate cell four-stack. The main and peaking devices are of the same size. Interstage matching between the driver and the final high-power stage employs a second order matching network. Fig. 5. Simulated voltage waveforms of a four-stack device with 4.8 V supply voltage and RLoad = 70 .

B. Load Modulation of Four-Stack Devices The high allowable voltage swing of the four-stack multigate device increases the optimum output load impedance, and with the present device the load can be designed to be close to 50 . From load–pull simulations of the high-power output stage with 256 multigate unit cells, the optimum load impedance is 35 . This allows realization of an efficient and wideband output matching network to 50 . As already mentioned, each transistor in a stacked device will ideally have an equal voltage swing, controlled by the selection of gate capacitors. For a conventional class AB PA, the values of these capacitors can be optimized for maximum output power, which means that the drain-to-source voltage swing of each transistor will be maximized for allowed safe limits to avoid breakdown or excessive degradation. In a classical Doherty PA, load modulation does not affect the voltage swing at the output of the power device. However, highly scaled devices usually demonstrate very nonlinear I –V curves (as shown in Fig. 3 for an extracted 32 μm device), which can result in increased voltage swing during load modulation. Thus, during the design of a stacked device for a Doherty amplifier, it is important to ensure that the voltage swings do not exceed their limits at back-off load impedance. For the power device with 256 multigate unit cells, the simulated voltage swings at each transistor’s drain [Fig. 2(a)] are illustrated in Figs. 4 and 5 for peak load impedance of 35  and back-off impedance of 70 , respectively. It can be observed that the voltage swings on the transistors slightly

Fig. 6. Simulated four-stack device’s PAE versus back-off for R L = 35 and 70 .

increase at back-off but they are still within experimentally established safe limits of reliability. Another important aspect is the achievable back-off power level. In a classical symmetric Doherty, load modulation of the main amplifier from RLoad to 2RLoad should result in a peak PAE at a −3 dB reduction in output power. This is, however, not the case if the I –V curves of the transistors in the triode region are nonlinear. In Fig. 6, PAE simulations are shown of extracted 256 multigate cells for varying output load impedance of 35 and 70 , versus back-off. The back-off PAE peaks at about −2 dB. Due to this fact, the second efficiency

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Output combiner schematic.

Fig. 8.

peak of the complete symmetric Doherty amplifier is expected to be at a −5 dB rather than the conventional −6 dB back-off power level. C. Realization of Input and Output Combiners Low-loss and compact realization of the Doherty amplifier input and output combiners are important for optimizing efficiency and cost. In a classical Doherty amplifier, λ/4 transmission lines are used to realize impedance inversion at the output, and phase matching at the input. However, at mm-wave frequencies up to 60 GHz, the λ/4 transmission lines are very long and unsuitable for cost- and area-effective integration in a silicon process. A commonly used solution is to realize a transmission line with a π- or T -network lumped element approximation. The lumped element network can be designed to have either a high-pass or a low-pass response. Among these combinations, high-pass π-networks are advantageous in terms of stability and reduced area. The output combiner network that was used in this paper is shown in Fig. 7. Here, inductors L 1 and L 4 tune out the output capacitance of the main and peaking amplifiers, which are realized as 256 multigate unit cells. The high-pass π network that provides +90° phase shift is implemented with inductors which can be combined with the tuning inductors; the parallel combination of them considerably reduces the overall size. As a result, the output combiner consists of only two inductors (L 1 ||L 2 and L 3 ||L 4 ) and a capacitor C1 . The resultant small inductor values allow space-efficient implementation on silicon. For an arbitrary characteristic line impedance Z 0 and phase shift φ, the values of the inductors and the capacitor of the high-pass lumped element phase shifter can be calculated as L2 = L3 =

Z 0 sin(φ) ω(1 − cos(φ))

(1)

and C1 =

1 . ωZ 0 sin(φ)

(2)

The line impedance Z 0 is equal to the desired load impedance RLoad at the output of the amplifier (35  in this case.)

Input splitter schematic.

The input power splitter network is illustrated in Fig. 8. It also relies on a high-pass π-network with +90° phase shift in the peaking path in order to compensate for the phase shift in the output combiner. Similar to the output combiner, the high-pass π-network does not increase the number of inductors and can be merged with the input matching LC networks. III. A NALOG P REDISTORTION Nonlinear behaviors of various system components within an RF front-end can distort the transmitted signal and result in EVM reduction in-band, and adjacent channel power in neighboring frequency bands. The creation of these spurious output signals from inputs with varying envelope and high PAPR impose stringent requirements on linearity of the PA. The availability of low-cost signal processing power has made digital predistortion (DPD) quite useful to counter PA nonlinearity effects. While DPD is widely deployed for signals with below 100 MHz bandwidth, the complexity and the power consumption of a DPD system limit its use in mm-wave systems consisting of an array of many PAs with bandwidth of several hundreds of MHz to few GHz. In this section, a simple RF (analog) predistortion network that is effective for highgain Doherty amplifiers is presented. A. Analog Predistortion Architectures A variety of predistorion circuits in the analog domain have been proposed and implemented [12]. Mitigation of PA nonlinearities by feedback is extensively used in analog circuits. Mm-wave power devices, however, have relatively low gain, hence only limited amounts of feedback can be applied to each stage in order to not reduce efficiency, so that the effect on distortion is correspondingly small. More gain can be sacrificed if feedback is applied around a multistage PA but the long feedback loop may produce instability and introduce considerable delays between the forward and feedback paths. These challenges bound the application of feedback to lowbandwidth systems. Another PA linearization technique is feedforward, which does not reduce the gain of the amplifier and does not cause instability. Although the level of correction with this technique

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ROSTOMYAN et al.: 15 GHz DOHERTY PA WITH RF PREDISTORTION LINEARIZER IN CMOS SOI

5

Fig. 10. Proposed analog linearizer schematic. R L1 = 365  and C L1 = 197 fF.

Fig. 9.

Proposed open loop APD for symmetric Doherty PAs.

can be significant, the complexity of the system increases cost and chip area as it requires power splitters, combiners, couplers, and phase shifters. A simple form of linearization is RF predistorion, where the nonlinear predistorting element operates at carrier frequency. An element is used whose distortion characteristics are the inverse of the distortion characteristics of the PA. Because of the implementation simplicity and the possibility to linearize large signal bandwidth, RF predistorters present a viable solution to the mm-wave PA nonlinearity problem. The form of the amplifier gain characteristics is critical to the degree of achievable linearity improvement. Different networks have been proposed that attempt to correct various types of PA characteristics. The most straightforward and widely explored networks strive to predistort the third or fifth order nonlinearities [13], [14]. These predistorters may, however, increase the amount of higher order distortion products. Other networks attempt to accurately fit the inverse transfer characteristics of the PA and thus correct the nonlinearities for a number of orders of distortion [12], [15]–[17]. B. Proposed Analog Predistortion Circuit In this paper, we demonstrate an analog predistorter (APD)/linearizer that addresses the gain nonlinearity problem of symmetrical Doherty amplifiers. The gain of these PAs experiences very nonflat behavior as a result of load modulation and of the fact that the peaking amplifier is biased in class C and typically has lower gain than the class B biased main amplifier. With the multistage design of this paper, the higher gain of the main amplifier can be lowered with an open loop predistorter to match the gain level experienced when the peaking amplifier turns ON. The central idea of the proposed APD is illustrated in Fig. 9. The gain of the main amplifier is linearized with an APD element that acts as an adaptive loss element and compensates for the higher gain at back-off. The proposed circuit for the APD element is demonstrated in Fig. 10. The circuit consists of an envelope detector (T1 ) and a shunt NMOS transistor (T2 ), that acts as an adaptive loss at the input of the main amplifier. The gate voltage of the (T2 ) shunt transistor is proportional to the envelope output voltage, which has flexible swing and

Fig. 11. Simulated total gain without linearization, gains of main and peaking amplifier, total gain with linearization, and APD loss as a function of output power.

dc offset adjustment by means of the gate bias voltage VG as well as positive and negative source voltages VDD and VSS of the envelope detector. At low-RF powers, which corresponds to the back-off operation of the main amplifier, the VEnv envelope voltage is high and turns ON the transistor T2 which redirects some of the RF current to the ground. T2 must be sized appropriately to handle the RF current through it. If the RF power is high, which corresponds to high-power operation when the peaking amplifier is ON, the node voltage VEnv drops and turns OFF T2 , allowing all RF power to go into the main amplifier. By adjusting the bias and supply voltages of the envelope detector, considerable improvement in gain flatness can be achieved. Fig. 11 shows simulated gain curves of main and peaking amplifier, as well as total gain with and without the linearization circuit. Also, shown is the shunt loss curve, defined as the decrease in gain provided by the linearizer along the main amplifier path. It can be seen that the linearized total gain is flat and the P1dB point of the Doherty amplifier can be considerably extended. The bandwidth of the envelope detector is set by the RC low-pass filter that is formed at the drain of T1 . If the CDG parasitic feedback capacitance is ignored, the bandwidth can be approximated as f −3

dB,ED



1 2π R L1 ||r O,T 1 (C L1 + CDS,T1 + CGS,T2 )

(3)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 12.

Simulated envelope detector conversion gain.

Fig. 13.

Micrograph of the 1 × 1 mm2 two-stage Doherty PA chip.

where r O,T 1 is the output resistance of T1 due to channel length modulation. Simulated envelope detector conversion gain versus envelope frequency is shown in Fig. 12. The f −3 dB bandwidth is 1.95 GHz.

Fig. 14.

Measured S-parameters.

Fig. 15.

Measured gain, PAE, and DE.

Fig. 16.

Measured saturated output power and peak PAE versus frequency.

IV. E XPERIMENTAL R ESULTS The PA was fabricated in the GF 45 nm SOI CMOS process and occupies overall chip area of 1 × 1 mm2 ; the RF portion (without pads) occupies a compact area of 0.85 ×0.52 mm2 . The chip micrograph is shown in Fig. 13. The dual stage architecture allows independent control of all amplifiers’ bias voltages, and hence their mode of operation. Throughout the experiments, the best results in terms of backoff efficiency, output power and gain flatness were achieved when both the driver and the final stage of the main path were biased in class AB mode with gate-to-source bias voltages in the range from 0.22 to 0.25 V. The driver of the peaking path was operated in class C mode and the final stage in deep class C, with gate-to-source bias voltages of 0.1 and 0 V, respectively. A. Small Signal and CW Measurements Fig. 14 shows measured small-signal S-parameters of the PA. At 15 GHz, the S21 gain measures 27 dB with a −3 dB bandwidth from 13.41 to 16.01 GHz, which results in a fractional bandwidth of 27%. Fig. 15 illustrates measured large signal gain, PAE, and drain efficiency (DE) together with theoretical class B PAE roll-off curve at 15 GHz. Large signal measurements were conducted with the gate-to-source voltage of the driver and

the final stage of the main path biased at 0.25 V, and of the peaking path at 0 V. The PA achieves maximum saturated output power Psat = 25.7 dBm (370 mW) and a peak PAEmax = 31.2% as well as DE DE = 35% at Pout = 25.2 dBm. It can be seen that the PAE curve exhibits a second peak at 5.4 dB back-off, achieving PAE−5.4 dB = 25.5% at that point. Both the Psat and peak PAE, as well as 6 dB back-off PAE−6 dB demonstrate wide frequency response, shown in Figs. 16 and 17, respectively. The 1 dB bandwidth of Psat spans from 13.75 GHz to 16.25 GHz. Also shown in Fig. 17 is the theoretical class B 6 dB back-off curve which is based on the peak PAE curve in Fig. 16. Compared to a class B PA performance, the two-stage Doherty PA achieves more than 64% higher PAE at 6 dB back-off.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ROSTOMYAN et al.: 15 GHz DOHERTY PA WITH RF PREDISTORTION LINEARIZER IN CMOS SOI

7

Fig. 17. 6 dB back-off PAE of measured Doherty PA and theoretical class B based on peak PAE in Fig. 16 over frequency.

Fig. 19. Measured EVM and average PAE for 200 MHz 16-QAM signal with analog linearizer turned ON and OFF.

Fig. 18. Measured gain and PAE with analog linearizer turned ON and OFF.

Fig. 20. Measured EVM and average PAE for 200 MHz 64-QAM signal with analog linearizer turned ON and OFF.

The performance of the proposed analog linearizer is shown in Fig. 18. The best linearity is achieved by biasing the gateto-source voltage of the driver and the final stage of the main path at 0.22 V, and of the peaking stage at 0.1 V (different from bias voltages in Fig. 15), which leads to a slight decrease in PAE. The envelope detector from Fig. 10 was biased at VDD = 0.5 V, VSS = −0.35 V, and VG = 0.3 V. It can be observed that the analog linearizer effectively flattens the gain and extends the P1 dB from 23 to 25 dBm. The effect of the linearization circuit on PAE is minimal, because the gain is still high and the power consumption of the linearizer is less than 2 mW. B. Modulation Measurements The performance of the PA with and without the analog linearizer has been studied with 16-QAM and 64-QAM single carrier (SC) signals with 200 MHz modulation bandwidth. The signals were generated using a Keysight M8195A 65 GSa/s arbitrary waveform generator which can directly generate modulated signals at 15 GHz carrier frequency. The PA output was then down-converted and captured with a high sampling rate digital oscilloscope. A root raised cosine filter with a roll-off factor of 0.35 was applied to both signals. Measured EVM and average PAE results are shown in Fig. 19 for 16-QAM and Fig. 20 for 64-QAM. Input PAPR values for these signals are 5.4 and 6 dB, respectively. Gain linearization considerably improves EVM without significantly affecting average PAE. The effectiveness of the linearizer can also

Fig. 21. Measured ACLR for 200 MHz 16-QAM signal with analog linearizer turned ON and OFF. TABLE I S UMMARY OF M ODULATION M EASUREMENTS

be observed based on measured ACLR values, which are depicted in Figs. 21 and 22. 16-QAM can tolerate much higher EVM than 64-QAM for the same bit error rate. As there is no 5G standard yet available that clearly specifies

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II C OMPARISON TO R ECENT cm-WAVE AND mm-WAVE D OHERTY PAs

Fig. 24. Measured constellation for 200 MHz 16-QAM signal with (a) EVM = 9.5% and (b) 64-QAM signal with EVM = 5.5% with analog linearizer turned ON.

Fig. 22. Measured ACLR for 200 MHz 64-QAM signal with analog linearizer turned ON and OFF.

Fig. 25. Measured AM–PM for 200 MHz 64-QAM signal with EVM = 5.5% and average output power Pout = 16.4 dBm with analog linearizer turned ON and OFF. An offset of −40° was added to the data without linearization for clarity. Fig. 23. Measured spectrum for 200 MHz 64-QAM signal with EVM = 5.5% and average output power Pout = 16.4 dBm with analog linearizer turned ON and OFF.

EVM requirements, we consider here EVM values close to the IEEE 802.11 maximum EVM requirements. For the 16-QAM signal, the PA achieves EVM = 9.5% with average output power of Pout = 20.6 dBm and average PAE = 21.7%; ACLR improves from −20.8 to −25.5 dBc. For the 64-QAM signal, the PA achieves EVM = 5.5% with average output power of Pout = 16.4 dBm, and average PAE = 15.2%; ACLR improves from −22.3 to −28.8 dBc. The ACLR improvement can also be visualized by means of modulated spectrum measurements shown in Fig. 23 for the 64-QAM signal. ACLR values of this order can be well suitable for 5G mm-wave phased arrays due to higher spatial selectivity. Fig. 24 shows the received constellations for these two signals with the

analog linearizer turned ON. Table I summarizes the modulation measurements. It is also important to analyze phase distortion (AM–PM) of the PA. Fig. 25 illustrates AM–PM response of the PA with the analog linearizer turned ON and OFF for the 200 MHz 64-QAM signal. The improvement in AM–AM response of the amplifier due to the linearizer also improves the AM–PM response. Even without the linearizer, the PA already demonstrates very good AM–PM performance, in keeping with prior measurements of AM–PM using stacked FET PAs in CMOS SOI. Table II gives an overview of recently reported Doherty PAs on silicon for cm-waves and mm-waves. For comparison, the highest reported output power and efficiency of a GaAs Doherty PA is also included. To the authors’ knowledge, the performance of the two-stage Doherty PA presented in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. ROSTOMYAN et al.: 15 GHz DOHERTY PA WITH RF PREDISTORTION LINEARIZER IN CMOS SOI

this paper features the highest power and efficiency silicon Doherty PA reported to date at high microwave frequencies. In order to have a more fair comparison with the PAs implemented at higher frequencies, a frequency weighted efficiency can be used, defined here as  (4) FOM = 4 f 0 /GHz · PAE where f0 is the operating frequency. The two-stage Doherty PA with the linearizer presented in this paper achieves FOM = 112, which is about 7% higher than the PA presented in [18], 26% higher than the PA in [19] but 32% lower than the GaAs Doherty PA in [20]. V. C ONCLUSION In this paper, a 15 GHz fully integrated symmetric Doherty PA is presented. The PA is realized in 45 nm SOI CMOS technology. Both the main and the peaking amplifier branches consist of two-stage power stages, which allows higher gain and flexible control over turn-ON characteristics of the peaking PA. The driver stages consist of two-stack amplifiers, while the final stages are implemented using four-stack multigate cells to achieve high power. Both the input and output combiners were optimized for minimum area and loss. The PA achieves more than 25.7 dBm saturated output power and peak PAE of 31.2%. PAE at 6 dB back-off is 25%, which is more than 64% higher than for an ideal class B PA roll-off. A simple analog linearizer is also proposed that performs Doherty gain correction in the RF domain. The linearizer effectively flattens the overall gain and extends the output P1dB of the amplifier from 23 to 25.1 dBm without much penalty on the PAE. The performance of the linearized Doherty PA has been verified with 200 MHz SC 16-QAM and 64-QAM signals. ACKNOWLEDGMENT The authors would like to thank GlobalFoundries, USA, for providing access to 45 nm CMOS SOI process and Integrand Software Inc. for providing the EMX electromagnetic simulator.

9

[7] H. Dabag, B. Hanafi, F. Golcuk, A. Agah, J. F. Buckwalter, and P. M. Asbeck, “Analysis and design of stacked-FET millimeter-wave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1543–1556, Apr. 2013. [8] J. A. Jayamon, J. F. Buckwalter, and P. M. Asbeck, “28 GHz >250 mW CMOS power amplifier using multigate-cell design,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), Oct. 2015, pp. 1–4. [9] J. A. Jayamon, J. F. Buckwalter, and P. M. Asbeck, “Multigate-cell stacked FET design for millimeter-wave CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 51, no. 9, pp. 2027–2039, Sep. 2016. [10] N. Rostomyan, J. A. Jayamon, and P. Asbeck, “15 GHz 25 dBm multigate-cell stacked CMOS power amplifier with 32% PAE and ≥ 30 dB gain for 5G applications,” in Proc. 11th Eur. Microw. Integr. Circuits Conf. (EuMIC), Oct. 2016, pp. 265–268. [11] J. Curtis, A.-V. Pham, M. Chirala, F. Aryanfar, and Z. Pi, “A Ka-band Doherty power amplifier with 25.1 dBm output power, 38% peak PAE and 27% back-off PAE,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), Jun. 2013, pp. 349–352. [12] P. B. Kenington, High Linearity RF Amplifier Design, 1st ed. Norwood, MA, USA: Artech House, 2000. [13] Y.-S. Lee, M.-W. Lee, S.-H. Kam, and Y.-H. Jeong, “A highlinearity wideband power amplifier with cascaded third-order analog predistorters,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 112–114, Feb. 2010. [14] J. Yi, Y. Yang, M. Park, W. Kang, and B. Kim, “Analog predistortion linearizer for high power RF amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3. Jun. 2000, pp. 1511–1514. [15] Y.-C. Hsu, K.-Y. Kao, J.-C. Kao, T.-C. Tsai, and K.-Y. Lin, “A 60 GHz CMOS power amplifier with modified pre-distortion linearizer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–4. [16] T.-Y. Huang, Y.-H. Lin, and H. Wang, “A K-band adaptive-bias power amplifier with enhanced linearizer using 0.18-μm CMOS process,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–3. [17] J.-H. Tsai, H.-Y. Chang, P.-S. Wu, Y.-L. Lee, T.-W. Huang, and H. Wang, “Design and analysis of a 44-GHz MMIC low-loss built-in linearizer for high-linearity medium power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 6, pp. 2487–2496, Jun. 2006. [18] A. Agah, H.-T. Dabag, B. Hanafi, P. M. Asbeck, J. F. Buckwalter, and L. E. Larson, “Active millimeter-wave phase-shift Doherty power amplifier in 45-nm SOI CMOS,” IEEE J. Solid-State Circuits, vol. 48, no. 10, pp. 2338–2350, Oct. 2013. [19] P. Indirayanti and P. Reynaert, “A 32 GHz 20 dBm-PSAT transformerbased Doherty power amplifier for multi-Gb/s 5G applications in 28 nm Bulk CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), Jun. 2017, pp. 45–48. [20] D. Nguyen, B. Pham, and A.-V. Pham, “A Ka-band asymmetrical stacked-FET MMIC Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2017, pp. 398–401. [21] C. S. Levy, V. Vorapipat, and J. F. Buckwalter, “A 14-GHz, 22-dBm series Doherty power amplifier in 45-nm CMOS SOI,” in Proc. IEEE Compound Semiconductor Integr. Circuit Symp. (CSICS), Oct. 2016, pp. 1–4.

R EFERENCES [1] M. Shafi et al., “5G: A tutorial overview of standards, trials, challenges, deployment, and practice,” IEEE J. Sel. Areas Commun., vol. 35, no. 6, pp. 1201–1221, Jun. 2017. [2] E. G. Larsson, O. Edfors, F. Tufvesson, and T. L. Marzetta, “Massive MIMO for next generation wireless systems,” IEEE Commun. Mag., vol. 52, no. 2, pp. 186–195, Feb. 2014. [3] P. Öekvist, H. Asplund, A. Simonsson, B. Halvarsson, J. Medbo, and N. Seifi, “15 GHz propagation properties assessed with 5G radio access prototype,” in Proc. IEEE 26th Annu. Int. Symp. Pers., Indoor, Mobile Radio Commun. (PIMRC), Aug. 2015, pp. 2220–2224. [4] K. Tateishi et al., “5G experimental trial achieving over 20 Gbps using advanced multi-antenna solutions,” in Proc. IEEE 84th Veh. Technol. Conf. (VTC-Fall), Sep. 2016, pp. 1–5. [5] T. Obara, T. Okuyama, Y. Aoki, S. Suyama, J. Lee, and Y. Okumura, “Indoor and outdoor experimental trials in 28-GHz band for 5G wireless communication systems,” in Proc. IEEE 26th Annu. Int. Symp. Pers., Indoor, Mobile Radio Commun. (PIMRC), Aug. 2015, pp. 846–850. [6] Y. Inoue, Y. Kishiyama, S. Suyama, J. Kepler, M. Cudak, and Y. Okumura, “Field experiments on 5G mmW radio access with beam tracking in small cell environments,” in Proc. IEEE Globecom Workshops (GC Wkshps), Dec. 2015, pp. 1–6.

Narek Rostomyan (GS’15) was born in Yerevan, Armenia, in 1987. He received the B.S. degree in electrical engineering from the Mannheim University of Applied Sciences, Mannheim, Germany, in 2011, and the M.S. degree in electrical engineering from Technische Universität München, Munich, Germany, in 2014. He is currently pursuing the Ph.D. degree in electrical engineering with the University of California at San Diego, La Jolla, CA, USA. From 2013 to 2014, he was at Rohde & Schwarz, Munich, where he was involved in RF front-end design of mm-wave signal generators. His current research interests include RF/mm-wave transceivers and high-efficiency silicon power amplifiers.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Jefy A. Jayamon (GS’13–M’15) received the B.Tech. degree in electrical engineering from IIT Madras, Chennai, India, in 2006, the M.S. degree from the University of California at Santa Barbara, Santa Barbara, CA, USA, in 2007, and the Ph.D. degree in electrical and computer engineering from the University of California at San Diego, La Jolla, CA, USA, in 2017. From 2008 to 2011, he was an RF Design Engineer at TriQuint Semiconductor, Hillsboro, OR, USA, where he designed power amplifiers for mobile handsets. Since 2017, he has been with Qualcomm Research, San Diego, CA, USA. His current research interests include millimeter-wave transceiver design. Dr. Jayamon was the recipient of the Roberto Padovani Scholarship for the Best Student Intern from Qualcomm Research in 2015.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology, Cambridge, MA, USA, in 1969 and 1975, respectively. He was with the Sarnoff Research Center, Princeton, NJ, USA, and with the Philips Laboratory, Briarcliff Manor, NY, USA, where he was involved in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined the Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego, La Jolla, CA, USA, where he is currently the Skyworks Chair Professor with the Department of Electrical and Computer Engineering. His current research interests include the development of high-performance transistor technologies and their circuit applications. Dr. Asbeck is a member of the National Academy of Engineering. He was a recipient of the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors and the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Educator Award. He has been a Distinguished Lecturer of the IEEE Electron Device Society and the IEEE MTT-S.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Digital Suppression of Transmitter Leakage in FDD RF Transceivers: Aliasing Elimination and Model Selection Wenhui Cao , Student Member, IEEE, Yue Li, Student Member, IEEE, and Anding Zhu , Senior Member, IEEE Abstract— The transmitter (TX)-induced interference due to power amplifier nonlinearities poses severe desensitization problems to the receiver chain in frequency-division duplexing transceivers. Due to nonlinear signal process involved, a high sampling rate is normally required in the existing digital suppression approaches, which can result in high cost and high power consumption in wideband systems. In this paper, a new digital suppression model is proposed to cancel the TX leakage at baseband with a low sampling rate. The cancellation model is based on the modified decomposed vector rotation model. With the addition of cross-term products, the enhanced model is capable of eliminating the aliasing effect arising from the reduced sampling rate. Theoretical analysis of aliasing elimination is presented, and the algorithm is subsequently verified by both simulation and experiment results, confirming the effectiveness and feasibility of the proposed cancellation technique for TX leakage suppression. Compared with conventional solutions, the new approach uses much less hardware resource and consumes much lower power while achieving comparable performance. Index Terms— Aliasing effect, aliasing elimination, behavioral model, cross terms, frequency-division duplexing (FDD), leakage suppression, low sampling rate, transceiver.

I. I NTRODUCTION N frequency-division duplexing (FDD) transceivers, the transmitter (TX) and the receiver (RX) share the same antenna but operate at different frequency bands. With increasing demands for high data rates and wider signal bandwidths, the choices of frequency band allocations for the TX and RX become limited in the new generation wireless systems. As a consequence, spacings between the TX and RX carriers can vary significantly, either being very wide or very narrow. This creates significant challenges for transceiver design, especially in carrier aggregation where multiple bands may be deployed at the same time. Due to the nonlinear behavior of the TX, in particular, the nonlinearity induced by RF power amplifiers (PAs),

I

Manuscript received July 14, 2017; revised September 30, 2017; accepted October 29, 2017. This work was supported by the Science Foundation Ireland under Grant 12/IA/1267 and Grant 13/RC/2077. (Corresponding author: Wenhui Cao.) W. Cao is with the Institute of Antennas and Microwave Technology, School of Electronics and Information, Hangzhou Dianzi University, Hangzhou 310018, China, and also with the School of Electrical and Electronic Engineering, University College Dublin, Dublin 4, Ireland (e-mail: [email protected]). Y. Li and A. Zhu are with the School of Electrical and Electronic Engineering, University College Dublin, Dublin 4, Ireland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772789

Fig. 1.

TX-induced interference at the RX band in FDD transceivers.

intermodulation products of the TX signal can cause interference to the RX operated at the adjacent frequency band, as shown in Fig. 1. To eliminate the interference, bandpass filtering in the duplexer is normally conducted to isolate the TX and RX paths. However, in the case of narrow separation, a duplexer filter may fail to block the interference induced by the TX. For instance, in LTE standard [1], e.g., Band 31, the carrier frequency separation between the TX and RX can be as narrow as 10 MHz. When the PA operates at a high output power level, the interference induced by the PA nonlinearities in the TX path can be quite strong and thus is very likely to contaminate the received signal. In the literature, various suppression strategies have been proposed to resolve the TX leakage issue. Some researchers proposed digital suppression for out-of-band (OOB) distortion at the TX chain based on digital predistortion [2]–[7]. The other approaches are to insert compensation modules into the RX chain [8]–[18], subtracting the TX-induced inference to restore the signal fidelity. Among these approaches, direct digital suppression has become a preferable choice because of its flexibility and easy implementation. This approach “directly” maps the baseband input to a baseband-equivalent representation of OOB emission by using a nonlinear behavioral model. The estimated replica can then be subtracted from the received signal to restore the integrity of the desired signal, as shown in Fig. 1. Due to a nonlinear process involved, the sampling rate must be set high enough during the signal generation to avoid aliasing effect. For instance, in [9], a sampling rate at 12 times input signal bandwidth was used. In [17], the signal was sampled with a sampling rate of 13 times input bandwidth. With increasing demands for higher data rates, the signal bandwidth in wireless systems will continue to increase. Processing

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Reference signal generation.

a signal with a sampling rate of multiple times, the input signal bandwidth will require very high-speed digital circuits that not only increases implementation cost but also, more importantly, significantly increases power consumption of the system due to high clock rates. In this paper, we propose to use low sampling rate data to generate the desired sideband replica. After theoretical analysis, we find that the aliasing effect arising from the reduced sampling rate can be eliminated by introducing crossterm products in the behavioral model. The simulation and experiment results confirm that low sampling rate operation can achieve comparable sideband suppression performance as the full sampling rate solution in FDD transceivers but uses much less hardware resource and consumes much lower power. The rest of this paper is organized as follows. Detailed analysis of aliasing effect at low sampling rate case is presented in Section II. Section III explains why the cross-term products are essential for aliasing elimination. The simulation results are given in Section IV and the experimental results and hardware complexity comparison are reported in Section V with a conclusion in Section VI. II. A LIASING E FFECT IN S IDEBAND R EPLICA G ENERATION A. Reference Signal The principle of digital suppression is that the TX leakage is subtracted from the received signal in the RX so that the originally received signal can be restored. To do so, we need to know the targeted reference signal, i.e., the OOB emission that falls in the RX band. This signal can be captured at the RX output with the RX antenna turned OFF, as shown in Fig. 2, where the PA output directly goes through the duplexer, low-noise amplifier, and downconverter, and is finally sampled by the analog-to-digital converter (ADC). Although the bandwidth of the PA output can be very wide, we only need to consider the distortion that falls in the RX band. Therefore, the bandwidth of the targeted cancellation signal is relatively narrow. As long as the sampling rate of the ADC covers the RX bandwidth, the TX-induced interference is free from aliasing effect. B. Sideband Replica Generation After obtaining the reference, the task now is to generate the desired compensation signal, i.e., the sideband replica, from the original input, as shown in Fig. 3(a). This task can be conducted in three steps: frequency shift, nonlinear modeling, and band-limiting filtering, as shown in Fig. 3(b) and described in detail in the following. First, because the center frequencies of transmit and receive signals are different, a frequency shift on the baseband signal is required. This frequency shift can be conducted before or after

Fig. 3. Sideband replica generation. (a) Baseband model mapping demonstration. (b) Block diagram of three-step operations.

nonlinear modeling. Here, we shift the frequency before nonlinear modeling. The PA input signal is frequency-shifted by ω, the TX–RX separation frequency, given by − j ω(n/ f s ) u(n) ˜ = x(n)e ˜

(1)

where x(n) ˜ is the baseband input signal and u(n) ˜ represents the frequency-shifted output signal. f s is the sampling rate of the signal. Subsequently, the frequency-shifted PA input should go through a nonlinear behavioral model that represents the nonlinear characteristics of the PA. In the literature, Volterra-based behavioral models, such as memory polynomial (MP) [19], generalized memory polynomial (GMP) [20], and dynamic deviation reduction-based models [21], are commonly used. For example, the MP model can be expressed as g(n) ˜ =

P M  

ci, p |u(n ˜ − i )|2 p u(n ˜ − i)

(2)

i=0 p=0

where g(n) ˜ represents the output of a PA behavioral model with a set of coefficients ci, p . M denotes the memory length and P is the polynomial order. Different from the application in digital predistortion where the model is required to cover the full bandwidth, to fit the sideband distortion, only partial spectrum needs to be covered. The orders of polynomial terms need to be predetermined to cover the targeted band, and all irrelevant orders shall be discarded. For example, if the leakage location is within the fifth-order spectrum, then the third-order nonlinear component can be omitted [17]. In the next step, to match the bandwidth of target reference, a band-limiting technique [9], [22] can be employed to filter out the unwanted frequency components in the model output. It is achieved by imposing a band-limiting function, i.e., a finite impulse response (FIR) filter, upon each model operator according to the observation bandwidth of the system. The total suppression model can be expressed as v(n) ˜ =

M  P  i=0 p=0

c˜BL,ip [G i, p (u(n)) ˜ ∗ H]

(3)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAO et al.: DIGITAL SUPPRESSION OF TRANSMITTER LEAKAGE IN FDD RF TRANSCEIVERS

3

where v(n) ˜ is the sideband replica and G i, p (u(n)) ˜ represent different nonlinear operators in the suppression model. c˜BL,ip are the coefficients of a suppression model after band-limiting operation. H represents the impulse response of the FIR filter and ∗ represents the convolution operation. C. Aliasing Effect From Fig. 3(a), we can see that both the original input and the desired sideband replica are relatively narrowband, namely, they only occupy the in-band bandwidth of the TX and RX. In principle, a sampling rate of twice the in-band bandwidth would be enough to process these signals. However, due to the nonlinear signal processing required in the signal generation, a much higher sampling rate is needed in order to obtain an aliasing-free replica signal. As shown in Fig. 3(a), due to the nonlinear behavior of the PA, the bandwidth of the input signal is expanded multiple times. A sampling rate with twice the total bandwidth, i.e., f s > 2B, is used and the sideband signal can be generated without aliasing, as shown in Fig. 4(a). However, if the sampling rate is lower than twice of the total bandwidth, i.e., f s < 2B, aliasing will occur, namely, the left and right sides of the spectrum will overlap each other. In this scenario, there are three different cases depending on the sideband locations, as shown in Fig. 4(b). Case 1: The sideband is located near the TX center carrier and no overlapping occurs at the sideband. Case 2: The sideband is located in the first Nyquist zone but with overlapping. Case 3: The sideband is located farther away from the TX carrier, placed out of the first zone of the sampling range. In this case, the sideband signal is folded to the other side of the center frequency, e.g., spectrum slice 3 is folded to 3’. In Case 1, since there is no aliasing at the RX band, the sideband replica can be obtained from the model output by applying a band-limiting filter, while in Cases 2 and 3, the aliasing distortion is included in the signal after filtering. This creates an issue that the model output does not match the desired output, as shown in Fig. 4(c). This mismatch can significantly degrade the suppression performance. A high sampling rate, therefore, is often used in the existing approaches in the literature. III. A LIASING E LIMINATION AND M ODEL S ELECTION With continuously increasing demands for higher data rates, the TX and RX signal bandwidths in the wireless systems will continue increasing. Processing a signal with a sampling rate of multiple times the signal bandwidth will require very highspeed digital circuits that not only increases implementation cost but also significantly increases power consumption of the system. Requiring a high sampling rate in the sideband replica generation is thus not favorable. In this section, we intend to investigate whether a lower sampling rate can be employed in the signal generation without introducing significant aliasing effect. In order to investigate the spectral mismatch problem shown in Fig. 4(c), we compare the two signal generation processes

Fig. 4. (a) PA output spectrum without aliasing effects. (b) PA output spectrum with aliasing effects. (c) Spectral mismatch during model fitting.

Fig. 5. Mismatch between reference signal generation and sideband replica generation.

using a system setup shown in Fig. 5. In the upper branch, the input signal passes the PA, and the sideband filter is then downsampled by two, while in the lower branch, the input signal is downsampled by two first and then enters the PA and is filtered by the sideband filter. The upper branch represents the model reference signal generation, where no aliasing occurs, while the lower branch represents the sideband replica generation with a lower sampling rate, where the aliasing affects the final signal. To simplify the derivation, a memoryless fifth-order polynomial model is used to represent the PA given by 2 4 ˜ + b˜3 |x(n)| ˜ x(n) ˜ + b˜5 |x(n)| ˜ x(n) ˜ y˜ (n) = b˜1 x(n)

(4)

where y˜ (n) represents the PA model output and b˜i are the coefficients. An FIR filter is used for the sideband signal filtering. A. Model Mismatch On the one side, considering the FIR convolution operation in the upper branch, the filtered sideband signal at high sampling rate can be defined as [˜z (1), z˜ (2), z˜ (3), . . ., z˜ (n)],

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

where z˜ (n) is the weighted sum of current and Q past samples that can be expressed as z˜ (n) =

Q 

y˜ (n − q)h(q)

TABLE I C ONSTRUCTING BASIS T ERMS OF z˜ (5)

(5)

q=0

or in a vector format Z =Y∗H

(6)

where H is the transfer function of the filter with Q delay taps and the vector Y is given by ⎡ ⎤ 2 4 x(1) ˜ |x(1)| ˜ x(1) ˜ |x(1)| ˜ x(1) ˜ 2 4 ⎢ x(2) ⎥⎡ ⎤ |x(2)| ˜ x(2) ˜ |x(2)| ˜ x(2) ˜ ⎢˜ ⎥ b˜1 ⎢ .. ⎥ .. .. ⎢ ⎥ ⎣ b˜3 ⎦. Y =⎢ . (7) . . ⎥ 2 4 ⎢ x(n) ⎥ b˜ ˜ | x(n)| ˜ x(n) ˜ | x(n)| ˜ x(n) ˜ 5 ⎣ ⎦ .. .. .. . . . Assuming the high-speed TX leakage signal is downsampled by a factor of 2, the output vector is described as [˜z (1), z˜ (3), . . . , z˜ (2n − 1)], where z˜ (2n − 1) can be stated as z˜ (2n − 1) =

Q 

[b˜1 x(2n ˜ − 1 − q)

TABLE II C ONSTRUCTING BASIS T ERMS OF z˜  (5)

The convolution result [˜z  (1), z˜  (3), . . . , z˜  (2n − 1)] is given by z˜  (2n − 1) 

Q  [b˜1 x(2(n ˜ − q) − 1) = q=0

˜ − q) − 1)|2 x(2(n ˜ − q) − 1) + b˜ 3 |x(2(n + b˜ 5 |x(2(n ˜ − q) − 1)|4 x(2(n ˜ − q) − 1)]h  (q). (11)

q=0

˜ − 1 − q)| x(2n ˜ − 1 − q) + b˜ 3 |x(2n 4 + b˜ 5 |x(2n ˜ − 1 − q)| x(2n ˜ − 1 − q)]h(q). (8) 2

The output becomes a sum of multiple memory terms with respect to the input sample x(n). ˜ It is worth noting that the memory effects introduced here are due to the filtering process while the PA is memoryless. Moreover, even though the number of the vector elements is halved after downsampling, the nonlinear components in each output sample remain the same, which involves high-speed input samples, as can be seen from (8). On the other side, in sideband replica generation, the input signal is downsampled first before entering the PA. The input vector can be described as [x(1), ˜ x(3), ˜ . . . , x(2n ˜ − 1)]. The input goes through the memoryless behavioral model and then an FIR filter. The vector format of the operation can be expressed as Z = Y  ∗ H

(9)

where H  is the transfer function of filter with Q  delay taps at the low sampling rate. Vector Y  is expressed as (10), shown at the bottom of this page. ⎡

x(1) ˜ x(3) ˜ .. .

⎢ ⎢ ⎢  Y =⎢ ⎢ ⎢ x(2n − 1) ⎣˜ .. .

2 x(1) ˜ |x(1)| ˜ 2 x(3) ˜ |x(3)| ˜ .. .

If we compare the outputs of the two branches, z˜ (2n − 1) (the target) and z˜  (2n − 1) (the modeled), the mismatch can be immediately revealed. For instance, the basis terms for z˜ (5) are listed in Table I while the components associated with z˜  (5) are listed in Table II. The mismatch can be more clearly illustrated if we compare their input-output relationship. As shown in Fig. 6(a), z˜ (5) is calculated from samples x(1), ˜ x(2), ˜ x(3), ˜ x(4), ˜ and x(5). ˜ ˜ x(3), ˜ By contrast, the generation of z˜  (5) only involves x(1), and x(5), ˜ as shown in Fig. 6(b). Due to the reduction of the sampling rate, the polynomial terms related to x(2) ˜ and 2 2 x(2) ˜ and |x(4)| ˜ x(4), ˜ are missing in z˜  (5). x(4), ˜ e.g., |x(2)| ˜ This leads that aliasing effect occurs in the output, which can degrade the suppression performance. B. Aliasing Elimination Solution To avoid aliasing or to find the “lost” samples, an obvious solution is to interpolate the input signal to a higher sampling rate but that will significantly increase cost and power assumption in digital circuits as discussed earlier. Here, we try

˜ − 1) |x(2n ˜ − 1)|2 x(2n .. .

4 |x(1)| ˜ x(1) ˜ 4 |x(3)| ˜ x(3) ˜ .. .



⎥⎡ ⎤ ⎥ b˜1 ⎥ ⎥ ⎣ b˜3 ⎦ ⎥ 4 |x(2n ˜ − 1)| x(2n ˜ − 1) ⎥ ⎦ b˜5 .. .

(10)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAO et al.: DIGITAL SUPPRESSION OF TRANSMITTER LEAKAGE IN FDD RF TRANSCEIVERS

Fig. 6.

Input to output mapping of (a) z˜ (5) and (b) z˜  (5).

Fig. 7.

Interpolation approximation.

to find an alternative solution. The idea is that, instead of directly interpolating the input signal, we recover the “lost” information by using extra modeling terms in the model. In the simplest case, as shown in Fig. 7, x(2) ˜ can be approximately expressed as the average of the two adjacent samples x(1) ˜ and x(3) ˜ written as x(2) ˜ = [x(1) ˜ + x(3)]/2. ˜

(12) 2

x(2), ˜ The corresponding third-order polynomial term, |x(2)| ˜ can then be found as 2 x(2) ˜ |x(2)| ˜ 2 2 [|x(1)| ˜ + |x(3)| ˜ + 2|x(1)|| ˜ x(3)| ˜ cos(θ1 − θ3 )] × x(1) ˜ = 8 2 2 [|x(1)| ˜ + |x(3)| ˜ + 2|x(1)|| ˜ x(3)| ˜ cos(θ1 − θ3 )] × x(3) ˜ + 8 (13)

˜ and where θ1 and θ3 are the phase information of x(1) x(3), ˜ respectively. The detailed derivations of the third-order

5

polynomial term are given in the Appendix and the other nonlinear terms can be derived in the same way. With the above-mentioned derivation, we can see that the 2 x(2), ˜ can be represented by a missing nonlinear term, |x(2)| ˜ sum of combinations of the existing terms, x(1) ˜ and x(3). ˜ 2 2 x(1) ˜ and |x(3)| ˜ x(3) ˜ are Among these combinations, |x(1)| ˜ already included in the model, as listed in Table II. The rest 2 2 terms, such as |x(1)| ˜ x(3), ˜ |x(3)| ˜ x(1), ˜ |x(1)|| ˜ x(3)| ˜ x(3), ˜ ... can be constructed by using cross products. cos(θ1 − θ3 ) can be treated as the weight for the cross-term product, which can be absorbed into model coefficients during the model construction. This means that the missing information in the low sampling rate data can be recovered by using the cross terms in the model. This leads that, to avoid performance degradation due to aliasing effect, we can include cross-term products in the behavioral model instead of interpolating the original input signal during the sideband replica generation. This can avoid the high sampling rate requirement and, thus, reduce cost and power consumption of digital circuits, as discussed in Section V later. It is worth mentioning that the cross-term products have been used to represent memory effects in PA behavioral models with memory and the final sideband suppression model structures may appear to be similar to those of the existing 2 x(3) ˜ may already behavioral models. For instance, |x(1)| ˜ appear in the GMP model. In this paper, however, using crossterm products has a special objective, namely, to eliminate aliasing effect. Due to this reason, there are some special requirements for selecting the cross terms. For instance, as shown in Section IV, in a memoryless system, usually there is no need to use cross terms to model memory effects, but in order to eliminate aliasing effect, certain cross terms must be included in the model. In addition, it should be noticed that the above-mentioned derivation in (13) is only an example to illustrate the feasibility of using cross terms in the model to recover the “missing” information in the low sampling rate signal processing and the recovery is not precise but based on an approximation. A full recovery of the “missing” information or completely eliminating aliasing effect would need a much more sophisticated solution. Nevertheless, this approach provides an alternative solution to the low sampling rate-based sideband replica signal generation. C. Model Selection In order to integrate the cross-term products in the PA behavioral model, a proper model structure should be selected. As mentioned earlier, conventional methods are deploying the Volterra-based models. In the Volterra models, the bandwidth of the spectrum expansion depends on the order of nonlinear terms chosen. For instance, as shown in Fig. 8(a), the third term covers three times the original bandwidth while the fifth-order term covers five times the original bandwidth. By using the Volterra-based models, the selection of the nonlinear terms depends on the frequency space between the TX and RX, or the location of the desired compensation replica. For instance, if the RX band is located at the fifth-order sideband zone, the third-order and lower order terms should be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE III A PPROXIMATION OF C ROSS -T ERM P RODUCTS IN A DVR M ODEL

Fig. 8. Comparison of model structures of (a) Volterra model and (b) DVR model.

eliminated from the model. This means that if the location of the RX is changed, the corresponding nonlinear components in the model should be adjusted. This leads that different model structures must be used in different TX–RX spacings, which can complicate the model construction process. In the wide-spacing case, the order of the nonlinear terms can be very high, which can cause ill-conditioning problems in model extraction [17]. To avoid these potential issues, a recently proposed behavioral model, named decomposed vector rotation (DVR) [23], is chosen in this paper. The DVR model is given by y˜ (n)|DVR =

M 

c˜i,0 x(n ˜ − i)

i=0

+ +

K  M  k=1 i=0 K  M 

c˜ki,1 ||x(n ˜ − i )| − βk |e j θ(n−i) Fig. 9.

c˜ki,21 ||x(n ˜ − i )| − βk |e j θ(n−i) · |x(n)| ˜

k=1 i=0

+ ···

(14)

where x(n) ˜ and y˜ (n)|DVR represent the input and output of a DVR model at baseband, respectively. βk is the threshold value that divides the input range into K partitions. The inner | · | returns magnitude of the input signal, while the outer | · | gives the result of the absolute value operation. θn represents the phase of x(n). ˜ M denotes the memory depth and c˜ki, j are the model parameters, respectively. Compared with the Volterra-based models, the DVR model is much more flexible in modeling various nonlinearities and every nonlinear term is capable of covering a wide bandwidth in the frequency domain, as shown in Fig. 8(b) [24]. It means that the same structure or terms can be used to generate a different sideband replica regardless of the TX–RX spacing. Moreover, the complexity of hardware implementation of the DVR model can also be much lower compared to that of the Volterra-based models [25]. As shown in Table III, various cross-term products in the DVR model can be used to approximate the required cross terms in the replica generation, including the third-order and higher order nonlinear terms. IV. S IMULATION R ESULTS To verify the feasibility of the proposed solution, computer simulation was conducted in MATLAB to validate whether adding cross-term products in the model can help eliminate

Simulation result for full-speed rate.

aliasing effect in sideband replica generation. Since crossterm products have been used to represent memory effects in a PA with memory, to avoid confusion, in this simulation, a 11th-order memoryless polynomial model was used to represent the nonlinear PA. A complex-valued baseband input with 20-MHz bandwidth was applied to the PA model to generate the output. The signal was then filtered by a band-limiting filter to produce the target 20-MHz leakage reference. The RX–TX frequency space was set as 34 MHz and the sampling rate of the signal was 368.64 mega-samples per second (MSPS). To avoid using the same PA behavioral model, we employed a memoryless DVR model to construct the desired sideband replica. The number of the threshold value was set to 8. In total, the suppression model required 17 coefficients. Prior to the investigation of the sideband cancellation in low sampling rate scenario, the simulation with a memoryless DVR model at the full sampling rate was conducted and the suppression performance is given in Fig. 9, where we can see that the TX leakage can be effectively removed after compensation. This result proves that the selected DVR model is working properly and the achieved suppression performance serves as a reference for the remaining results. To verify the low-sampling operation, both the input and the reference signal were downsampled by a factor of 5, with the sampling rate reduced from 368.64 to 73.72 MSPS. Due to the reduced sampling rate, aliasing effect occurs in the sideband, as shown in Fig. 10. To better verify the theory of aliasing elimination and evaluate the performance of a proposed sideband model, the tests

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAO et al.: DIGITAL SUPPRESSION OF TRANSMITTER LEAKAGE IN FDD RF TRANSCEIVERS

Fig. 10.

7

Demonstration of sideband replica with aliasing effect.

Fig. 13. Suppression result of a memoryless DVR model for the match case.

Fig. 11.

Model fitting cases.

Fig. 14. case. Fig. 12.

Spectral comparison of the mismatch and match cases.

were conducted with two types of target signals, as shown in Fig. 11. 1) Match Case: Both the model output and the target reference have alias. 2) Mismatch Case: The model output has alias while the target reference does not have alias. The mismatch case is the actual case in a real system, as described earlier in Section II. To create the match case, the high-rate PA output was downsampled by five times (to 73.72 MHz) first, and then going through the low-rate filter. In this case, aliasing effect occurs in both the modeled and the target signals. In principle, the existing memoryless model should be able to produce the desired sideband compensation signal, meaning that the expected performance of the match case shall be approximately the same as that in full-speed scenario. The spectral comparison of the mismatch and the match cases is shown in Fig. 12, where we can see a large aliasing distortion that is included in the output signal.

Suppression result of memoryless DVR model for the mismatch

The suppression performance for the two cases is presented in Figs. 13 and 14, respectively. Simulation result of the match case shows that the residual is suppressed to around −78 dBc, which indicates that the DVR model without memory terms can precisely generate the sideband replica in the match case, proving the fitting capability of the DVR model. On the contrary, the same memoryless DVR model cannot track the TX leakage in the mismatch case, and the large residual interference in Fig. 14 implies that the memoryless DVR model is incapable of aliasing cancellation. However, this problem can be solved by introducing more cross terms to the memoryless behavioral model. The nonlinear terms listed in Table III were taken into consideration to construct the DVR model. The threshold value was set to 8 and memory length was 4. In total, the number of coefficients was 212. The simulated output is shown in Fig. 15, where we can see that the aliasing distortion induced by the downsampling operation can be largely corrected by using cross-term products. Even though the performance is not as good as that of the full-rate and the match cases, this result proves that introducing cross-term products is an effective

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

Fig. 15.

Fig. 16.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Simulation result of cross-term products for the mismatch case.

Experimental test bench.

solution to eliminating the aliasing effect in low sampling rate signal processing. V. E XPERIMENTAL R ESULTS To further test the effectiveness of the proposed aliasing elimination approach, experimental measurements are conducted on a real PA on a test bench. The test platform setup includes a PC, a baseband field-programmable gatearray (FPGA) board, an RF board, and a PA, as shown in Fig. 16. The baseband in-phase and quadrature digital signal source was generated from PC. In the TX chain, the baseband signal was modulated and upconverted to RF frequency by the RF board, and finally amplified by the PA. Due to hardware limitation, there was no duplexer used in the platform. Instead of selectively sampling the TX leakage, the RX chain captured the full PA output and sent back to PC, which can be regarded as TX-induced interference with the RX shutdown. The response of the RF front end was assumed to be ideal in this scenario. The time alignment and model extraction were operated off-line in MATLAB. One concern about the experimental setup is that, due to the limitation of the test bench, the ADC needs to capture the entire output of the PA, where the power and dynamic ranges of the signal are much higher than that of the sideband. It will lead to a reduction in the effective number of bits distributed

Fig. 17.

Suppression reference performance of TX leakage at full speed.

to the sideband spectrum, which inevitably deteriorates the signal precision as well as the accuracy of model extraction. However, the main idea of this paper is to verify the theory of aliasing elimination in the low sampling rate scenario; therefore, the absolute suppression performance is not the main concern as long as fair comparisons are made between different test scenarios. The PA under test was an in-house designed LDMOS PA operating at 2.14 GHz and excited by an input signal with 20-MHz bandwidth. The PA output spectrum was captured at a high sampling rate of 368.64 MSPS, from which a sideband of 20-MHz bandwidth was filtered as the TX-induced interference. The TX–RX frequency gap was set as 30.72 MHz. To provide a performance reference, the TX leakage suppression at full speed is shown in Fig. 17. Since the signal was captured from the practical PA platform, memory effect had to be taken into consideration. The full-speed suppression model employed the DVR model structure in [23]. The number of the threshold value K was set 8 and memory depth was 3, totally resulting in 43 coefficients. A. Experimental Results for Aliasing Elimination To test the low-rate scenario, the PA input signal and leakage distortion were downsampled by six times, resulting in a sampling rate of 61.44 MSPS. A low-pass FIR filter was designed to have 20-MHz bandwidth. The spectra of the TX leakage/mismatch case and sideband with aliasing/match case are shown in Fig. 18. Here, the aliasing distortion reflects the self-distortion in the model, which has approximately the same signal power as the TX leakage. In the low-rate condition, the model structure was modified and more cross-term products were selected. The number of the threshold value K was set 10 and memory depth was 3, totally resulting in 83 coefficients. Because some cross terms have already been included in the memory model, the number of coefficients increases only moderately in this case. The baseband input signal was fed into the modified model to fit sideband with aliasing and TX leakage, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAO et al.: DIGITAL SUPPRESSION OF TRANSMITTER LEAKAGE IN FDD RF TRANSCEIVERS

Fig. 18. Spectral demonstration of the mismatch and match cases in experiment.

Fig. 19. Suppression performance of the match case at a low sampling rate.

According to the experimental results of the TX leakage suppression, the cross-term products are perceived to take care of the aliasing issue. In the experimental results, the suppression residual of the aliasing-distorted sideband in the match case is around −60 dB, as shown in Fig. 19. The suppression of TX leakage in the mismatch case in Fig. 20 reaches the similar performance as that in the match case, suggesting that the strong aliasing-distortion has been effectively removed. Therefore, the excellent accuracy of a suppression model at low sampling frequency for the TX leakage cancellation has been experimentally proved. B. Model Accuracy Discussion To have a better observation of the suppression model accuracy, more tests of TX leakage suppression with different system configurations were performed. Residual interference after suppression was evaluated against different TX–RX frequency spacings and different sampling rates, namely, 73.72, 61.44, and 52.67 MHz, which corresponded to five times, six times, and seven times downsampling, respectively.

Fig. 20. rate.

9

Suppression performance of the mismatch case at a low sampling

Fig. 21. Comparison of the normalized power spectral densities versus TX–RX frequency spacings under different sampling rates.

When it comes to the TX–RX spacing, intuitively, a closer location of TX–RX carriers will make the suppression more challenging, as the leakage close to in-band signal is stronger. However, it has been found that as long as the sampling rate is chosen, the factor of TX–RX spacing has negligible influence on cancellation performance. For instance, at sampling rate of 61.44 MHz in Fig. 21, the residual of the TX leakage cancellation was around −58 dB, regardless of RX carrier locations. This result reflects the feasibility and flexibility of the DVR model over the Volterra-based models. Rather than configuring the nonlinear order according to the specific leakage locations, the DVR model utilizes the same nonlinear structure and achieves the fairly comparable suppression across the whole OOB frequency. Therefore, the proposed DVR model is much more flexible compared to the Volterra models. It was noticed that the suppression performance is degraded with decreasing the sampling rate. This is caused by several reasons. First, as mentioned in Section III, using cross terms is

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE IV H ARDWARE C OMPLEXITY C OMPARISON

TABLE V P OWER D ISSIPATION C OMPARISON Fig. 22. Block diagrams of model operation. (a) Conventional approach. (b) Proposed approach.

only an approximation to interpolation. It cannot completely eliminate aliasing effect. Aliasing becomes more severe with lower sampling rate that leads to worse performance. Second, lower sampling rate results in lower correlation between data samples, and noise floor also arises that can cause model accuracy degradation. This performance degradation is relatively small. It was also noticed that the maximum achievable TX–RX frequency spacing is limited by the sampling rate. On the one hand, with the reduction of sampling rate, the suppression performance is degraded, pulling up the residual level. On the other hand, the power of the sideband leakage drops with the increasing TX–RX spacing. Therefore, a point will be reached where the leakage is too weak to be effectively canceled under low sampling rate. This is the reason why the maximum TX–RX distance of the 52.67-MHz case is shorter than that of the 73.72-MHz case. C. Hardware Implementation Comparison To eliminate aliasing effect, more cross terms are needed to be added into the suppression model in the low sampling rate case, resulting in a larger number of coefficients required. It is true that the model implementation can be more complex compared to that used in the conventional high sampling approaches. However, the overall system complexity will be much lower, because high-order upsampling can be avoided and fewer taps are required in the band-limiting filter. Furthermore, with the low sampling rate, the digital circuits can be operated at a low clock rate, which can lead to significant reduction of power consumption of the circuits. In this section, we compare our approach with the solution proposed in [9], called the conventional approach, in terms of hardware resource utilization and power consumption to illustrate the benefits of the proposed solution. For fair comparison, we set the same sampling rates for both solutions at the input and the final output, as shown in Fig. 22. In the conventional approach [9], the input samples were interpolated by six times from 61.44 MSPS to the full rate of 368.64 MSPS and then fed into the suppression model. The aliasing-free sideband replica was then generated and downsampled to match the leakage data rate at the output. The block diagram of the model operation is shown in Fig. 22(a). In the upsampling operation,

five consecutive zeros were inserted after every sample and then the signal was filtered by a low-pass FIR filter with 167 delay taps to smooth the waveform. The high sampling rate was kept during the nonlinear signal generation. The downsampling process was implemented in combination with the band-limiting filtering, namely, rather than producing a 368.64-MSPS output, and the band-limiting filter only generated one output sample every 6 clock cycles, reducing the sampling rate to 61.44 MSPS. By contrast, our proposed method used the same low sampling rate of 61.44 MSPS throughout the whole process, as shown in Fig. 22(b). The conventional solution required 43 coefficients for the behavioral model to achieve the same cancellation performance as that achieved in the full-speed case, as shown in Fig. 17, while the proposed scheme used 83 coefficients and its performance is shown in Fig. 20. Because of a high sampling rate used, 125 delay taps were required for the band-limiting filter in the conventional approach while in the low sampling case, only 61 delay taps were needed. Since most of hardware operations involve multiplications and additions [25], the hardware complexities can be estimated with the number of DSP48 units employed on Xilinx FPGA board (one DSP48 includes one multiplier and one adder). The detailed comparison of DSP48 resource utilization is listed in Table IV. Although the conventional approach only requires 29 DSPs for the nonlinear model construction, compared with 44 in the proposed approach, it requires many more DSP units to implement interpolation and bandlimiting function because of the high data processing rate. Consequently, the total number of DSP units used in the conventional approach is almost doubled as that required in the proposed approach. Additionally, the clock speed can, to a great extent, affect the energy consumption of the entire system. In this paper, we used Xilinx power estimator [26], developed by Xilinx company, to approximate the power dissipation based on the data processing rate and the number of DSP48 units used. The power dissipated by the entire FPGA chip (on-chip power) and DSP units only (DSP slice power) is reported in Table V. Since there is 83.33% decrease in the

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CAO et al.: DIGITAL SUPPRESSION OF TRANSMITTER LEAKAGE IN FDD RF TRANSCEIVERS

data processing rate in the proposed method compared to the conventional approach, 48.77% and 80.00% savings can be achieved for on-chip power and DSP slice power, respectively. From these results, we can see that, even though adding additional cross terms increases the model implementation complexity, the overall system complexity is still considerably lower and the power consumption of the system can be dramatically reduced because a much lower clock rate is required.

Re(x) ˜ = |x| ˜ cos θ and Im(x) ˜ = |x| ˜ sin θ , respectively, (A.3) can then be rewritten as 2 2 + |x(3)| ˜ + 2ac + 2bd |x(1)| ˜ 2 2 = |x(1)| ˜ + |x(3)| ˜ + 2|x(1)| ˜ cos θ1 |x(3)| ˜ cos θ3 + 2|x(1)| ˜ sin θ1 |x(3)| ˜ sin θ3 . (A.4)

Substituting the cosine term cos(θ1 − θ3 ) = cos θ1 cos θ3 + sin θ1 sin θ3

(A.5)

in (A.4), we obtain

VI. C ONCLUSION To alleviate RX desensitization issue in FDD transceivers, a technique of suppressing TX-induced interference at low sampling rate has been proposed. The theoretical analysis reveals that aliasing effect caused by the low sampling rate can be eliminated by adding cross-term products in the behavioral model and the experimental results have proved the effectiveness of the proposed approach. By employing the DVR model, it also shows that the same model structure can be used across the whole OOB frequencies regardless of the RX–TX spacing, which makes the model deployment very flexible. Because a low sampling rate is used, the implementation complexity and power consumption of the system can be significantly reduced by employing the proposed approach. It is worth pointing out that, although the final model structure appears to be quite similar to that in the existing behavioral models, e.g., GMP/DVR models that are used for compensating memory effects induced by the PA, in this paper, we showed, for the first time, that the aliasing effects in the sideband replica generation can be effectively eliminated by using cross-term products in the nonlinear model construction instead of using high sampling rate interpolation in the input signal. This provides an effective solution for reducing cost and in particular power consumption of digital signal processing in this application in the future wideband systems. A PPENDIX D ERIVATION OF THE T HIRD -O RDER P OLYNOMIAL I NTERPOLATION T ERMS Assuming x(2) ˜ = [x(1) ˜ + x(3)]/2 ˜

11

(A.1)

we can have 2 [x(1) ˜ + x(3)] ˜ |x(1) ˜ + x(3)| ˜ × . (A.2) 4 2 If we define x(1) ˜ and x(3) ˜ as x(1) ˜ = a +bj and x(3) ˜ = c+d j , respectively, we thus can obtain 2 |x(2)| ˜ x(2) ˜ =

2 |x(1) ˜ + x(3)| ˜ = |a + c + (b + d) j|2 = (a + c)2 + (b + d)2

= a 2 + b 2 + c2 + d 2 + 2ac + 2bd 2 2 + |x(3)| ˜ + 2ac + 2bd. (A.3) = |x(1)| ˜ Note that x(1) ˜ and x(3) ˜ can also be represented as x(1) ˜ = j θ1 and x(3) j θ3 , respectively. If the cor|x(1)|e ˜ ˜ = |x(3)|e ˜ responding real and imaginary parts of x˜ is given by

2 |x(1) ˜ + x(3)| ˜ 2 2 = |x(1)| ˜ + |x(3)| ˜ + 2ac + 2bd 2 2 + |x(3)| ˜ + 2|x(1)|| ˜ x(3)| ˜ cos(θ1 − θ3 ). = |x(1)| ˜

(A.6)

Finally, we have 2 |x(2)| ˜ x(2) ˜ 2 [x(1) ˜ + x(3)] ˜ |x(1) ˜ + x(3)| ˜ × = 4 2 2 2 |x(1) ˜ + x(3)| ˜ × x(1) ˜ × x(3) ˜ |x(1) ˜ + x(3)| ˜ + = 8 8 2 2 [|x(1)| ˜ + |x(3)| ˜ + 2|x(1)|| ˜ x(3)| ˜ cos(θ1 − θ3 )] × x(1) ˜ = 8 2 2 + |x(3)| ˜ + 2|x(1)|| ˜ x(3)| ˜ cos(θ1 − θ3 )] × x(3) ˜ [|x(1)| ˜ . + 8 (A.7)

R EFERENCES [1] Evolved Universal Terrestrial Radio Access (E-UTRA); User Equipment (UE) Radio Transmission and Reception Version 13.3.0 Release 13), document TS 36.101, 3GPP, May 2016. [Online]. Available: http://www.etsi.org/standards-search [2] Z. Fu, L. Anttila, M. Abdelaziz, M. Valkama, and A. M. Wyglinski, “Frequency-selective digital predistortion for unwanted emission reduction,” IEEE Trans. Commun., vol. 63, no. 1, pp. 254–267, Jan. 2015. [3] M. Abdelaziz et al., “Low-complexity digital predistortion for reducing power amplifier spurious emissions in spectrally-agile flexible radio,” in Proc. 9th Int. Conf. Cognit. Radio Oriented Wireless Netw. Commun. (CROWNCOM), 2014, pp. 323–328. [4] C. Yu, M. Allegue-Martínez, Y. Guo, and A. Zhu, “Output-controllable partial inverse digital predistortion for RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 11, pp. 2499–2510, Nov. 2014. [5] J. Kim, P. Roblin, D. Chaillot, and Z. Xie, “A generalized architecture for the frequency- selective digital predistortion linearization technique,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 596–605, Jan. 2013. [6] S. A. Bassam, M. Helaoui, and F. M. Ghannouchi, “Channel-selective multi-cell digital predistorter for multi-carrier transmitters,” IEEE Trans. Commun., vol. 60, no. 8, pp. 2344–2352, Aug. 2012. [7] P. Roblin et al., “Frequency-selective predistortion linearization of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 1, pp. 65–76, Jan. 2008. [8] T. O’Sullivan, R. A. York, B. Noren, and P. M. Asbeck, “Adaptive duplexer implemented using single-path and multipath feedforward techniques with BST phase shifters,” IEEE Trans. Microw. Theory Techn., vol. 53, no. 1, pp. 106–114, Jan. 2005. [9] M. Omer, R. Rimini, P. Heidmann, and J. S. Kenney, “A compensation scheme to allow full duplex operation in the presence of highly nonlinear microwave components for 4 G systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, pp. 1–4. [10] M. Omer, R. Rimini, P. Heidmann, and J. S. Kenney, “A PA-noise cancellation technique for next generation highly integrated RF frontends,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2012, pp. 471–474.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

[11] M. Omer, R. Rimini, P. Heidmann, and J. S. Kenney, “All digital compensation scheme for spur induced transmit self-jamming in multireceiver RF frond-ends,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [12] A. Kiayani, L. Anttila, and M. Valkama, “Digital suppression of power amplifier spurious emissions at receiver band in FDD transceivers,” IEEE Signal Process. Lett., vol. 21, no. 1, pp. 69–73, Jan. 2014. [13] A. Kiayani, M. Abdelaziz, L. Anttila, V. Lehtinen, and M. Valkama, “DSP-based suppression of spurious emissions at RX band in carrier aggregation FDD transceivers,” in Proc. 22nd Eur. Signal Process. Conf. (EUSIPCO), Sep. 2014, pp. 591–595. [14] A. Kiayani, L. Anttila, and M. Valkama, “Modeling and dynamic cancellation of TX-RX leakage in FDD transceivers,” in Proc. IEEE 56th Int. Midwest Symp. Circuits Syst. (MWSCAS), Aug. 2013, pp. 1089–1094. [15] C. Yu, W. Cao, Y. Guo, and A. Zhu, “Digital compensation for transmitter leakage in non-contiguous carrier aggregation applications with FPGA implementation,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4306–4318, Dec. 2015. [16] C. Yu and A. Zhu, “Modeling and suppression of transmitter leakage in concurrent dual-band transceivers with carrier aggregation,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2015, pp. 1–3. [17] S. Farsi, H. Gheidi, H. T. Dabag, P. S. Gudem, D. Schreurs, and P. M. Asbeck, “Modeling of deterministic output emissions of power amplifiers into adjacent receive bands,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1250–1262, Apr. 2015. [18] A. Frotzscher and G. Fettweis, “Baseband analysis of Tx leakage in WCDMA zero-IF-receivers,” in Proc. 3rd Int. Symp. Commun., Control Signal Process., Mar. 2008, pp. 129–134. [19] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [20] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [21] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [22] C. Yu, L. Guan, E. Zhu, and A. Zhu, “Band-limited volterra series-based digital predistortion for wideband RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 4198–4208, Dec. 2012. [23] A. Zhu, “Decomposed vector rotation-based behavioral modeling for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 737–744, Feb. 2015. [24] M. Y. Cheong, S. Werner, J. E. Cousseau, and R. Wichman, “Spectral characteristics of a piecewise linear function in modeling power amplifier type nonlinearities,” in Proc. 21st Annu. IEEE Int. Symp. Pers., Indoor Mobile Radio Commun., Sep. 2010, pp. 639–644. [25] W. Cao and A. Zhu, “A modified decomposed vector rotation-based behavioral model with efficient hardware implementation for digital predistortion of RF power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 7, pp. 2443–2452, Jul. 2017. [26] Xilinx All Programmable. (2017). Xilinx Power Estimator User Guide. [Online]. Available: https://www.xilinx.com/support/documentation/sw_ manuals/xilinx2014_1/ug440-xilinx-power-estimator.pdf

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Wenhui Cao (S’15) received the B.E. degree in automation from the Beijing University of Chemical Technology, Beijing, China, in 2013, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2017. She was with the RF and Microwave Research Group, UCD, from 2013 to 2017. She is currently a Lecturer with the School of Electronics and Information, Hangzhou Dianzi University, Hangzhou, China. Her current research interests include nonlinear behavioral modeling and linearization of RF power amplifiers, digital postcorrection of high-speed ADCs, digital suppression of TX-induced interference in frequency-division duplexing transceiver, and high performance field-programmable gate-array implementation methodologies.

Yue Li (S’17) received the B.E. degree in information engineering from Southeast University, Nanjing, China, in 2016. He is currently pursuing the Ph.D. degree at University College Dublin, Dublin, Ireland. He is currently with the RF and Microwave Research Group, UCD. His current research interests include behavioral modeling and digital predistortion for RF power amplifiers.

Anding Zhu (S’00–M’04–SM’12) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Professor with the School of Electrical and Electronic Engineering, UCD. His current research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on behavioral modeling and linearization of RF power amplifiers for wireless communications, high efficiency power amplifier design, wireless transmitter architectures, digital signal processing, and nonlinear system identification algorithms.

1312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Broadband High-Power W-Band Amplifier MMICs Based on Stacked-HEMT Unit Cells Fabian Thome , Arnulf Leuther, Michael Schlechtweg, Member, IEEE, and Oliver Ambacher Abstract— This paper reports on a broadband high-power amplifier (HPA) millimeter-wave integrated circuit (MMIC) covering the extended W-band (65–125 GHz). The MMIC is based on the Fraunhofer IAF 50-nm gate-length metamorphic highelectron-mobility transistor (mHEMT) technology. The HPA consists of two parallelized unit amplifiers. Each unit amplifier (UA) utilizes four stacked-HEMT unit power cells (UPCs) and fourway power combiners at the input and output. The UPCs stack four transistors with a gate width of 4 × 40 µm per HEMT. The UA achieves an average small-signal gain of 19.4 dB and an average saturated output power of 21.6 dBm at least from 70 to 110 GHz. The HPA yields an average small-signal gain of 16.8 dB and an average saturated output power of 22.5 dBm at least from 68 to 110 GHz. A peak output power of 24.1 dBm is achieved at an operating frequency of 75 GHz. Index Terms— High-electron-mobility transistors (HEMTs), millimeter wave (mmW), mmW integrated circuits (MMICs), power amplifier (PA), stacking, W-band.

I. I NTRODUCTION

D

URING the last few years, a multitude of applications, such as high-speed data links, 5G wireless backhaul, measurement equipment, radar, imaging and sensing systems, as well as other security applications, expanded into the millimeter-wave (mmW) spectrum. Driven by this increasing interest, the generation of RF output power (Pout ) over a considerably large bandwidth pushes the development of highelectron-mobility transistor (HEMT) technologies and circuit design. Thereby, wide bandgap technologies, such as gallium nitride (GaN), close the gap to operate frequencies of 100 GHz and beyond. The best published broadband W-band power amplifier (PA) mmW integrated circuits (MMICs) are based on GaN technologies and yield a Pout of up to 2 W, at the expense of a considerably large occupied chip area of 14.85 mm2 [1]. More compact broadband GaN PAs achieve a Pout of less than 23 dBm [2]. However, both cases do not exceed operating frequencies of 105 GHz. In addition, numerous applications require not only a high output power and a wide bandwidth but also the possibility to integrate a PA with other system key components, such as low-noise amplifiers, mixers, or frequency multipliers with equally good performance. Thus, high-speed technologies, such as indium phosphide or Manuscript received July 27, 2017; revised October 2, 2017; accepted October 20, 2017. Date of publication December 1, 2017; date of current version March 5, 2018. (Corresponding author: Fabian Thome.) F. Thome, A. Leuther, and M. Schlechtweg are with the Fraunhofer Institute for Applied Solid State Physics, 79108 Freiburg, Germany (e-mail: [email protected]). O. Ambacher is with the Institute for Sustainable System Engineering, University of Freiburg, 79110 Freiburg, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772809

metamorphic HEMTs (mHEMTs), offer excellent conditions for the development of multifunctional MMICs that include a high PA (HPA). However, considerably low supply voltages limit the achievable power densities to only a few hundred milliwatts per millimeter. Still, amplifiers which are based on these high-speed technologies demonstrated an output power of up to 23 dBm [3], however, at the expense of a limited bandwidth of only a few gigahertzs. Thus, based on the Fraunhofer IAF 50-nm gate-length mHEMT technology (see Section II), this paper demonstrates that the design of a broadband HPA MMIC, which covers an extended W-band with more than 20 dBm, is feasible. Therefore, a HEMT-stacking topology is introduced in Section III that utilizes four stacked HEMTs per unit power cell (UPC), four UPCs in parallel as unit amplifier (UA), and two UAs in parallel as HPA. The MMIC results are discussed in Section IV. The fabricated UA MMIC achieves an average output power of 21.6 dBm over the 70–110-GHz band. The fabricated HPA MMIC combines two UAs and yields an average output power of 22.5 dBm over the 68–110-GHz band. In Section V, the achieved results are compared to state-of-the-art W-band PA MMICs. II. 50-nm mHEMT T ECHNOLOGY The presented work is based on the Fraunhofer IAF 50-nm gate-length InAlAs/InGaAs mHEMT technology [4]. For a lattice matched growth of the HEMT layers on 100-mm semiinsulating GaAs wafers, a metamorphic buffer with a linear Inx Al0.48 Ga0.52−x As (x = 0 → 0.52) transition is used. The 2-D electron gas is confined in an In0.8 Ga0.2 As/In0.53 Ga0.47 As composite channel. The T-gates are defined by electron beam lithography and are encapsulated in benzocyclobutene (BCB). The wafer is passivated with a 250-nm-thick SiN layer which also acts as the dielectric layer of the on-wafer metal– insulator–metal (MIM) capacitors and is deposited by chemical vapor deposition. Further passive elements comprise of NiCr thin film resistors, an electron beam evaporated Au-based first interconnection layer (MET1), and a 2.7-μm-thick plated Au layer in air bridge technology (METG). After finishing the front side process, a full back side process follows. This includes wafer thinning to 50-μm thickness, through substrate via holes, and back side metallization. In Fig. 1, a simplified cross section of the layer stack of the 50-nm gate-length mHEMT technology is illustrated. The technology features an f T of 375 GHz and a maximum oscillation frequency ( f max ) of 670 GHz. The maximum drain current density is 1300 mA/mm and the maximum transconductance is 2100 mS/mm. The breakdown voltage (Vbd) is

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

THOME et al.: BROADBAND HIGH-POWER W-BAND AMPLIFIER MMICs BASED ON STACKED-HEMT UNIT CELLS

Fig. 2.

1313

Simplified schematic of the presented UPC.

Fig. 1. Simplified cross section of the layer stack of the utilized 50-nm gate-length mHEMT technology. TABLE I K EY PARAMETERS OF THE 50-nm G ATE -L ENGTH mHEMT T ECHNOLOGY

number of stacked transistors (n opt ) is limited to   −1 f op n opt = ln 1 + fT

(1)

where fop is the highest operating frequency of the corresponding circuit. Due to an upper band edge design target of 110 GHz, n opt equals 3.9. Hence, in this paper, an UPC utilizes a stack of four transistors with a CS device and three CG devices. From [5], we know that the RF output voltage (Vout) and current (Iout) of an FET stack with n transistors is given as Vout (n) = n · VDS_RF  n−1 fT Iout (n) = ID_RF · fop + f T about 3 V. Table I summarizes the main performance parameters of the utilized mHEMT technology. III. H IGH -P OWER A MPLIFIER MMIC D ESIGN High-speed technologies, such as mHEMT technologies, benefit from high cutoff frequencies. Even for operating frequencies in the W-band, f T is a factor of three to four larger. However, a major challenge in the design of an HPA is the limited supply voltage and thus, the considerably low power density and load-line resistance. Especially with standard PA topologies in combination with, e.g., common-source (CS) devices in the final stage of an amplifier, the generation of an output power of more than 20 dBm diminishes the achievable bandwidth distinctly. Since a large total gate width has to be combined in the final stage of a PA, the largesignal output resistance of the output stage is extremely low, whereas the large-signal output capacitance is considerably large. In general, it is the finite Q factor of the matching networks that limits the bandwidth of the circuit since complex matching networks are very often not feasible. A possibility to overcome the present limitations of high-speed technologies is HEMT or FET stacking. Thereby, compared to a single CS device, the output power density, the large-signal output resistance, and the available gain can be increased distinctly by stacking common-gate (CG) transistors on top of a CS device. In Section III-A, the design of an UPC is demonstrated. The UA combines four UPCs, which is discussed in Section III-B. The design of the HPA MMIC is shown in Section III-C. A. Stacked-HEMT Unit Power Cell Due to the difference of current and voltage gain of CS and CG devices, we demonstrated in [5] that the Pout -optimized

(2) (3)

where VDS_RF is the RF drain–source voltage of a single transistor for a large-signal excitation. ID_RF is the RF drain current of the CS transistor of a stack. Consequently, the RF load-line resistance of a stack (Rout ) with n transistors is Vout (n) Iout (n)   f op n−1 VDS_RF = n· 1+ · fT ID_RF n−1  f op = n· 1+ · RDS_RF fT

Rout (n) =

(4)

where RDS_RF is the load-line resistance of a single transistor. The measured RDS_RF (Pout optimized) of the utilized 50-nm gate-length mHEMT technology (normalized to the gate width) is about 1.125 ·mm [5]. The length of a transistor finger is 40 μm. This is close to a Rollet’s stability factor of one but includes some safety margin to consider possible process variations. Each transistor consists of four fingers so that the total gate width is 4×40 μm. In Fig. 2, a simplified schematic of the UPC is illustrated. For RF-performance reasons, the UPC is designed in a grounded coplanar waveguide (CPWG) environment. Based on (4), a total gate width per transistor of 160 μm, and four transistors per stack, the expected RDS_RF in the W-band frequency range is between 48 and 61  (see Fig. 3). The gate voltage (Vg ) of each transistor is supplied via a 10-k resistor (Rg ). On the one hand, this prevents the transistors to enter the triode region and on the other hand, this enables a dedicated design of the RF gate termination of the CG devices of a stack. The dc gate voltages of the CG transistors are generated by a resistive voltage divider between the supplied drain voltage (VD ) and the supplied gate–source

1314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 3. Calculated load-line resistance versus operating frequency of a stack with four HEMTs and a total gate width of 160 μm per transistor.

voltage of the CS transistor. This guarantees that all transistors in the stack have the same gate–source dc voltage. Since the NiCr resistors and MET1 are separated by BCB (see Fig. 1), the resistors are covered with MET1 and meandered in order to minimize the occupied chip area. The RF termination capacitors (CCG ) at the gate of the CG transistors have to be chosen carefully. Still, maximum gain is achieved by large values of CCG , the capacitance has to be considerably small. This prevents the well-known stability issues of CG transistors and enables the control of the individual load impedance of the consecutive transistors of the stack [6]. Thus, the drain–source voltage swing of the CG transistors can be confined well below the breakdown voltage of the transistors. The tradeoff between performance, RF stability, stacking requirements, and processing tolerances results in CCG = 100 fF. A further reduction of CCG for the upper transistors would be beneficial; however, a capacitance of 100 fF already requires a considerably small MIM capacitor and possible process variations could affect the performance of the stack distinctly. Hence, each CG transistor uses two 100-fF capacitors, one on each side of a transistor. The transmission line (TL) between the transistors of the stack (TLint ) is mainly used for an intrastack matching and thermal reasons. TLint has a width and a length of 20 and 40 μm, respectively. This results in a separation of about 50 μm between the active areas of the transistors, which reduces mutual heating of the transistors. During large-signal simulations, the influence of different TLs between consecutive transistors of a stack was observed to be considerably small. Hence, TLint is similar for the entire stack, which as well eases the simulation. For a wideband frequency response, the UPC mainly utilizes two features: an input matching network (IMN) of fourth order and a compensation of the output capacitance of the stack with a novel RF-shorted drain stub approach. Although the load-line resistance of a stack is relatively high, the input impedance of a stack remains very low, comparable to a single CS transistor. Thus, the bandwidth limiting part of a PA is the low input impedance in combination with a finite Q of the IMN. Therefore, the input matching to 50  is divided into two parts. In a first step, the input of the stack is matched to an internal impedance (Z int) of 15 . This is done by a

Fig. 4. Simplified layout of our novel drain stub approach. The drain stub is connected inside the last transistor of a stack.

fourth-order filter network. The second step is a part of the UA and is described in Section III-B. The compensation of the output capacitance is realized by an RF-shorted stub for each UPC, which is also used for the drain bias. Commonly, the shorted stub is connected via a T-junction after the transistor. This has two disadvantages. First, the series inductance which is added by the drain feeder, TL, and T-junction limits the bandwidth, especially of the large-signal performance, since the (parallel) output capacitance of the stack is not ideally compensated by a parallel inductance (drain stub). Second, if the T-junction is placed very close to the drain feeder, to reduce the first issue, the description of standard T-junction models is imperfect since drain feeder and T-junction have an inhomogeneous field at the cutting plane. This can be circumvented with, for example, electromagnetic (EM) simulations of the entire network. Our novel approach connects the drain stub inside the last transistor of the stack. This is done by an air bridge which connects the two drain areas of a transistor. A second air bridge connects the drain stub. In Fig. 4, a simplified layout of our novel drain stub approach is illustrated. The characteristic impedance of the drain stub is as high as possible. Thereby, the corresponding inductance becomes as frequency independent as possible. As a result, the output capacitance is compensated as wideband as possible. Based on load–pull simulations, the load-line resistance of the UPC is about 50 . This is in good agreement with the calculated value that is based on (4). Table II summarizes the main design parameters of the UPC. B. Unit Amplifier MMIC The UA MMIC contains four UPCs which are described in Section III-A. A block diagram of the presented UA MMIC is illustrated in Fig. 5. A chip photograph is given in Fig. 6. A close-up view of our novel drain stub approach is as well depicted in Fig. 6. Four-way power combiners connect the four UPCs at the input and output of the UA. This means that each branch of the combiner should present an impedance of 200  to the connection point. Consequently, this results in an input and output impedance of the UA of 50 , which is the system impedance. The 15- input impedance of the UPC is transformed by a quarter-wave impedance transformer with a characteristic impedance of about 54 . For the quarterwave impedance transformer of the 50- output impedance

THOME et al.: BROADBAND HIGH-POWER W-BAND AMPLIFIER MMICs BASED ON STACKED-HEMT UNIT CELLS

1315

TABLE II D ESIGN PARAMETERS OF THE UPC

Fig. 7. Chip photograph of the fabricated HPA MMIC. The chip dimensions are 1.25 × 2.75 mm2 .

Fig. 8. Measured and simulated S-parameters of the presented UA MMIC. The MMIC is biased with Vd = 4 V and Vg = 0 V. The drain current is about 265 mA.

Fig. 5.

Block diagram of the presented UA.

UPCs is connected by ohm metal, which is below MET1 and isolated by BCB. The drain bias is supplied by the drain stub of the two outer UPCs for the inner and the outer cells, simultaneously. The power combiner forward the drain bias to the inner cells. The UA MMIC contains transistors with a total gate width of 2.56 mm. Based on CST Microwave Studio, the entire MMIC is verified by EM simulations. The MMIC is realized with special RF pads which are dedicated for on-wafer characterizations. For a better comparison between measurement and simulations, the pads can be excluded by dedicated calibrations standards that are placed on the same wafer. C. High-Power Amplifier MMIC

Fig. 6. Chip photograph of the fabricated UA MMIC. Including dc and RF pads, the chip dimensions are 1.75 × 1 mm2 . The UA occupies a chip area of 1.1 × 0.7 mm2 .

of the UPC, a 100- TL is required. Due to design-rule restrictions (minimum width: 4 μm), the highest impedance of a CPWG TL is about 81 . For a microstrip line (MSL) environment, the highest impedance is about 94 . Thus, the four-way power combiner at input and output of the UA is realized by using MSLs. In addition, this simplifies the layout and reduces losses. The gate bias of the inner

The HPA MMIC combines two UAs with an HPA. The power combiners at input and output of the HPA again utilize quarter-wave impedance transformers. The characteristic impedance of the TLs is about 71 . Since one-half of an UA is wider than a quarter-wave TL is long, the two UAs are placed with their output ports next to each other. This also reduces unwanted losses in the output network. At the input of the HPA, the input of the UA is connected with a 50- TL to the power combiner. A chip photograph of the fabricated HPA is shown in Fig. 7. The HPA MMIC contains transistors with a total gate width of 5.12 mm. IV. M EASUREMENT R ESULTS The small- and large-signal performance of the presented MMICs is characterized on wafer level. For both MMICs,

1316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

TABLE III S TATE - OF - THE -A RT B ROADBAND W-BAND MMICs

Fig. 10. Measured and simulated S-parameters of the presented HPA MMIC. The MMIC is biased with Vd = 4 V and Vg = 0 V. The drain current is 527 mA.

Fig. 9. (a) Measured transducer gain versus output power for CW operation and a drain voltage of 4.4 V. (b) Measured CW frequency response of the UA MMIC for a constant input power of 7 dBm. The MMIC is biased with different drain voltages (4, 4.4, and 4.8 V) and a gate voltage of 0 V. For an improved heat dissipation, the MMIC is glued on a copper plate.

Fig. 11. Mapping of an entire wafer with the CW frequency response of the HPA for a constant input power of 7 dBm. The MMICs are biased with Vd = 4 V and Vg = 0 V.

the large-signal measurement setup is limited to a maximum operating frequency of 110 GHz. The on-wafer S-parameters are characterized by an Anritsu VectorStar vector network analyzer. The S-parameters of the UA MMIC are depicted in Fig. 8. The UA exhibits an average |S21 | of 19.4 dB (16.8–20.7 dB) from 68 to 126 GHz (relative bandwidth: 59.8%). In Fig. 9(a), the transducer gain (G t ) of the UA MMIC is shown versus output power for operating frequencies from 70 to 110 GHz and a drain voltage of 4.4 V. The CW large-signal performance for a constant input power of 7 dBm

is shown in Fig. 9(b). For drain voltages of 4, 4.4, and 4.8 V, the output power yields average values of 19.9, 20.6, and 21.2 dBm, respectively. Consequently, G t has an average value of 12.9, 13.6, and 14.2 dB. The UA MMIC achieves a peak value of 22 dBm at an operating frequency from 80 to 83 GHz. The S-parameters of the presented HPA MMIC are given in Fig. 10. The HPA yields an average |S21 | of 16.8 dB (14.5–18.9 dB) from 65 to 125 GHz (relative bandwidth: 63.2%). In Fig. 11, a wafer mapping of 37 working cells out of 37 available cells on a wafer is shown. For a drain

THOME et al.: BROADBAND HIGH-POWER W-BAND AMPLIFIER MMICs BASED ON STACKED-HEMT UNIT CELLS

1317

per stack. An UA MMIC is demonstrated with four stacks in parallel. The UA MMIC yields an average output power of 21.6 dBm for a bandwidth of at least 70 to 110 GHz. The presented HPA MMIC combines two UA amplifiers and achieves an average output power of 22.5 dBm for a bandwidth of at least 68–110 GHz. For an operating frequency of 75 GHz, a peak output power of 24.1 dBm is obtained. Even though the HPA MMIC utilizes HEMTs with a total gate width of 5.12 mm on a single MMIC, a wafer mapping with a yield of 100% is demonstrated. To the best of the authors’ knowledge, this is the first demonstration of a PA MMIC with an output power of more than 20 dBm over the entire W-band. The achieved results demonstrate that high-speed low-bandgap HEMT technologies are competitive to state-of-the-art wide bandgap broadband PAs, which suffer from a limited upper band edge. Therefore, a proper combination of CPWG and MSL environments, HEMT stacking, and a novel drain stub approach are required. The presented concepts can also be transferred to other technologies and can help to further extend today’s power limitations. ACKNOWLEDGMENT

Fig. 12. (a) Measured transducer gain versus output power for CW operation and a drain voltage of 4.4 V. (b) The measured CW frequency response of the HPA MMIC for a constant input power of 9 dBm. The MMIC is biased with different drain voltages (4, 4.4, and 4.8 V) and a gate voltage of 0 V. For an improved heat dissipation, the MMIC is glued on a copper plate.

voltage of 4.4 V, G t versus output power is given in Fig. 12(a). In Fig. 12(b), the CW large-signal performance is shown for a constant input power of 9 dBm. For drain voltages of 4, 4.4, and 4.8 V, the output power yields average values of 20.6, 21.8, and 22.5 dBm, respectively. Consequently, G t has an average value of 11.6, 12.8, and 13.5 dB. The HPA MMIC achieves a peak value of 24.1 dBm at 75 GHz. V. C OMPARISON TO S TATE - OF - THE -A RT In Table III, the performance of the presented UA and HPA MMIC is summarized and compared to state-of-the-art W-band PA MMICs. In [7], an MMIC is demonstrated that covers the entire W-band; however, the output power is less than 16.2 dBm. Even though in [1] and [8] an output power of about 24.5 and 34 dBm is demonstrated, respectively, the upper band edge is limited to 100 GHz in both cases. To the best of the authors’ knowledge, the presented amplifiers are the first MMICs that cover the entire W-band with an output power of more than 20 dBm. VI. C ONCLUSION In this paper, the design and realization of broadband highpower W-band amplifier MMICs is shown. The amplifiers are based on a HEMT-stacking approach with four transistors

The authors would like to thank the colleagues in the IAF epitaxy and technology departments for their excellent contributions during epitaxial growth and wafer processing. The authors would also like to thank S. Wagner for supporting the RF measurements and C. Friesicke for valuable discussions. R EFERENCES [1] J. M. Schellenberg, “A 2-W W-band GaN traveling-wave amplifier with 25-GHz bandwidth,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 9, pp. 2833–2840, Sep. 2015. [2] HRL Laboratories. Jun. 2014. BAL-WPA GaN 70-105 GHz PA Preliminary Datasheet BAL-WPA. [Online]. Available: http://mmics.hrl.com/ GaNPA/pdf/BalancedPADataSheet.pdf [3] A. Tessmann, A. Leuther, C. Schwoerer, and H. Massler, “Metamorphic 94 GHz power amplifier MMICs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1579–1582. [4] A. Leuther, A. Tessmann, H. Massler, R. Aidam, M. Schlechtweg, and O. Ambacher, “450 GHz amplifier MMIC in 50 nm metamorphic HEMT technology,” in Proc. IEEE Int. Indium Phosphide Rel. Mater. Conf., Aug. 2012, pp. 229–232. [5] F. Thome, S. Maroldt, and O. Ambacher, “Prospects and limitations of stacked-FET approaches for enhanced output power in voltagecontrolled oscillators,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 3, pp. 836–846, Mar. 2016. [6] H. Dabag, B. Hanafi, F. Golcuk, A. Agah, J. F. Buckwalter, and P. M. Asbeck, “Analysis and design of stacked-FET millimeter-wave power amplifiers,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 4, pp. 1543–1556, Apr. 2013. [7] B. Kim, A. Tran, and J. Schellenberg, “Full W-band power amplifier/combiner utilizing GaAs technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2012, pp. 1–3. [8] A. Bessemoulin, M. Rodriguez, J. Tarazi, G. McCulloch, A. E. Parker, and S. J. Mahon, “Compact W-band PA MMICs in commercially available 0.1-μm GaAs PHEMT process,” in IEEE Compound Semiconductor Integr. Circuits Symp. Dig., Oct. 2015, pp. 1–4. [9] M. Chang and G. Rebeiz, “A wideband high-efficiency 79–97 GHz SiGe linear power amplifier with  90 mW output,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2008, pp. 69–72. [10] K.-J. Tsai, J.-L. Kuo, and H. Wang, “A W-band power amplifier in 65-nm CMOS with 27 GHz bandwidth and 14.8 dBm saturated output power,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2012, pp. 69–72.

1318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fabian Thome received the Dipl.-Ing. degree in electrical engineering and information technologies with an emphasis on microelectronics and nanoelectronics as well as high frequency electronics from the Karlsruhe Institute of Technology, Karlsruhe, Germany, in 2011. He is currently pursuing the Ph.D. degree with the University of Freiburg, Freiburg, Germany. In 2010, he joined the Fraunhofer Institute for Applied Solid State Physics, Freiburg, where he has been a Research Engineer and a Project Manager since 2012. His current research interests include the design and characterization of linear and nonlinear semiconductor devices and monolithic microwave integrated circuits based on III–V technologies in the microwave and millimeter-wave frequency range for applications in wireless communications and radio astronomy.

Arnulf Leuther received the Dipl.Phys. and Ph.D. degrees in physics from the Technical University of Aachen, Aachen, Germany. From 1992 to 1996, he was with Forschungszentrum Jülich, Jülich, Germany. In 1996, he joined the Fraunhofer Institute for Applied Solid State Physics, Freiburg, Germany, where he is currently the Head of the Lithography Group. His current research interests include the development of advanced III–V process technologies for metamorphic HEMTs and the fabrication of millimeter- and submillimeter-wave MMICs. Dr. Leuther was a recipient of the 1996 Borchert Medal from RWTH Aachen University for his dissertation thesis.

Michael Schlechtweg (M’88) received the Dipl.-Ing. degree in electrical engineering from the Technical University Darmstadt, Darmstadt, Germany, in 1982, and the Dr.-Ing. degree from the University of Kassel, Kassel, Germany, in 1989. He joined the Fraunhofer Institute for Applied Solid State Physics, Freiburg, and became the Head of the Simulation and Modeling Group in 1994, where he was involved in the design of millimeter-wave integrated circuits and nonlinear characterization and modeling of active RF devices. Since 1996, he has been leading the High Frequency Devices and Circuits Department, Fraunhofer Institute for Applied Solid State Physics, where he is focused on the design and the characterization of devices and integrated circuits based on III–V compound semiconductors for RF applications, as well as the development of integrated circuits and modules for sensor and communication systems up to 500 GHz and above. He has co-authored approximately 200 scientific publications. He holds two patents. Dr. Schlechtweg was a recipient of the 1993 Fraunhofer Prize and the 1998 European Microwave Prize.

Oliver Ambacher received the Dipl.-Phys. and Dr. degrees (Hons.) from Ludwig-Maximilians and Technical University Munich, Munich, Germany, in 1989 and 1993, respectively. In 1993, he joined the Walter Schottky Institute of the Technical University-Munich, Garching bei München, Germany. Since 1995, the research of his group is focused on fabrication of GaN-based devices such as UV detectors, surface acoustic wave devices, or microwave amplifiers, as well as on the understanding of polarization induced effects in group-III nitride heterostructures and quantum wells. From 1998 to 1999, he was an Alexander von Humboldt Fellow with Cornell University, Ithaca, NY, USA. In 2002, he was a Professor of nanotechnology and the Head of the Institute for Solid State Electronics, Technical University of Ilmenau, Ilmenau, Germany. In 2004, he was elected as the Head of the New Center of Micro and Nanotechnologies. In 2007, he became the Head of the Fraunhofer Institute of Applied Solid State Physics, Freiburg, Germany, where he was a Professor of compound microsystems. Since 2017, he is the Chair of power electronics with the Institute for Sustainable System Engineering, University Freiburg, Freiburg.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

An Extended 4 × 4 Butler Matrix With Enhanced Beam Controllability and Widened Spatial Coverage Huy Nam Chu, Student Member, IEEE, and Tzyh-Ghuang Ma , Senior Member, IEEE Abstract— A new beam-switching array system, capable of providing four sets of switchable beams, is proposed and demonstrated. The core building block for fulfilling the design is the phase reconfigurable synthesized transmission line (PRSTL), whose electrical length is switched between two states as a 1-bit phase shifter. By cascading the PRSTLs to the outputs of a standard Butler matrix, the progressive phase shifts between adjacent antenna elements can be controlled in a variety of fashions. The new design aims to provide a low-complexity solution to expand the beam controllability as well as spatial coverage of a conventional beam-switching system. As a demonstration, an extended 4 × 4 Butler matrix, with 16 switchable beams, is realized using microstrip technology. The average transmission loss of the feed network is acceptable as 1.7 dB. In the measurement, the linear array shows an equivalent halfpower beamwidth of 118°, covered by 13 beams, with the peak gain varying from 7 to 10 dBi. The gain ripple within the equivalent beamwidth is less than 0.9 dB. The output 1-dB compression point ( P1 dB ) is measured as 29.5 dBm, and the dc power consumption is very low (microwatts) in all switching states. Index Terms— Beam forming, beam steering, Butler matrix, phase shifter, phased array, synthesized transmission line.

I. I NTRODUCTION

T

HE beam-forming technique, capable of providing unique patterns for beam switching or steering, has become the core technology in the rapidly developed smart antenna systems. The Blass [1]–[3], Nolen [4]–[6], and Butler matrices [7] are well-known analog solutions offering multibeams by alternatively selecting the input excitation. Among these, the Butler matrix, named after its inventor, has been widely adopted in beam-switching array systems because of its simplicity, low-loss nature, and easy realization [8]–[25]. A typical Butler matrix is an N × N network, with N = 2n and n = 1, 2, 3, 4, . . . . Due to a large number of quadrature couplers implemented in the network, the circuit complexity rises dramatically as the number of beams increases. The 4 × 4 Butler matrix is the most common structure with Manuscript received June 14, 2017; revised September 22, 2017; accepted October 18, 2017. This work was supported by the Ministry of Science and Technology, Taiwan, under Grant MOST 104-2628-E-011-007-MY3 and Grant 104-2221-E-011-026-MY3. (Corresponding author: Tzyh-Ghuang Ma.) The authors are with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772815

an acceptable spatial resolution. With the radiating elements spaced at a half-wavelength apart, its main beam is directed to ±48.6° and ±14.5° [8]–[13]. To further increase the number of beams, higher order of Butler matrices could be adopted (N = 8, 16, . . .). Nevertheless, the accumulated power loss and large number of crossovers in the realization make the design still challenging. Efforts to ease the design difficulties in an 8 × 8 Butler matrix, specifically the large number of crossovers, were discussed. For example, Butler matrices on multilayered printed circuit board [14], low-temperature co-fired ceramic [15], and CMOS technologies [16], [17] have been reported for complexity reduction. Rearrangement of the feed network was discussed in [18] and [19] as an alternative solution. Advanced designs with wideband operation [20] or even continuous beam steering [21]–[23] were reported, mostly at the expense of extra power loss and higher design complexity. An 8 × 8 Butler matrix with broadside beam, termed as the modified Butler matrix [24], was realized in stripline form in [25]. Inspired by the operation of the 8×8 Butler matrices, in this paper, a simple solution to expand the beam controllability of a common 4 × 4 Butler matrix is proposed and demonstrated. Since the beamwidth of a typical four-element array sets an untouchable limitation on spatial resolution, the new design, termed as the extended 4 × 4 Butler matrix, is not intended as a full replacement of the conventional 8 × 8 Butler matrix. Instead, it equips the 4 × 4 Butler matrix with extra beam control agility, together with a wide equivalent spatial coverage having high peak gain and low gain ripple, which is not feasible by its single element counterpart. The extended 4 × 4 Butler matrix is fulfilled by a cascade connection of a standard Butler matrix and extra phase reconfigurable synthesized transmission lines (PRSTLs) [26], [27]. The proposed design is capable of providing up to 16 beams, or four sets of beams, using a concise structure. The beam sets can be categorized into two groups, which correspond to the main beam directions of a standard 8 × 8 Butler matrix and those of a modified 8 × 8 one. Instead of utilizing the high-cost commercial phase shifters, varactor diodes are embedded into the PRSTLs to provide the required progressive phase shifts. By simply controlling the bias voltage of the varactors, the electrical length of the PRSTLs can be switched between two states with the impedance matching kept very good. The dc power consumption, meanwhile, is in the order of microwatt (μW). The concept of incorporating

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

varactor diodes into synthesized lines was first reported in [26] as an ON/ OFF RF switch. It was then used in [27] as a 1-bit phase shifter for switching a reconfigurable dual-mode coupler between branch-line and rat-race operational modes. In this paper, the PRSTLs are further cascaded, and applied, for the first time, as an alternative to phase shifters in the array feed network of a Butler matrix. As will be demonstrated, the proposed beam-switching system shows potentials of enhancing the beam controllability as well as spatial coverage. This paper is organized as follows. The design principle of the extended 4×4 Butler matrix is first discussed in Section II, followed by the synthesis details and experimental verification of the PRSTLs in Section III. The experimental results, including the S-parameters of the array feed network and the radiation characteristics of the four beam sets, are provided in Section IV to validate the design concept. Discussions on the application scopes, as well as power handling capability of the proposed design, are given at the end of this paper. II. D ESIGN P RINCIPLES Fig. 1 illustrates the schematic of the extended 4 × 4 Butler matrix. It consists of a standard 4 × 4 Butler matrix along with 2-bit phase shifters attached to the outputs of that matrix (i.e., ports 5 , 6 , 7 , and 8 ). Here, the ports 5, 6, 7, and 8 are the system outputs connecting to the antenna array, and the spacing between adjacent radiating elements is d = 0.5λ0 ; λ0 is the free-space wavelength. By controlling the phase shifters, the extended Butler matrix can provide four sets of main beams, each associated with four beam directions depending on the input port selection. The four beam sets are hereinafter referred to as Sets A, B, C, and D. The amount of phase shift sourced by the standard 4 × 4 Butler matrix and that by the extra phase shifters are summed up together to provide the required progressive phase shifts for achieving the 16 beams. The output beams are serially numbered as beam #1–beam #16 in Fig. 1. The required progressive phase shift to achieve the beam #k can be expressed by   N −k+1 π, k = 1, 2, . . . , 16. (1) δk = N Table I summarizes the phase and beam distribution of the extended 4 × 4 Butler matrix. The original progressive phase shifts of a standard 4 × 4 Butler matrix, when, respectively, excited from the four inputs, are shown in the first column as δ Pi (i = 1, . . . , 4); the extra ones sourced by the phase shifters are listed in the first row as δ S j ( j = A, . . . , D). Each cell in the table corresponds to a combination of an input selection from the Butler matrix (δ Pi ) and a specific state of the phase shifters (δ S j ). The upper subcell represents the overall progressive phase shift (δk = δ Pi + δ S j ) of a specified beam #k, while the lower one is the direction of the main beam (θk ). For example, in the operation of Set C, the extra progressive phase shift, provided by the phase shifters, is δSC = +22.5°; when the port 3 is selected as the input port, the progressive phase shift sourced by the standard Butler matrix is δ P3 = −135°. The overall progressive phase shift between adjacent elements is hence δk = −112.5°,

Fig. 1.

Schematic of the extended 4 × 4 Butler matrix. TABLE I P HASE AND B EAM D ISTRIBUTION OF THE E XTENDED 4 × 4 B UTLER M ATRIX

corresponding to beam #14 with the main beam directed to θ14 = +38.7°. Starting with Set A, with δSA = 0°, the overall progressive phase shifts (δk ) are simply ±45° or ±135°, corresponding to a main beam directing to ±14.5° or ±48.6°. In a similar way, the main beam is directed to broadside (0°), ±30°, or end-fire direction with δSB = +45° in Set B. The union of Sets A and B is coincident with the criterion as a modified 8 × 8 Butler matrix. With δSC = +22.5° (Set C) and δSD = −22.5° (Set D), the requirement as a standard 8 × 8 Butler matrix is satisfied. Accordingly, a total number

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHU AND MA: EXTENDED 4 × 4 BUTLER MATRIX

3

by (Z T 1 , −θ1 ) or (Z T 2 , −θ2 ), as shown in Fig. 2(b) and (c). Here, θ1 and θ2 are both positive values. By a simple manipulation, the characteristic impedance of the T-network, operated in state I, is derived as Z T 1 = ωc L|sin(−θ1 )| = ωc L sin θ1 .

(2)

The phase shift of the T-network is related to the lumped parameters by cos(−θ1 ) = cos θ1 = 1 −

1 . ωc2 LC1

(3)

Likewise, the electrical responses of the T-network in state II, achieved by switching its capacitance value from C1 to C2 , are characterized by Z T 2 = ωc L sin θ2 1 . cos θ2 = 1 − 2 ωc LC2 Fig. 2. PRSTL. (a) Schematic circuit and its transmission-line equivalence in (b) state I and (c) state II.

of 16 beams, as predicted by (1), are achieved with a much simpler configuration. The ideal pattern distribution with isotropic sources is plotted in Fig. 1 for easy reference. Instead of using commercially available digital phase shifters to provide the extra phase shift δ S j , here an alternative low-complexity solution, the PRSTL with varactor diodes, is applied [26], [27]. The reason for this replacement is to alleviate the power loss and to lower down the fabrication cost. As a rule of thumb, the cost of a common four-digit phase shifter (such as MAPS-010144-TR0500 from M/A-Com for fulfilling a phase shift of ±22.5°) is more than 40 times higher than that of a varactor. Moreover, as will be shown in the following section, the average loss of a commercial phase shifter is at least 1.5 dB worse than that of the PRSTLs (around 1 dB when two units are connected in cascade). Their power handling capability, on the other hand, is in the same order. III. D ESIGN OF P HASE R ECONFIGURABLE S YNTHESIZED L INES A. Synthesis Equations The schematic circuit of the PRSTL is shown in Fig. 2(a). It comprises a lumped T-network in-between a pair of common uniform transmission lines. The series capacitors in the lumped network are replaced by varactor diodes Cv for controlling the phase response, and the uniform line is characterized by (Z 0 , θx ). The synthesis equations have been introduced in [27]. For completeness, they are highlighted as follows. Fig. 2(b) and (c) illustrates the transmission-line equivalences of the PRSTL in the two states. The operating frequency is denoted as f c . The design goal is to make the electrical length of the PRSTL switchable between two states while keeping its characteristic impedance remains constant during reconfiguration. The key design equations are derived by equating the ABCD matrix of the lumped T-network to that of a uniform left-handed transmission line, characterized

(4) (5)

To keep perfect matching during switching, the characteristic impedance of the T-network should be unchanged in both states and must be equal to the system impedance Z 0 . This suggests Z T 1 = Z T 2 = Z 0.

(6)

Equations (2), (4), and (6) lead to sin θ1 = sin θ2 or θ2 = π − θ1 .

(7)

The total electrical lengths of the PRSTL in state I and II are, therefore, θSTL1 = 2θx − θ1

(8)

θSTL2 = 2θx − θ2 = 2θx + θ1 − π.

(9)

Equations (2)–(9) are a set of system equations that the PRSTL should be complied with. To synthesize the line, the two desired phase states (θSTL1 and θSTL2 ) should be specified in advance. By using (8) and (9), the electrical lengths of the T-network in both states (−θ1 and −θ2 ) and that of the uniform line θx are solved. The value of the inductor L is determined by either (2) or (4) with a given characteristic impedance of the uniform line Z 0 in (6). Finally, the values of the varactor diodes, C1 and C2 , are solved from (3) and (5), respectively. Clearly, each PRSTL serves as a 1-bit phase shifter, whose electrical length is reconfigured by controlling the capacitance value of varactors; the line impedance, meanwhile, remains unaltered. It is worth mentioning that the given design procedure is general, and can be applied to synthesize an arbitrary right-handed as well as left-handed T- or -network within a reasonable range of characteristic impedances Z 0 . These properties make the proposed PRSTL clearly different from previous tunable phase shifters using left-handed structures [28], [29] or composite right-/lefthanded transmission lines [30], [31], in which the characteristic impedance of the device is a function of the bias voltage of the varactor diode.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II E LECTRICAL R ESPONSES OF THE PRSTL S

Fig. 3.

Final layout and the fabricated sample of the PRSTL A. TABLE IV

D ESIGN PARAMETERS AND G EOMETRIC D IMENSIONS OF THE PRSTL S TABLE III P HASE R ESPONSES AND O PERATIONAL S TATES OF THE PSL INES

B. Design Requirements To fulfill the extra progressive phase shift in Table I (δ S j = 0°, +45°, +22.5°, −22.5°), three PRSTLs were developed, and their electrical properties are summarized in Table II. The PRSTL A controls its phase response between two states as a 45° delay line or a zero-phase shift line. The PRSTLs B/C are switched between zero phase shift (0°) and a phase advance of 22.5° or 67.5°, respectively. All lines are well matched to 50 in all states. With a cascade connection of two PRSTLs, a 2-bit phase shifter, namely a PSLine hereafter for simplicity, is fulfilled and attached to each of the outputs of the standard 4×4 Butler matrix. The desired progressive phase shift (δ S j ) can be realized accordingly. A detailed description is summarized in Table III. As an example, at the output port 6 of the standard Butler matrix, two sections of PRSTLs B are connected in cascade. With both lines operated in states I (denoted by BI + BI ), the overall phase shift ( S66 ) is 0°. Instead, if one of them is switched to state II, the output phase becomes +22.5° (phase advance), and so on. Following a similar scheme, the output phases at ports 5–8 of the extended Butler matrix can all be manipulated, therefore fulfilling the desired progressive phase shifts in Sets A, B, C, and D, as indicated in Table III.

C. Examples Following the synthesis equations, the PRSTLs, as well as PSLines, were fabricated on a 0.508-mm Rogers 4003C substrate (εr = 3.55, tan δ = 0.0027). The center frequency for demonstration is 2.4 GHz. Here, the designs of the PRSTL A (Table II) and PSLine 1 (Table III) are detailed. The responses of all other building blocks will be summarized in Tables II and III. 1) PRSTL A: The PRSTL A is equivalent to a uniform 50- line with an electrical length of +45° in state I, but identical to a section of zero-phase shift line (0°) in the other state. With θSTL1 = +45° and θSTL2 = 0°, the electrical lengths θ1 , θ2 , and θx are determined as 67.5°, 112.5°, and 56.25°, respectively. The shunt inductor, from (4), is L = 3.6 nH in a 50- system. The required capacitance values of the varactor diodes are C1 = 1.98 pF and C2 = 0.89 pF in the two states. The calculated values were converted into physical footprints in microstrip form and integrated together. The layout is shown in Fig. 3, and the design parameters are summarized in Table IV. A photograph of the fabricated sample is shown at the same time. The layout was first simulated by the highfrequency structure simulator (HFSS); the results were then imported into the advanced design system and co-simulated with design kits from the manufactures. In the realization,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHU AND MA: EXTENDED 4 × 4 BUTLER MATRIX

5

TABLE V P ERFORMANCE S UMMARY OF THE PRSTL S

Fig. 4. S-parameters of the PRSTL A. (a) State I. (b) State II. Insets: phase responses.

a low-loss varactor diode SMV-1405 from Skyworks was selected as the switching element. The parasitic resistance, from the datasheet, is 0.8 . The inductor L was fulfilled by a quasi-lumped line inductor. The bias network, having been taken into account throughout the simulation, consists of dc blocking capacitors (8.2 pF) and RF choke inductors (15 nH) from Murata Manufacturing. An enlargement of the bias network is also shown in Fig. 3. After integration and fine tuning, the line inductor L was slightly trimmed to account for its parasitic shunt capacitances. The performances of the PRSTL A are summarized in Fig. 4. The phase responses are shown as insets. The agreement between the simulation and measured results is good. In the measurement, the thru-reflect-line calibration was included to deembed the effects of connectors. The bias voltages in states I and II were VI = 2.2 V and VII = 13.8 V. Referring to Fig. 4, the PRSTL A is well matched in both states, and the transmission coefficient |S21 | is less than −0.8 dB from 2.3 to 2.5 GHz. At the center frequency, the power loss in states I and II is 0.5 and 0.6 dB, respectively. The measured phase delay at the corresponding frequency is 44.8° (45°) and −1.2° (0°). Both are very close to the desired values in the parentheses. The dc power consumption is less than 1 μW since the reverse current is on the order of nanoamperes (nA).

2) PRSTLs B, C, and the Power Handling: Using the similar design rule, two more PRSTLs were designed and experimentally tested. The design parameters and geometric dimensions are tabulated in Table IV. Table V provides a summary of the measured electrical responses of the three PRSTLs. In small-signal operation, all building blocks fulfill the design requirements. The PRSTLs were further tested for power handling. The measured results of the PRSTL A are summarized in Fig. 5 and tabulated in Table V. In addition to the output P1 dB , a new parameter termed as output P10 ° is defined as a measure of the performance of PRSTLs. Referring to Fig. 5, the state associated with a higher varactor capacitance, i.e., state I of PRSTL A, is more sensitive to the input power level. At an output power of 24.7 dBm, the phase response deviates from its targeted value by 10°; the insertion loss, meanwhile, is just increased by 0.3 dB. This point is referred to as the output P10 °. It is several decibels lower than the output P1 dB , which is a clear indicator showing that a new parameter for a fair assessment is required. According to Fig. 5, state II of the PRSTL A, with a lower varactor capacitance (or equivalently a wider depletion region of the diode), is less sensitive to the input power and can function well up to 30 dBm. The other two PRSTLs were also tested, and the data listed in Table V correspond to the worst case of each block. Obviously, the power handling capability of the PRSTLs is comparable to that of a typical commercial product, such as the 4-bit phase shifter discussed in Section II. 3) PSLines: In this design, the PRSTLs were cascaded as 2-bit phase shifters for controlling the output progressive phase shifts of the extended 4 × 4 Butler matrix. The application scope is quite different from that in [27], in which the PRSTLs were just used to compensate for the excess phase shift in the arms of the reconfigurable coupler. As shown in Table III, there are three kinds of combinations: the PSLine 1 by PRSTLs C and A, the PSLine 2 by two PRSTLs B, and the PSLine 3 by PRSTLs C and B. In the following, the responses of the PSLine 1 are illustrated in detail in Fig. 6. The remaining two are summarized in Table VI for easy reference. A photograph of the fabricated sample is shown as an inset of Fig. 6(a). The integration is straightforward, and no tuning

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 5. Measured transmission coefficient (S21 ) of the PRSTL A versus input power at 2.4 GHz. TABLE VI P ERFORMANCE S UMMARY OF THE PSL INES

Fig. 6. S-parameters of the PSLine 1. (a) Magnitude response. (b) Phase response. Inset: photograph of the fabricated sample.

process is required. In the measurement, the bias voltages of the PRSTLs A and C (VA and VC ) control the PSLine in three difference states: state 1 with a phase delay of 45°, state 2 with zero phase shift, and state 3 with a phase advance of 67.5°. As a 2-bit phase shifter, there is one more state with a phase advance of 22.5°. Nevertheless, this state is not useful in the current array feed network, and will not be presented here. Fig. 6(a) and (b) illustrates the simulated and measured S-parameters and phase responses of PSLine 1. Very good agreement between the simulation and measured results is observed. At the center frequency, the measured transmission coefficient (|S21 |) is around −1 dB in all states, while the reflection coefficient (|S11 |) is kept below −19 dB. The measured phase shifts are −46.9° (phase delay), −0.5° (zero phase shift), and +70.2° (phase advance) in states 1, 2, and 3, respectively. Their corresponding P10 ° are 23 dBm (state 1), 22.4 dBm (state 2), and 28.6 dBm (state 3). As will be shown in a later part (Section IV-B), when integrated into the array feed network, the actual power handling capability of the PSLines will be somehow better than their stand-alone cases. The reason will be disclosed along with experimental results shortly. Finally, it is worthy to mention that limiting the bias voltage within a confined range, say, from 0 to 5 V, is also

TABLE VII G EOMETRIC D IMENSIONS OF THE S TANDARD B UTLER M ATRIX

possible by choosing another varactor diode with a larger junction capacitance. For example, the SMV-2020 series from Skyworks is a possible candidate, at the expense of a higher transmission loss (∼1 dB for each PRSTL). Such confinement could ease the design of the dc-to-dc converter, and make the power supply be easily replaced by a universal serial bus module for control agility. IV. E XPERIMENTAL R ESULTS A. Layout and Circuit Responses The extended 4 × 4 Butler matrix was fabricated; a photograph of the realized sample is shown in Fig. 7. The cascade connection of the standard 4 × 4 Butler matrix and PSLines follows the guidelines summarized in Table III. The dimensions of the standard Butler matrix are listed in Table VII. The overall size is 137.6 × 140 mm2 . For easy connection to a linear array, some connecting lines between PRSTLs were meandered. The bias lines were simply connected to the edges

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHU AND MA: EXTENDED 4 × 4 BUTLER MATRIX

7

Fig. 9. Progressive phase shifts of the extended 4 × 4 Butler matrix when port i of beam set A was excited (i = 1, 2, 3, 4).

Fig. 7.

Prototype of the extended 4 × 4 Butler matrix.

turn excited. The selection of beam sets (A, B,…, D) was made by controlling the bias voltages of the PSLines while the port excitation (1, 2,…, 4) was chosen by an SP4T switch at the system input. The agreement between simulation and measured results is good. From 2.3 to 2.5 GHz, the measured reflection coefficients are better than −14 dB while the average transmission coefficient is −7.7 dB; the extra loss due to the feed network is therefore 1.7 dB. The amplitude imbalance is less than 1.2 dB. The isolation between ports, not shown for simplicity, is better than 18.2 dB. Fig. 9 further illustrates the progressive phase shifts between output ports when the beam Set A was selected as the operational mode. In the measurement, the maximum phase error is 9.3° at the center frequency. The slight discrepancy between simulation and measurement can be attributed to the accumulated phase errors of the quadrature couplers, crossovers, as well as PRSTLs. Similar responses can be obtained for all kinds of combination of the input ports and beam sets. The results, however, are not shown here to avoid redundancy. B. Radiation Characteristic

Fig. 8. (a) Transmission and (b) reflection coefficients of the extended 4 × 4 Butler matrix when port 1 of beam Set A (beam #11) and port 2 of beam Set B (beam #1) were excited in turn.

of the substrate. The dc power consumption was very low as several microwatts in all switching states. Fig. 8 illustrates the transmission and reflection coefficients of the extended Butler matrix when the port 1 of beam Set A (beam #11) and port 2 of beam Set B (beam #1) were in

The radiation characteristics were validated in a far-field anechoic chamber along with the NSI-2000 software from Nearfield Systems Inc. The extended Butler matrix was placed on a foam stand and mounted on a turntable. The radiating elements were vertically polarized quasi-Yagi antennas [32]. The simulated and measured radiation patterns of the linear antenna array, fed by the extended 4 × 4 Butler matrix and operated at 2.4 GHz in beam Sets A–D, are depicted in Fig. 10(a)–(d), respectively. The measured results are compared with the simulated ones by HFSS. Good agreement between the data is observed, and the error in the main beam direction is less than 1°. As expected, the main beam directions in beam Sets A and B are pointed to ±62.5° (beam #1), ±45° (beams #3, #15), ±30° (beams #5, #13), ±15° (beams #7, #11), and 0° (beam #9); they are similar to those of a modified 8 × 8 Butler matrix. Meanwhile, in the Sets C and D, the main beam is steered to ±55° (beams #2, #16), ±37° (beams #4, #14), ±22° (beams #6, #12), and ±7° (beams #8, #10). The beam directions of a standard 8 × 8 Butler matrix are therefore fully mimicked. From Fig. 10, the measured peak gain varies from 6.3 dBi (end-fire beam #1) to 10 dBi (broadside beam #9). The corresponding

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 11. Gain envelope of the 13 usable beams and the equivalent half-power beamwidth at 2.4 GHz.

Fig. 10. Radiation patterns of a linear four-element quasi-Yagi array fed by the extended 4 × 4 Butler matrix and operated at 2.4 GHz in beam (a) Set A, (b) Set B, (c) Set C, and (d) Set D.

3-dB beamwidths are 42.5° (beam #1) and 26° (beam #9), respectively. The gain drop is attributed to the element pattern of the quasi-Yagi antennas. Fig. 11 further combines 13 usable beams of the extended Butler matrix system at 2.4 GHz. The gain envelope is spatially highlighted with a red bold curve. The equivalent half-power beamwidth (θHPBWe ) is newly defined as a measure of the spatial coverage of the extended Butler matrix system as θHPBWe = |θ L − θ R |.

(10)

In (10), θ L and θ R are the two outermost angles at which the gain of beam #k is exactly 3 dB below the broadside gain (i.e., overall peak gain). Equation (10) is subject to the constraint that SLLk ≤ (G kmax − 6 dB).

(11)

Here, SLLk and G k max are, respectively, the sidelobe level and peak gain of beam #k. In other words, the three outermost beams (beams #1, #2, and #16) are removed from Fig. 11 due to the violation of (11), since the large progressive phase shift

degrades the radiation performance due to higher sidelobe levels [23]. From Fig. 11 and (10) and (11), the extended Butler matrix system has an equivalent half-power beamwidth of 118°, or a spatial coverage from −59° to 59°. The gain varies from 7 to 10 dBi accordingly. Also, the gain ripple (G) is defined as a measure of the flatness of the spatial gain response. G is the difference between the local peak value of two adjacent beams (#k −1, #k) and the intersection point of those corresponding beams (see Fig. 11). Benefiting from the new scheme, the ripple level is significantly reduced from a typical value of 4 dB in a standard Butler matrix to as low as 0.9 dB in the proposed design. This suggests a relatively constant high gain within a wide spatial range. The extended 4×4 Butler matrix can be used in two different ways. First, the proposed design is a low-complexity beamswitching solution, capable of providing four different beam sets each associated with four beam directions. The selection of beams is boosted from 4 to 16 without sacrificing the simplicity of the feed structure. For each beam set, the selected main beam is allocated at nulls of the others, just similar to that of a standard 4 × 4 Butler matrix. Or alternatively, the beam sets of the extended Butler matrix can be utilized as a whole. Benefitting from the small gain ripple, the proposed system can be equivalent to a high gain antenna whose peak gain reaches up to 10 dBi but the half-power beamwidth is as wide as 118°. The property of simultaneously high gain and wide spatial coverage is not feasible by a single antenna element. The frequency dependence of the radiation patterns was also investigated. Fig. 12 illustrates the selected results when port 4 of beam Set B (beam #5) and port 1 of beam Set D (beam #12) were excited in turn. The frequency is increased from 2.3 to 2.5 GHz with a 0.1-GHz step. Other than a slightly tilted main beam and somewhat higher sidelobe level, the performance remains the same. In fact, the operating bandwidth of the proposed system is limited by the narrowband operation of the conventional 4 × 4 Butler matrix using quadrature hybrids, rather than the bandwidth of the PSLines. This argument can be backed up by observing the frequency response of the array system with or without PSLines—the trends of the output progressive phase shifts are almost the same. The results are trivial and not shown here for simplicity.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHU AND MA: EXTENDED 4 × 4 BUTLER MATRIX

9

TABLE VIII P ERFORMANCE S UMMARY OF THE S TATE - OF - THE -A RT B UTLER M ATRIX

Fig. 12. Frequency dependence of the measured radiation patterns when port 4 of beam Set B (beam #5) and port 1 of beam Set D (beam #12) were excited in turn.

Table VIII compares the newly developed Butler matrix with the state-of-the-art 4 × 4 and 8 × 8 Butler matrices. Table VIII was summarized as fair as possible even if the functionalities of the proposed design are actually different from its traditional counterparts. Clearly from Table VIII, by embedding PRSTLs into a standard 4 × 4 Butler matrix, the spatial coverage, as well as agility in beam direction controllability, has been dramatically improved. The equivalent half-power beamwidth is the widest and reaches up to 118°. Note that the corresponding equivalent beamwidths in [8], [16], and [17] were estimated from the normalized patterns, where the effects of element patterns were not taken into account. This suggests that their actual spatial coverage is narrower than the data shown in Table VIII. In addition to the wide coverage, the new design features a very flat gain response within the equivalent beamwidth. The gain ripple is smaller than 0.9 dB, while that of a conventional one is typically around 4 dB. The average loss of the feed network, read as 1.7 dB, is also respectable when compared with that of the other designs. The advantages of the extended Butler matrix have been clearly highlighted. Finally, the extended Butler matrix system was tested for power handling. Since the passive part (i.e., the standard 4 × 4 Butler matrix) is insensitive to input power and given the fact that the available power source in our laboratory is limited to 30 dBm, only the PSLines were experimentally tested.

Fig. 13. Measured magnitude of the transmission coefficients of the PSLines and the progressive phase shifts between PSLines and input power at 2.4 GHz when beam Set B is selected.

Since the injected power at the system input is evenly divided into four parts at the output ports, the real power handling capability of the entire system, including the passive part as well as the PSLines, is presumably 6 dB higher than the results presented in the following discussion. Fig. 13 shows the magnitude of the transmission coefficients of the PSLines and the progressive phase shifts between PSLines and the input power. The data were recorded at 2.4 GHz. The port definition can be referred to in Table III. Here, the beam Set B was selected as the operational mode since it has the poorest power handling capability due to the presence of large capacitances in the PRSTLs. The input power is increased from 0 to 30 dBm. As shown in Fig. 13, the performance starts to degrade at an input power of 20 dBm. Similar to the stand-alone PRSTL in Section III-C, the insertion loss is increased, and the amount of phase shift becomes larger at the same time (see Fig. 5). Nevertheless, referring to the lower part of Fig. 13, as the increasing trends of phase responses of all PSLines are similar, the progressive phase shifts between adjacent outputs are still close to the theoretical value

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

(i.e., +45° in Set B), even if the input power has become larger than P10 °. Accordingly, the power handling of the PSLines embedded in the Butler matrix system is predominated by P1 dB , rather than P10 ° as their stand-alone counterparts. From Fig. 13, the output P1 dB is read as 23.5 dBm, at which the maximum error in progressive phase shift is less than 7.3°; the amplitude imbalance is less than 1 dB. Inferring from this experimental data, it is concluded that the output P1 dB of the entire extended Butler matrix can reach up to 29.5 dBm. It is equivalent to a maximum input power of 31.2 dBm by taking into account the 1.7-dB transmission loss of the feed network. V. C ONCLUSION A low-complexity beam-switching array with enhanced beam controllability and widened spatial coverage has been successfully demonstrated by utilizing a simple standard 4 × 4 Butler matrix along with PRSTLs. Each of the newly developed PRSTL functions identically to a 1-bit phase shifter with good impedance matching in both states. By integrating two PRSTLs into each of the outputs of a standard 4 × 4 Butler matrix, an extended version, capable of providing four unique beam sets and up to 16 main beams, has been developed, fabricated, and experimentally validated. The average transmission loss of the array feed network with PRSTLs embedded is comparable to that of existing solutions. The radiation characteristics reveal that the proposed design shows an equivalent half-power beamwidth covering twothirds of the hemisphere, with the peak gain varying from 7 to 10 dBi and gain ripple less than 0.9 dB. It fulfills the stringent requirements of wide equivalent beamwidth, high gain, and low gain ripple at the same time. Moreover, the output 1-dB compression point reaches to 29.5 dBm, and the dc power consumption is very low in all switching states. The PRSTL has been proved to be a strong alternative to commercial phase shifters with lower transmission loss, lower realization cost, but comparable power handling capability. Its further applications to array feed networks will be an interesting topic worthy of future study. R EFERENCES [1] J. Blass, “Multidirectional antenna—A new approach to stacked beams,” in Proc. IRE Nat. Conv. Rec., 1958, pp. 48–50. [2] S. Mosca, F. Bilotti, A. Toscano, and L. Vegni, “A novel design method for Blass matrix beam-forming networks,” IEEE Trans. Antennas Propag., vol. 50, no. 2, pp. 225–232, Feb. 2002. [3] P. Chen, W. Hong, Z. Kuai, and J. Xu, “A double layer substrate integrated waveguide blass matrix for beamforming applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 6, pp. 374–376, Jun. 2009. [4] J. Nolen, “Synthesis of multiple beam networks for arbitrary illuminations,” Ph.D. dissertation, The Johns Hopkins Univ., Baltimore, MD, USA, 1965. [5] N. J. G. Fonseca, “Printed S-band 4 × 4 Nolen matrix for multiple beam antenna applications,” IEEE Trans. Antennas Propag., vol. 57, no. 6, pp. 1673–1678, Jun. 2009. [6] T. Djerafi, N. J. G. Fonseca, and K. Wu, “Broadband substrate integrated waveguide 4 × 4 Nolen matrix based on coupler delay compensation,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 7, pp. 1740–1745, Jul. 2011. [7] J. Butler and R. Lowe, “Beam forming matrix simplifies design of electronically scanned antennas,” Electron. Des., vol. 9, pp. 170–173, Apr. 1961.

[8] C.-W. Wang, T.-G. Ma, and C.-F. Yang, “A new planar artificial transmission line and its applications to a miniaturized butler matrix,” IEEE Trans. Microw. Theory Techn., vol. 55, no. 12, pp. 2792–2801, Dec. 2007. [9] T.-H. Lin, S.-K. Hsu, and T.-L. Wu, “Bandwidth enhancement of 4 × 4 Butler matrix using broadband forward-wave directional coupler and phase difference compensation,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 12, pp. 4099–4109, Dec. 2013. [10] S. Karamzadeh, V. Rafii, M. Kartal, and B. S. Virdee, “Compact and broadband 4 × 4 SIW Butler matrix with phase and magnitude error reduction,” IEEE Antennas Wireless Propag. Lett., vol. 25, no. 12, pp. 772–774, Dec. 2015. [11] Y.-S. Lin and J.-H. Lee, “Miniature Butler matrix design using glassbased thin-film integrated passive device technology for 2.5-GHz applications,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 7, pp. 2594–2602, Jul. 2013. [12] M. Elkhouly, Y. Mao, C. Meliani, J. C. Scheytt, and F. Ellinger, “A G-band four-element butler matrix in 0.13 μm SiGe BiCMOS technology,” IEEE J. Solid-State Circuits, vol. 49, no. 9, pp. 1916–1926, Sep. 2014. [13] Q.-L. Yang, Y.-L. Ban, J.-W. Lian, Z.-F. Yu, and B. Wu, “SIW Butler matrix with modified hybrid coupler for slot antenna array,” IEEE Access, vol. 4, pp. 9561–9569, 2016. [14] K. Ding, F. He, X. Ying, and J. Guan, “A compact 8 × 8 Butler matrix based on double-layer structure,” in Proc. IEEE Int. Symp. Microw. Antenna Propag. EMC Techn., Oct. 2013, pp. 650–653. [15] G. Tudosie, R. Vahldieck, and A. Lu, “A novel modularized folded highly compact LTCC Butler matrix,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 691–694. [16] T.-Y. Chin, J.-C. Wu, S.-F. Chang, and C.-C. Chang, “A V-band 8 × 8 CMOS Butler matrix MMIC,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 12, pp. 3538–3546, Dec. 2010. [17] B. Cetinoneri, Y. A. Atesal, and G. M. Rebeiz, “An 8 × 8 Butler matrix in 0.13-μm CMOS for 5–6-GHz multibeam applications,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 295–301, Feb. 2011. [18] B. Palacin, K. Sharshavina, K. Nguyen, and N. Capet, “An 8 × 8 Butler matrix for generation of waves carrying orbital angular momentum (OAM),” in Proc. IEEE Eur. Conf. Antennas Propag., Apr. 2014, pp. 2814–2818. [19] K. Wincza, S. Gruszczynski, and K. Sachse, “Broadband planar fully integrated 8 × 8 Butler matrix using coupled-line directional couplers,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 10, pp. 2441–2446, Oct. 2011. [20] C.-H. Chen, X.-P. Zhang, and J. Xu, “Implementation of a low-loss wide-band flat-topped beam-forming network based on Butler martix,” in Proc. Asia–Pacific Microw. Conf., 20015, pp. 1–3. [21] C. C. Chang, R. H. Lee, and T. Y. Shih, “Design of a beam switching/steering Butler matrix for phased array system,” IEEE Trans. Antennas Propag., vol. 58, no. 2, pp. 367–374, Feb. 2010. [22] T.-Y. Chin, S.-F. Chang, J.-C. Wu, and C.-C. Chang, “A 25-GHz compact low-power phased-array receiver with continuous beam steering in CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 11, pp. 2273–2282, Nov. 2010. [23] O. Kobayashi, T. Ohira, and H. Ogawa, “A novel Butler matrix based beam forming network architecture for multiple antenna beam steering,” in Proc. Int. Symp. Antenna Propag. Jpn., 1996, pp. 161–164. [24] M. Koubeissi, C. Decroze, T. Monediere, and B. Jecko, “A new method to design a Butler matrix with broadside beam: Application to a multibeam antenna,” Microw. Opt. Techn. Lett., vol. 48, no. 1, pp. 35–40, Jan. 2006. [25] K. Wincza and S. Gruszczynski, “Broadband integrated 8 × 8 Butler matrix utilizing quadrature couplers and Schiffman phase shifters for multibeam antennas with broadside beam,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 8, pp. 2596–2604, Aug. 2016. [26] H. N. Chu and T. G. Ma, “Beamwidth switchable planar microstrip series-fed slot array using reconfigurable synthesized transmission lines,” IEEE Trans. Antennas Propag., vol. 65, no. 7, pp. 3766–3771, Jul. 2017. [27] H. N. Chu, H. C. Liao, G. Y. Li, and T. G. Ma, “Novel phase reconfigurable synthesized transmission line and its application to reconfigurable hybrid coupler,” presented at the 47th Eur. Microw. Conf., Nuremberg, Germany, 2017. [28] H. Kim, A. B. Kozyrev, A. Karbassi, and D. W. V. D. Weide, “Linear tunable phase shifter using a left-handed transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 366–368, May 2005.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. CHU AND MA: EXTENDED 4 × 4 BUTLER MATRIX

[29] C. Damm, M. Schussler, M. Oertel, and R. Jakoby, “Compact tunable periodically LC loaded microstrip line for phase shifting applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2003–2006. [30] D. Kuylenstierna, A. Vorobiev, P. Linner, and S. Gevorgian, “Composite right/left handed transmission line phase shifter using ferroelectric varactors,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 167–169, Apr. 2006. [31] P. Li et al., “A novel 360° continuously tunable phase shifter based on varactor-loaded CRLH transmission line at exact 2.4 GHz,” in Proc. IEEE Int. Conf. Electron. Inf. Commun. Technol., Aug. 2016, pp. 583–585. [32] J.-Y. Zou, C.-H. Wu, and T.-G. Ma, “Heterogeneous integrated beam-switching/retrodirective array using synthesized transmission lines,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 8, pp. 3128–3139, Aug. 2013.

Huy Nam Chu (S’15) was born in Vinh, Nghe An, Vietnam, in 1990. He received the B.S. degree in electrical and electronic engineering from the Hanoi University of Science and Technology, Hanoi, Vietnam, in 2013, and the M.S. degree in electronic and computer science engineering from the National Taiwan University of Science and Technology, Taipei, Taiwan, in 2015, where he is currently pursuing the Ph.D. degree at the Electrical Department. His current research interests include reconfigurable circuit components, phased-array antennas, and millimeter-wave antenna array.

11

Tzyh-Ghuang Ma (S’00–M’06–SM’11) was born in Taipei, Taiwan, in 1973. He received the B.S. and M.S. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, in 1995, 1997, and 2005, respectively. In 2005, he joined the faculty with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei, where he is currently a Full Professor and the Vice Chairman with the department. From 2015 to 2016, he was a Visiting Scholar with the University of Florida, Gainesville, FL, USA. His current research interests include innovative phased arrays, active antennas, millimeter-wave antenna arrays, and miniaturized microwave circuit designs. Dr. Ma was a recipient of the Best Paper Awards of the International Workshop on Antenna Technology (iWAT), Chiba, Japan, and Hong Kong, in 2008 and 2011, and the Dr. Wu Da-Yu Award, the most outstanding research award for young researchers from the National Science Council, Taiwan, in 2010; the Excellent Young Engineer Award and Outstanding Electrical Engineering Professor Award from the Chinese Institute of Electrical Engineering, in 2012 and 2017, respectively; the Title of Distinguished Professor from the National Taiwan University of Science and Technology in 2012; the Outstanding Associate Editor Award from IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS in 2017, and a certificate from the IEEE T RANSACTIONS ON A NTENNAS AND P ROPAGATION for his exceptional performance as an Article Reviewer from 2009 to 2010. He was the Advisor of the Honorable Mention Winner of the Student Paper Competition of the IEEE Antennas and Propagation Symposium in 2011. He was the Leading Author of an IEEE–Wiley book published in 2017. He is an Associate Editor of the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES and IEEE A NTENNAS AND W IRELESS P ROPAGATION L ETTERS .

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1399

A 2.33-GHz, −133-dBc/Hz, and Eight-Phase Oscillator With Dual Tanks and Adaptive Feedback Rong Jiang , Hossein Noori, Senior Member, IEEE, and Fa Foster Dai, Fellow, IEEE

Abstract— This paper presents a multiphase clock generation technique, which employs coupled oscillators and the capacitivecoupling mechanism to achieve both low-power and low-noise characteristics. An oscillator core equipped with both dual tanks and the adaptive feedback technique is proposed. To verify the concept, a four-core coupled oscillator is implemented, and an analytical model on its phase noise performance is presented using the generalized Adler’s equation. The proposed four-core coupled oscillator is fabricated in a 130-nm CMOS RF SOI process. It achieves measured phase noise of −133 dBc/Hz at 1-MHz offset from 2.33 GHz. The current consumption for each oscillator core is 10 mA from a 1−V supply voltage. The figure of merit (FoM) of the eight-phase oscillator is 184.3 dBc/Hz; resulting in a per-phase FoM of 193.3 dBc/Hz. Index Terms— Capacitive coupling, generation, oscillators, phase noise.

multiphase

clock Fig. 1. Conventional transistor-coupled oscillators. (a) Parallel coupling. (b) Series coupling.

I. I NTRODUCTION

E

MERGING technologies such as N-path filters, phased array antennas, interleaved data converters, and subharmonic mixers escalate the need for multiphase clock generation circuits. In addition, as the number of phases of the clock generator increases, certain side benefits are achieved; e.g., less harmonic distortion for the N-path filter. Therefore, an efficient and expandable solution to generate a multiphase clock is of utmost importance. However, most conventional multiphase clock generation mechanisms are not suitable candidates. For example, the delay-cell-based ring oscillator has poor phase noise, and is not qualified for gigahertz and millimeter-wave applications. Furthermore, the ploy-phasefilter-followed structure is narrowband, and cannot meet the requirements of today’s software-defined radio. In addition, the frequency-divider-based clock generator requires an oscillator with N times higher operating frequency, and while it could still be a valid candidate for lower gigahertz applications, it will increase the design complexity and power consumption

Manuscript received June 23, 2017; revised September 15, 2017; accepted October 16, 2017. Date of publication November 28, 2017; date of current version March 5, 2018. This work was supported by Peregrine Semiconductor Corporation, San Diego, CA, USA. (Corresponing author: Rong Jiang.) R. Jiang and H. Noori are with the Department of Electrical and Computer Engineering, Auburn University, Auburn, AL 36849 USA, and also with the Peregrine Semiconductor Corporation, San Diego, CA 92121 USA (e-mail: [email protected]). F. F. Dai is with the Department of Electrical and Computer Engineering, Auburn University, Auburn, AL 36849 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772847

as the number of phases and operating frequency increase. With the above in mind, the coupled-oscillator architecture is a potential candidate for multiphase clock generation, since it can achieve high performance, and is easy to expand to a higher number of phases by adding oscillator cells to the coupling path. According to [1], as the number of oscillator cores (N) increases in the coupling system, the overall oscillator phase noise will be improved by a factor of 10 log10 N. This indicates that additional clock phases and lower phase noise can be achieved simultaneously when using coupled oscillators. In recent years, several coupling mechanisms have been proposed for coupled oscillators. The most commonly used coupling topology utilizes active devices [2], as shown in Fig. 1. However, the structure with parallel coupling transistors [Fig. 1(a)] suffers from higher power consumption and excess noise due to these additional active devices. Although the structure with a series coupling transistors [Fig. 1(b)] has better phase noise performance, it requires extra voltage headroom, which translates to higher power consumption. With the above issues in mind, our proposed design uses a capacitive coupling technique [3] for multiphase clock generation, as shown in Fig. 2, which does not suffer from any of the issues mentioned earlier. The proposed multiphase clock generator consists of four oscillators and four coupling paths. Since the oscillators are of differential type, an eight-phase clock can be generated. The coupling among the first three oscillators (i.e., oscillators 1, 2, and 3) is in phase, while that between oscillators 1 and 4 is 180° out of phase

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1400

Fig. 2.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Concept of coupled oscillators using capacitive coupling.

in order to create a negative gain stage to meet the phase requirement of the multiphase system. In addition, to achieve the best performance on the unit cell level, the proposed architecture takes advantage of the recently published techniques to improve the phase noise as much as possible. The following is a brief review of those techniques. 1) Class-F VCO [4] uses a transformer with coupled resonating wings to improve impulse sensitivity function (ISF) by reshaping the output waveform, while Class-C VCO [5] reshapes the current waveform by using a tail capacitor. 2) Alternative ISF manipulation can be implemented by using the adaptive biasing feedback [6], which synchronizes the behavior of the bias transistor with the crosscoupled transistor. 3) The dual-tank technique [7], [8] reduces the operation time of the cross-coupled transistors in the triode region in order to avoid degradation of the Q of the tank. 4) The filtering technique [9], which creates high impedance at the source at ω0 to form a noise filter. Nevertheless, some techniques are not suitable for the coupled-oscillator application. For example, capacitive coupling will affect the transformer coupling and output wave reshaping for the Class-F structure. Or, the Class-C architecture requires that the transistor always be far from the deep triode region, which must be fulfilled by another technique such as a low-pass RC filter at the gate to reduce the swing of the drain. Although the filtering and dual-tank techniques both require an additional inductor, the former is less compatible with adaptive biasing feedback. As a result, we arrive at our proposed structure, which adopts only the adaptive biasing feedback and the dual-tank techniques from among all available techniques to form the unit oscillator cell. However, properly combining unit oscillator cells with capacitive coupling is a great challenge, since it can easily degrade the phase noise instead of improving it. To address this issue, a few modifications were made to each technique as follows. 1) The feedback point is moved from the drains of crosscoupled transistors to their gates. 2) The new capacitive coupling method, unlike the conventional two-capacitor-based structure, utilizes an additional resistor and capacitor in order to be compatible with the dual-tank structure. 3) As a result of incorporating other techniques in the dual-tank oscillator, its voltage and impedance

Fig. 3.

Proposed unit oscillator core circuit.

response change. Thus, the new characteristics and the design methodology of the dual-tank oscillator will be discussed. The proposed oscillator topology features the following to improve the phase noise. 1) Using the capacitive coupling mechanism to shift the injection current to a less sensitive point. 2) Using the intrinsic advantage of the N-core coupled system to reduce the phase noise by a factor of 10 log10 N (theoretically). 3) The dual-tank structure minimizes Q degradation caused by the cross-coupled transistors by separating the voltage swing control of their drains and gates and reducing their operation time in the triode region. 4) Using separate transistors for biasing to eliminate the second-order harmonic voltage that is generated if a single-transistor bias is used; therefore, minimizing the noise conversion from the bias transistors to the output. 5) The adaptive biasing feedback path reshapes the tail current and halves the tail transistor’s noise. 6) The adaptive biasing feedback path changes the behavior of the cross-coupled transistors, and makes them switch faster in the equilibrium region. This paper will progressively describe how the proposed unit oscillator core utilizes the capacitive coupling to generate multiple phases while exhibiting excellent phase noise performance. Section II will focus on the unit oscillator structure and provide a brief and intuitive review and explanation of major characteristics of key techniques. In Section III, the proposed structure combined with capacitive coupling will be presented and analyzed. The characteristics of the four coupled oscillator cores are also modeled by using generalized Adler’s equation [10]–[12]. The measurement results are presented in Section IV, and conclusions are drawn in Section V. II. C OUPLED -O SCILLATOR S TRUCTURE A. Proposed Unit Oscillator Core Fig. 3 illustrates the schematic of the unit oscillator core without the coupling path. L 1 and L 2 , which are differential

JIANG et al.: 2.33-GHz, −133-dBc/Hz, AND EIGHT-PHASE OSCILLATOR

inductors, together with C1 and C2 form the dual-tank structure; therefore, the voltage swing of the gate and the drain can be independently adjusted for phase noise optimization. Two nMOS transistors (i.e., M3 and M4 ) provide bias current, and facilitate the feedback implementation. This is in contrast to the conventional single-nMOS transistor current tail. C F and Rb provide the feedback path, which passes the ac voltage from the gate of M1 /M2 to the gate of M3 /M4 . Therefore, it further optimizes the phase noise by modifying the current of M4 and M3 , and improving the ISF of the oscillator. Vbias1 and Vbias provide dc bias for M3 /M4 and M1 /M2 , respectively. In addition, by adjusting these bias voltages, cross-coupled transistors would spend less time operating at the triode region, which improves their noise performance. Ct is the capacitor bank for frequency tuning.

1401

Fig. 4. Behavior of cross-coupled transistors over oscillator output cycles. (a) Drain voltage. (b) Drain current. (c) Noise envelope. (d) −gm seen from the tank.

B. Adaptive Biasing Feedback The feedback mechanism is implemented by replacing the conventional single-tail transistor with two transistors, and feeding back the signal from the gate of M1 /M2 to the gate of M3 /M4 . Unlike [6], the feedback is not drawn from the output, where the voltage swing is smaller due to the dualtank structure. For the sake of clarity, the behavior of the tail and the cross-coupled transistors will be discussed separately. First, let us discuss the tail transistor. In the conventional single-tail biasing scheme, the second-order harmonic is present at the common-mode node of the cross-coupled transistors; therefore, the 1/f noise of the tail transistor will be up-converted to the close-in frequency band of the output signal. However, in the differential-tail biasing scheme, the common-mode node is eliminated; therefore, the up-converted noise from the tail transistors is at low frequencies only, and the second-order harmonic contributes negligible fluctuation to the output phase. Furthermore, because of the feedback, the behavior of tail transistors M3 /M4 follows that of their respective branch transistors M1 /M2 ; i.e., one of the tail transistors will turn OFF during half of the oscillation cycle. Therefore, the up-conversion of the 1/f noise from tail transistors is largely suppressed. In other words, the noise modulation function (NMF) is almost reduced in half, which leads to a smaller ISFeff . For the cross-coupled transistors, three types of typical conditions are recognized from their behavior. As shown in Fig. 4, during one cycle of oscillation, transistor M1 will go through the fully ON to the equilibrium and ultimately to the fully OFF condition. When the transistor is fully OFF, minimum noise and no negative transconductance is generated. On the other hand, when the transistor is fully ON, the degeneration resistor, which is the output impedance of the tail transistor, can suppress the noise of the cross-coupled transistors. As shown in Fig. 5, in the differential-tail biasing case, only one of the tail transistors is ON; as such, the equivalent degeneration resistor exhibited by the output resistance of the tail transistor will be doubled and sufficient to attenuate the equivalent noise presented at the gate of the crosscoupled transistors. During the equilibrium condition, when both M1 and M2 are ON, the transistors transfer the maximum amount of noise to the output. Since the feedback will increase

Fig. 5. Illustration of the current flow when M1 is fully turned ON. (a) Singletail scheme. (b) Differential-tail biasing scheme. (c) Small-signal model of the differential-tail biasing scheme.

the current during this transition phase, it might appear that it would increase both the thermal and the flicker noise; however, this is not the case. That is because the cross-coupled average transconductance G avg , will be equal to 1/R P , and the extra gm generated by the feedback will force M1 /M2 to switch faster, and thus spend less time in the equilibrium condition. Therefore, because of the constant G avg , the increase in the peak gm due to the feedback will actually reduce the noise. In fact, the adaptive biasing feedback increases the bias current when it is needed in order to speed up the transition for suppression of the noise. C. Dual-Tank Technique The dual-tank technique is implemented by adding an additional tank (L 2 and C2 ) to the conventional single-LCtank oscillator. Thus, the voltage swing at the gate of the cross-coupled transistors is enhanced. This way, the voltage swing of the drain can be reduced while maintain a large voltage swing at the gate. In the conventional single-tank and cross-coupled oscillator structure, the voltage swing of the output (drain) follows that of the gate with 180° of phase difference. Thus, based on the MOSFET saturation condition (VGS − Vth < VDS ), in the conventional oscillator case, the cross-coupled transistors will operate in the triode region for a long period of time. During the operation in the triode region, the transistors not only contribute a large amount of noise, but also degrade the quality factor of the tank. That is because the transistors act like a tunable resistor with a much lower output resistance which is in parallel with the tank. One way to reduce the noise degradation caused by the small output impedance is to reduce the operation time in the triode region for M1 and M2 . Owing to the use of the dual-tank structure, the voltage swing at the gate of the

1402

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Oscillation frequency and G g/d versus L 2 for different C2 values.

cross-coupled transistors is enhanced, and can be larger than that of the drain. This way, the voltage swing of the drain can be reduced while keeping the voltage swing of the gate constant. In other words, the operation time in the triode region is reduced. In addition, according to [7], another way to interpret Leeson’s equation regarding the relationship between the output power and phase noise is to treat the phase noise as the result of the output signal-to-noise (SNR) ratio. In this case, without considering the biasing transistor, SNR is equal   2 2 2 W 2 /2L 2 V to the ratio of i d2 = μ2n Cox GS_dc − Vth Vgate over i n2 = 4KTγ gds +4KTG tank ,where i d and i n are root-mean square of drain current and total noise current, respectively, and Vgate and G tank are the voltage swing of the gate and the parallel tank conductance, respectively. Therefore, if the voltage swing at the gate is kept constant, the phase noise will not be degraded by the reduced drain voltage swing. The voltage swings of the drain and the gate are a function of the values of the passive components, which are given in [7], and reveal that two oscillation frequencies exist in the dual-tank structure. In order to make the oscillator work at the desired frequency and condition, the ratio of the voltage swing of the gate over that of the drain G g/d as defined in the following equation should be sufficiently large: Vgate j ω0 L 2 1 = (1) =  2 Vdrain j ω0 L 2 + 1/ ( j ω0 C1 ) 1 − ωω20 √ √ where ω2 = 2/ L 2 C2 , and ω0 is the desired oscillation frequency, which is equals to    2 (2) ω0 = v m ± m − 4n/v /2 G g/d =

where m = L 1 /C1 + L 1 /C2 + L 2 /C1 , n = L 1 L 2 , and v = C1 C2 . By tuning the values of L 2 and C2 , the desired oscillation frequency and voltage ratio can be obtained. As shown in Fig. 6, if L 2 increases, the oscillation frequency will decrease and G g/d will increase. On the other hand, if C2 decreases, both the oscillation frequency and G g/d will increase. III. P ROPOSED C APACITIVE C OUPLING A. Circuit Topology As mentioned earlier, the capacitive coupling mechanism is adopted in this paper. However, the manner in which the capacitive coupling is used in the proposed unit oscillator

Fig. 7. Schematics of two candidate implementations of capacitive coupling. (a) Coupling at node V f ±, j . (b) Coupling at node Vg±, j .

structure should be carefully analyzed in order to maximize the circuit performance. To properly combine the proposed unit oscillator core with the capacitive coupling mechanism, two key points should be kept in mind: first, the capacitive coupling shall have the least interaction with the dual-tank structure, and shall not affect the voltage swings on the gate and the drain of the cross-coupled transistors; second, the signal on the feedback path shall always be in phase with the output, and shall not be interrupted by the coupling path in order to keep the ISF to a minimum. As shown in Fig. 7, there exist two potential coupling nodes; i.e., V f ±, j and Vg±, j , where j refers to the index number of the oscillator core. In addition, the coupling at V f ±, j is through bottom transistors while it is through cross-coupled transistors for Vg±, j . Fig. 7(a) illustrates the coupling at node V f ±, j . In order to create a coupled signal that is comparable in strength to the signal from the feedback path, the coupled signal is obtained from the gate of the cross-coupled transistors of the adjacent core. However, this kind of coupling will directly interrupt the feedback, and result in phase noise degradation. Fig. 7(b) shows the coupling at node Vg±, j , where the coupled signal is obtained from the output of the adjacent oscillator core. However, coupling at node Vg±, j will also interrupt the phase at Vg±, j ; therefore, Vg±, j is no longer in phase with the output voltage; resulting in the degradation of the phase noise. Moreover, the coupling strength is a function of the ratio CPC /C2 , which will affect the G g/d of the dual tank. Therefore, it will create a new trade-off between the coupling strength and the ratio of the gate voltage over the drain voltage. In order to implement capacitive coupling without interrupting the feedback path, the proposed coupling circuit of Fig. 7(b) is further modified as shown in Fig. 8, where the two capacitors CCC and CPC form the coupling path, and R S passes the dc voltage from Vbias to M1 /M2 . Furthermore, CCC enables M1 /M2 to form cross-coupled transistors, which present the −G m impedance to the tank, and maintain the oscillation. In addition, CPC is the path for the coupling of the voltage signal from an adjacent oscillator. The coupled signal is then converted to current by the cross-coupled transistors, and injected in the tank. The coupling strength factor M is

JIANG et al.: 2.33-GHz, −133-dBc/Hz, AND EIGHT-PHASE OSCILLATOR

1403

which comes from its own tank through C2 , and Vout+, j +1 , which is coupled from the adjacent core through CPC . Therefore, the gate voltage is equal to Vgate+, j = (1/ (1 + M)) G g/d  Vout+, j +1 + (M/ (1 + M))G g/d  V out+, j

(3)

where M = CPC /C2 is the coupling strength factor, and G g/d  is the redefined ratio of the gate voltage over the drain voltage, and is equal to   ( L22 )/ 1 − ω02 L 2 CPC G g/d  = L . (4)   ( 22 )/ 1 − ω02 L 2 CPC − 2/(C2 ω02 )

Fig. 8.

Proposed unit oscillator core architecture with coupling paths.

defined as M = CPC /CCC , which also represents the ratio of the injected signal to the self-resonance signal. The proposed coupling structure fulfills our objective in two ways. First, CCC prevents direct coupling to the two sides of inductor L 2 ; thus, VC±, j remains in phase with the output. Second, the voltage swing of various nodes in the dual tank is affected by the total capacitance Ctot = CCC  CPC ; therefore, the coupling strength and G g/d are not interacting with each other if Ctot is a constant. By combining a few of the proposed unit oscillator cells and using the coupling mechanism, we arrive at the complete eight-phase oscillator circuit, as shown in Fig. 9. Often times, the coupling strength creates a trade-off between the phase noise and the phase accuracy [2]. In the proposed structure, this kind of tradeoff exists, as well. By increasing the coupling strength factor, M, the phase accuracy will improve; however, the peak of Vgate is shifted toward the zero-crossing point of the output signal, where it has the highest noise sensitivity; thus, the phase noise will be degraded. In order to identify the best trade-off between the phase noise and the phase accuracy, these parameters were plotted versus the coupling strength, as shown in Fig. 10. In order to generate phase error in the simulation, one percent capacitance mismatch was added to the LC tank. As M increases from 0.4 to 1.6, the variation of phase error becomes quite stable for M greater than 1, and the phase noise continues to increase almost linearly.

Since the feedback signal is also directly obtained from Vgate+, j , the voltage on the feedback path is obviously not in phase with Vout+, j . Therefore, the phase of the current injected to the bottom transistor will move to a point which is more sensitive to noise. In addition, based on (3) and (4), C2 and CPC , which are a function of the dual-tank system, determine the coupling strength M; thus, increasing the design complexity. This leads us to use the proposed structure in Fig. 11(b). As shown in Fig. 11(b), the gate voltage is separated from the feedback, and is still equal to (3); however, the coupling strength factor M is modified to CPC /CCC . The feedback signal is now obtained from VC, j , which is in phase with the output voltage Vout, j . Therefore, G g/d  in (3) is redefined as follows:     L2 2 2 / 1 − ω0 L 2 Ctot G g/d  =    (5)    L2 2L C 2 ω / 1 − ω − 2/ C 2 tot 2 0 0 2 where Ctot is equal to CPC  CCC . Therefore, C2 and Ctot are only a function of the dual tanks. In other words, if Ctot is a constant, M and G g/d  are not related, as show in Fig. 12, where, as M increases, the voltage ratio G g/d  of the proposed capacitive coupling structure is kept mostly constant, while that of the conventional capacitively coupled structure is decreasing. In order to visualize the relationship among G g/d  , oscillation frequency and Ctot the simulated oscillation frequency and G g/d  are plotted as a function of Ctot in Fig. 13, where it is clear that both G g/d  and the oscillation frequency of the tank decrease as Ctot increases.

B. Analysis of Passive Coupling Paths The capacitive coupling paths of the proposed multiphase oscillator are shown in Fig. 11, where only half of the differential structure is illustrated to simplify the analysis. Fig. 11(a) exhibits coupling paths of the oscillator in Fig. 7(b), while Fig. 11(b) illustrates those of the oscillator in Fig. 8. Both types of coupling implementations discussed in Section III-A are shown side-by-side in Fig. 11 in order to facilitate comparison and provide further details. As shown in Fig. 11(a), the gate voltage of the cross-coupled transistors Vgate+, j , consists of two components: Vout+, j ,

C. Phase Relationship As mentioned in [12], the generalized Adler’s equation is a strong tool for analyzing the coupling effects among LC oscillators. To begin the analysis, the equivalent model of the multiphase oscillator is illustrated in Fig. 14, where i TOT, j is the sum of self-resonance current I j and the coupled current Ic, j , and their ratio Ic, j /I j is equal to the coupling strength factor M. θ j is the phase of a given tank, and is expressed as θ j = ωosc t +  j . Therefore, the differential equations for phases and amplitudes based on the generalized

1404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 9.

Fig. 10. factor.

Schematic of the complete capacitively coupled multiphase oscillator.

Simulated phase error and phase noise versus coupling strength

Fig. 12. Voltage ratio G g/d  versus M with both the proposed and the conventional capacitive coupling structures.

Fig. 13.

Simulated oscillation frequency and G g/d  versus Ctot .

Fig. 11. (a) Equivalent circuit of coupling paths in Fig. 7(b). (b) Equivalent circuit of coupling paths in Fig. 8.

d A2 4R + A2 = (I2 + Ic,1 cos (θ1 −θ 2 )) dt π 4R d A3 + A3 = (I3 + Ic,2 cos (θ2 −θ 3 )) RC dt π 4R d A4 + A4 = (I4 + Ic,3 cos (θ3 −θ 4 )) RC dt π RC

Adler’s equation are as follows: Ic,4 sin (θ4 −θ 1 ) ω0 2Q I1 − Ic,4 cos (θ4 −θ 1 ) Ic,1 sin (θ1 −θ 2 ) ω0 = ω0 + 2Q I2 + Ic,1 cos (θ1 −θ 2 ) Ic,2 sin (θ2 −θ 3 ) ω0 = ω0 + 2Q I3 + Ic,2 cos (θ2 −θ 3 ) Ic,3 sin (θ3 −θ 4 ) ω0 = ω0 + 2Q I4 + Ic,3 cos (θ3 −θ 4 ) 4R d A1 + A1 = (I1 − Ic,4 cos (θ4 −θ 1 )) RC dt π dθ1 dt dθ2 dt dθ3 dt dθ4 dt

= ω0 −

(6) (7) (8) (9) (10)

(11) (12) (13)

where A j is the voltage amplitude of a given tank. In the ideal case, since there is no mismatch among oscillators, the tank currents are identical in each core and so are the injected currents. Assuming 1 is a reference phase, which is zero°, two sets of solutions with a stable condition can be obtained: 1) 1 = 0, 2 = π/4, 3 = π/2, and 4 = 3π/4 and 2) 1 = 0, 2 = −π/4,  = −π/2, and 4 = −3π/4. By inserting phases in (6)–(13), the oscillator frequencies and

JIANG et al.: 2.33-GHz, −133-dBc/Hz, AND EIGHT-PHASE OSCILLATOR

Fig. 14.

1405

Equivalent model of the proposed multiphase oscillator. Fig. 15.

amplitudes for both modes are obtained as follows: √ ω0 M 2/2 ωm1 = ω0 + √ (14) 2Q 1 + M 2/2 √ ω0 M 2/2 √ (15) ωm2 = ω0 − 2Q 1 + M 2/2 √ 4R Am1 = Am2 = I (1 − M 2/2) (16) π where ωm1 and ωm2 are the oscillation frequencies when 2 = −π/4 and 2 = π/4, respectively. Equation (16) reveals that both modes have the same amplitude; however, the oscillation frequency of one mode is higher than the resonance frequency of the LC tank, while that of the other mode is lower. Simulation shows that the proposed oscillator architecture tends to oscillate at the higher frequency. In contrast to the quadrature-coupled oscillator, as the number of cores increases to four in the proposed oscillator architecture, the oscillation frequencies of the cores approach ω0 , and the amplitude of the voltage swing increases. That is because the phase difference between the injected current and the self-resonance current is small, and requires the tank to rotate a smaller angle in order to convert the current to voltage. The phase error can be analyzed by introducing mismatch to the tank. Let us assume that the mismatch occurs in the first tank, and the phase difference among oscillator cores is π/4. By substituting ω0 with ω0 −ω in (6), and setting θ1 = ωosc t, θ2 = ωosc t − π/4 + ϕ 2 , θ3 = ωosc t − π/2 + ϕ 3 , and θ4 = ωosc t − 3π/4 + ϕ 4 in (6)–(13), it can be concluded that ϕ 2 = ϕ = ϕ 3 /2 = ϕ 4 /3. Therefore, the phase deviation due to the tank mismatch can be written as follows: M 2 Q (1 + M cos (π/4)) + 2Q (2 sin (π/4) + M) ω ϕ = 2 M(M + cos(π/4)) ω0 (17)

where ϕ is also the phase deviation between adjacent cores. In Fig. 15, ϕ versus M with one percent mismatch in the tank capacitor is plotted, where the phase deviation exhibits the same trend as in Fig. 10. D. Phase Noise The phase noise of the proposed multiphase oscillator can be analyzed by employing the generalized Adler’s equation.

Plot of phase deviation versus coupling strength factor.

In order to obtain a complete expression for the phase noise, the contribution from both the thermal noise and the transistors’ 1/f noise is taken into account. First, let us introduce the white noise to the tank by injecting noise current i n , whose noise power spectral density is 4K T /R and whose phase is θn = ωosc t + ωm t. Consequently, the phases of the oscillators become θ1 = ωosc t + θˆ1 , θ2 = ωosc t − π/4 + θˆ2 , θ3 = ωosc t − π/2 + θˆ3 , and θ4 = ωosc t − 3π/4 + θˆ4 , where θˆ1 , θˆ2 , θˆ3 , and θˆ4 are the phase fluctuation due to the injected noise. To analyze this noise, (6) is modified as follows: Ic,4 sin (θ4 −θ 1 ) + π4 i n sin (θn −θ 1 ) ω0 dθ1 = ω0 − . dt 2Q I1 − Ic,4 cos (θ4 −θ 1 ) + π4 i n cos (θn −θ 1 ) (18) By inserting the phases in (6)–(9), and with further simplification, we obtain ω0 M(cos (π/4) + M) ˆ d θˆ1 = (θ2 − θˆ1 ) dt 2Q (1 + M cos(π/4))2 i n /I ω0 π sin ωm t − 2Q 4 1 + M cos(π/4) ω0 π i n /I (M sin(π/4)) − cos ωm t 2Q 4 (1 + M cos(π/4))2 ω0 M(cos (π/4) + M) ˆ d θˆ2 = (θ3 − θˆ2 ) dt 2Q (1 + M cos(π/4))2 ω0 M(cos (π/4) + M) d θˆ3 (θˆ4 − θˆ3 ) = dt 2Q (1 + M cos(π/4))2 ω0 M(cos (π/4) + M) d θˆ4 = (θˆ1 − θˆ4 ). dt 2Q (1 + M cos(π/4))2

(19) (20) (21) (22)

If only the close-in phase noise is considered when ωm  M (cos (π/4) + M)/ (1 + M cos(π/4))2 , the phase variation is equal to i n /I 1 ω0 π θˆ1 ≈ θˆ2 ≈ θˆ3 ≈ θˆ4 ≈ − 8Q 4 1 + M cos(π/4) ωm  M sin(π/4) sin ωm t × cos ωm t − 1 + M cos(π/4)  ω0 π Ri n 1 M sin(π/4) ≈− sin ωm t . cos ωm t − 8Q 4 V ωm 1+ M cos(π/4) (23)

1406

Fig. 16.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Plot of the phase noise versus M.

Fig. 17. Plot of phase deviation versus phase shift for both the four-core and the two-core oscillators.

Therefore, the phase noise contributed by the white noise is equal to

1 T ˆ2 1 kT ω0 1 L (ωm ) = θ (t) dt = − 2 T 0 4V02 C Q ωm  2 M sin(π/4) 1 × 1+ . 1 + M cos(π/4) (1 + M cos(π/4))2 (24) By repeating the above analysis for both the regenerative and the coupled noise from the cross-coupled transistors, the ratio of the spectral densities is obtained as follows: 2  cos (π/4) + M LSW,C . =M (25) LSW,C 1 + M cos(π/4) By combining (22) and (23), the overall phase noise is equal to  ω0 2 kT R F (26) L (ωm ) = 2V 2 Qωm where the minimum noise factor is  2 M sin(π/4) Fmin = 1 + 1 + M cos(π/4)

  1 cos (π/4)+ M 2 +γ . 1+ M 1+ M cos(π/4) 1+ M cos(π/4) (27) The graph of the phase noise versus M in Fig. 16 also illustrates the same trend as the simulation results in Fig. 10. E. Analysis of Phase Shift We know that by adding a certain phase shift to the coupling path, both the phase noise and the phase accuracy can be further optimized. Since most publications have focused on twocore (quadrature) oscillators [12], [13], it is worth providing a brief analysis on the four-core structure, and comparing it with the quadrature oscillator. By repeating the above phase noise and phase accuracy analyses with inserted phase shift, (17) and (27) are rewritten as (28) and (29), shown at the bottom of the next page. From Figs. 17 and 18, the following two major differences are observed between the proposed four-core and the quadrature oscillators: 1) the optimization of the phase noise and the phase accuracy of the four-core oscillator is not as effective

Fig. 18. Plot of phase noise versus phase shift for both the four-core and the two-core oscillators.

as that of the quadrature oscillator and 2) the most optimum point is shifted from 90° to approximately 45°. As a matter of fact, these results are expected, because as the number of cores increases, the phase of the coupled signal becomes closer to the phase of the output signal. Therefore, it intrinsically moves the peak value of the coupled signal to the point which is less sensitive to noise. On the other hand, if the phase shift moves from 45° to 90° in a quadrature oscillator, there will be little improvement in performance. Since the additional phase shift would increase phase noise, we are not introducing any phase shift to the coupling paths, nor do we recommend this for the four-core oscillator design. F. Design Methodology Since the proposed structure is modified by adding extra components and even changing the behavior of the dual tank in order to make it compatible with each technique employed, it is worth discussing the justification behind the choice of some key components from the design perspective. As mentioned earlier, R S is added to the critical signal path to provide the dc voltage to the gate of the crosscoupled transistors; therefore, its value will directly affect the noise performance. As shown in Fig. 19, if R S is so small and comparable to the impedance of capacitor C1 (1 pF) at 2.4 GHz (i.e., 66 ), it will degrade the phase noise. Once Rs increases to 2.8 k , the phase noise becomes flat and starts degrading slightly due to the increased thermal noise from Rs. For the design of the dual-tank oscillator, we have analyzed three cases with different L 1 and L 2 combinations. As shown

JIANG et al.: 2.33-GHz, −133-dBc/Hz, AND EIGHT-PHASE OSCILLATOR

Fig. 19.

Simulated phase noise versus R S .

1407

Fig. 21. Simulated ISF and ISFeff of both conventional and proposed structures.

Fig. 22. Equivalent circuit for negative transconductance of the proposed oscillator architecture.

Fig. 20. Voltage and impedance response of the proposed dual-tank structure.

in Fig. 20, the voltage and impedance responses are plotted by injecting current to tank, where Z real is the real part of the impedance seen from the output node. In the first case, where L 1 is smaller than L 2 , the voltage ratio G g/d is smaller than the other two cases; therefore, the figure of merit (FoM) can still be improved. In the third case, where L 1 is larger than L 2 , although the simulation shows a better FoM than the second case, the voltage ratio G g/d is exaggerated and there are a few potential issues. For example, the tank capacitor C1 needs to be much larger in order to keep the same operating frequency, which will potentially affect the frequency tuning range. In addition, since the output impedance is higher, the current must be reduced in order to maintain the voltage swings of both the gate and the drain. This will force the bottom device to be smaller; thus, potentially affecting the startup condition. This will be discussed in the following section. It is also worth noting that other oscillation modes will be suppressed as L 1 increases and L 2 decreases.

G. Startup Condition As a result of the feedback path, the startup condition is relaxed in the proposed oscillator structure. In order to illustrate this effect, the equivalent circuit of the active part is shown in Fig. 22. Since the gate length of the bias transistors is larger than that of the cross-coupled transistors, only their output resistance ro is included in the small-signal model for simplicity. For a single-core oscillator, the negative impedance seen by the tank is equal to 2 1 + gm1ro (30) Rstartup = gm1 1 + gm2ro which must be smaller or equal to the tank loss R p . Unlike the conventional oscillator, where the negative impedance is fixed

M 2 Q (1 + M cos (π/4 − ϕ)) + 2Q (2 sin (π/4 − ϕ) + m) ω 2 M(M + cos (π/4 − ϕ)) ω0

2    cos (π/4 − ϕ) + M 2 M sin (π/4 − ϕ) 1 1+M = 1+ +γ 1 + M cos(π/4) 1 + M cos(π/4) 1 + M cos(π/4)

ϕ = Fmin

The overall function and performance of the proposed oscillator can be verified by looking at the ISF function. As shown in Fig. 21, compared to the conventional structure (Fig. 2), the ISF of the proposed structure is largely reduced, and the effective ISF, which is the product of ISF and NMF, is close to zero more than three quarters of the time in an operation cycle.

(28) (29)

1408

Fig. 23.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Rstartup versus the number of oscillator cores at 2.33 GHz.

Fig. 25. Measured phase noise at 2.33 GHz (6 mA of current for each core).

Fig. 26. Measured phase noise at 2.33 GHz (10 mA of current for each core). Fig. 24.

Die photograph of the proposed four-core oscillator.

and equal to −2/gm1 , in the proposed oscillator architecture, it is easier to achieve any negative resistance due to the factor (1 + gm1ro )/(1 + gm2ro ). In summary, if gm2 is larger than gm1 , which is the case in the proposed design, the startup condition will be relaxed. For the general case of multicore coupling, the signal from both the local core and the coupling core contributes to the startup. Suppose Vgate is the gate voltage in a single-core case. As a result, in the multicore case, the part of the gate voltage originating from the local core will be Vgate,local = Vgate (M/ (1 + M)), and that coming from the coupling core will be Vgate,in j = Vgate (1/ (1 + M)). As shown in Fig. 23, only the part of Vgate,in j that is V−gm and in phase with Vgate,local contributes to the negative impedance, and the rest contributes to the coupling. Thus, assuming all oscillator cores are operating at astable condition Vx is equal M 1 to Vgate 1+M + 1+M cos (π/N) and (30) can be rewritten as follows:  1 2 1+gm1ro M + cos (π/N) . (31) Rstartup = gm1 1+gm2ro 1+ M 1+ M As shown in Fig. 23, as the number of oscillator cores increases, the coupling system starts up more easily, because the coupled signal increases the portion of voltage that is in phase with the injected output. IV. M EASUREMENT The proposed four-core capacitively coupled oscillator is implemented in a 130-nm CMOS RF SOI process, and the die photograph is shown in Fig. 24. The oscillator occupies 1.8 × 0.95 mm2 of chip area (0.3 × 0.95 mm2 each core).

Fig. 27. Measured phase noise at 2.33 GHz (17 mA of current for each core).

Fig. 28. Measured phase noise versus frequency for three dc operating points.

Also, the solder bump is used for flip-chip-type mounting on the PCB. The phase noise is measured using an Agilent E4440 spectrum analyzer with phase noise option and without averaging. Figs. 25–27 illustrate the measured phase noise at 2.33 GHz under different dc operating conditions. When the currents

JIANG et al.: 2.33-GHz, −133-dBc/Hz, AND EIGHT-PHASE OSCILLATOR

1409

R EFERENCES

Fig. 29.

Measured output waveforms of the proposed four-core oscillator. TABLE I P ERFORMANCE S UMMARY AND C OMPARISON

for each core are 6 mA (0.7 V), 10 mA (1 V), and 17 mA (1.5 V), the values of phase noise are −128.32, −132.94, and 135.52 dBc/Hz, respectively at 1-MHz offset. Since two frequency-tuning bits are implemented in the design, the operating frequency can be set to 2.22, 2.26, 2.29, and 2.33 GHz. In addition, Fig. 28 illustrates the phase noise versus operating frequency for three different dc operating points. The output waveforms of the proposed four-core oscillator are measured by a Rohde and Schwarz RTO Digital Oscilloscope, which supports simultaneous measurement of four channels. Fig. 29 exhibits four measured phases, out of eight phases, of the four-core oscillator, where the other four phases are the differential counterparts and are not shown. The phase difference between adjacent outputs, from left to right, are 46.04°, 40.18°, and 47.7°, respectively. Table I summarizes the performance of the proposed four-core oscillator and compares it with recent multiphase clock generation publications.

[1] H.-C. Chang, X. Cao, U. K. Mishra, and R. A. York, “Phase noise in coupled oscillators: Theory and experiment,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 5, pp. 604–615, May 1997. [2] P. Andreani, A. Bonfanti, L. Romano, and C. Samori, “Analysis and design of a 1.8-GHz CMOS LC quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1737–1747, Dec. 2002. [3] F. Zhao and F. F. Dai, “A 0.6-V quadrature VCO with enhanced swing and optimized capacitive coupling for phase noise reduction,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 59, no. 8, pp. 1694–1705, Aug. 2012. [4] M. Babaie and R. B. Staszewski, “A class-F CMOS oscillator,” IEEE J. Solid-State Circuits, vol. 48, no. 12, pp. 3120–3133, Dec. 2013. [5] A. Mazzanti and P. Andreani, “Class-C harmonic CMOS VCOs, with a general result on phase noise,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2716–2729, Dec. 2008. [6] A. Mostajeran, M. S. Bakhtiar, and E. Afshari, “A 2.4 GHz VCO with FOM of 190 dBc/Hz at 10 kHz-to-2 MHz offset frequencies in 0.13 μm CMOS using an ISF manipulation technique,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2015, pp. 1–3. [7] Y. Wachi, T. Nagasaku, and H. Kondoh, “A 28 GHz low-phase-noise CMOS VCO using an amplitude-redistribution technique,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2008, pp. 482–630. [8] A. Nikpaik, A. Nabavi, A. H. M. Shirazi, S. Shekhar, and S. Mirabbasi, “A dual-tank LC VCO topology approaching towards the maximum thermodynamically-achievable oscillator FoM,” in Proc. IEEE CICC, Sep. 2015, pp. 1–4. [9] E. Hegazi, H. Sjoland, and A. A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 1921–1930, Dec. 2001. [10] R. Adler, “A study of locking phenomena in oscillators,” Proc. IRE, vol. 34, no. 6, pp. 351–357, Jun. 1946. [11] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [12] A. Mirzaei, M. E. Heidari, R. Bagheri, S. Chehrazi, and A. A. Abidi, “The quadrature LC oscillator: A complete portrait based on injection locking,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1916–1932, Sep. 2007. [13] F. Zhao and F. F. Dai, “A capacitive-coupling technique with phase noise and phase error reduction for multi-phase clock generation,” in Proc. IEEE Custom Integr. Circuits Conf., San Jose, CA, USA, Sep. 2014, pp. 1–4. [14] A. Mazzanti, E. Sacchi, P. Andreani, and F. Svelto, “Analysis and design of a double-quadrature CMOS VCO for subharmonic mixing at K a-band,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 355–363, Feb. 2008. [15] S. A.-R. Ahmadi-Mehr, M. Tohidian, and R. B. Staszewski, “Analysis and design of a multi-core oscillator for ultra-low phase noise,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 63, no. 4, pp. 529–539, Apr. 2016. [16] M. M. Bajestan, V. D. Rezaei, and K. Entesari, “A low phase-noise wide tuning-range quadrature oscillator using a transformer-based dualresonance ring,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 4, pp. 1142–1153, Apr. 2015. [17] R. Jiang, H. Noori, and F. F. Dai, “A 2.33 GHz, −133 dBc/Hz, 8-phase VCO RFIC in 130 nm CMOS RF SOI,” in Proc. Texas Symp. Wireless Microw. Circuits Syst. (WMCS), Waco, TX, USA, Mar. 2017, pp. 1–4.

V. C ONCLUSION This paper presented a novel oscillator architecture with both the dual-tank and the feedback techniques. Four oscillator cores are capacitively coupled for multiphase clock generation. The proposed coupling technique is analyzed with a theoretical model based on Adler’s equations. The proposed phase noise reduction method is verified by measurement, where the ultralow phase noise of −135.52 dBc/Hz at 1-MHz offset from 2.33 GHz is achieved.

Rong Jiang received the B.S. degree in communication engineering from the Chongqing University of Posts and Telecommunications, Chongqing, China, in 2012, and the M.S. degree in electrical engineering from Auburn University, Auburn, AL, USA, in 2013, where he is pursuing the Ph.D. degree in electrical engineering. In 2016, he joined the Peregrine Semiconductor Corporation, San Diego, CA, USA. His current research interests include integrated RF front end and VCOs.

1410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Hossein Noori (GSM’09–SM’10) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1995, and the M.S. degree in electrical engineering from Auburn University, Auburn, AL, USA, in 2011, where he is currently pursuing the Ph.D. degree in electrical engineering. From 1995 to 1997, he was a Telecommunications Researcher with the Office of Research and Standards of the Command of Telecommunications of the Law Enforcement Force of Iran. From 1997 to 2000, he was a Telecommunications Consultant with Tarhe Ertebatat Consultants, Tehran. From 2000 to 2004, he was a Lead RF Systems Engineer with Kaval Wireless Technologies, Toronto, ON, Canada, where he designed several high-profile in-building RF coverage enhancement systems such as that for the Toronto International Airport. From 2004 to 2009, he was a Technical Consulting Manager with inCode Wireless, San Diego, CA, USA. From 2011 to 2013, he was a Technical Consultant with AT and T Mobility, Atlanta, GA, USA. Since 2013, he has been a Staff RFIC Design Engineer with the Peregrine Semiconductor Corporation, San Diego. He has authored or co-authored eight peer-reviewed articles and has one issued patent and two pending patents. His current research interests include programmable and multiband integrated RF front end for mobile handsets as well N-path filters. Mr. Noori is a Professional Engineer (P.Eng.) and has been a member of the Ontario Society of Professional Engineers in Canada since 2002 and a member of IEEE-HKN, Tau Beta Pi, and a Life Member of Phi Kappa Phi collegiate honor societies.

Fa Foster Dai (M’92–SM’00–F’09) received the Ph.D. degree in electrical engineering from The Pennsylvania State University, State College, PA, USA, in 1998. From 1997 to 2000, he was a Technical Staff Member of very large scale integration with Hughes Network Systems, Germantown, MD, USA. From 2000 to 2001, he was a Technical Manager/Principal Engineer of RFIC with YAFO Networks, Hanover, MD, USA. From 2001 to 2002, he was a Senior RFIC Engineer with Cognio Inc., Gaithersburg, MD, USA. In 2002, he joined Auburn University, Auburn, AL, USA, where he is currently a Reynolds Family Endowed Professor of electrical and computer engineering. He has authored or co-authored six books and book chapters including Integrated Circuit Design for High-Speed Frequency Synthesis (Artech House 2006) and Low-Noise Low-Power Design for Phase-Locked Loops-Multi-Phase High-Performance Oscillators (Springer, 2014). His current research interests include analog and mixed-signal circuit designs, RFIC and MMIC designs, and high-performance frequency synthesis. Dr. Dai was a Guest Editor of the IEEE J OURNAL ON S OLID -S TATE C IRCUITS from 2012 to 2013 and the IEEE T RANSACTIONS ON I NDUSTRIAL E LECTRONICS in 2001, 2009, and 2010. He served on the Technical Program Committees (TPC) of the IEEE Symposium on VLSI Circuits from 2005 to 2008. He currently serves on the TPCs of the IEEE Custom Integrated Circuits Conference and the IEEE Radio Frequency Integrated Circuits Symposium. He serves on the Executive Committee of the IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM). He was the TPC Chair of 2016 BCTM and the General Chair of 2017 BCTM.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Theory and Experiment of Two-Section Two-Resistor Wilkinson Power Divider With Two Arbitrary Frequency Bands Xiaolong Wang , Member, IEEE, Zhewang Ma, Member, IEEE, and Masataka Ohira , Member, IEEE

Abstract— In this paper, a novel design theory of two-section two-resistor Wilkinson power divider (WPD) is introduced. By selecting two different physical lengths of two-section transmission lines (TLs), two arbitrary frequency band WPD can be achieved. Compared with the former work, the designable frequency ratio range u can be extremely extended from 1 < u < 3 to 1 < u < ∞, general design equations for characteristic impedances, physical lengths, absorption resistors, and frequency ratio ranges are newly derived and proved from evenand odd-mode analysis. Because of no capacitors or inductors, the proposed WPD can be used for high-frequency applications. Spurious band of S21 appears between two passbands under the condition of two different physical lengths; therefore, a -type dual-band transformer is newly introduced to replace single TL for spurious band suppression. We proved that -type structure could effectively suppress spurious band of S11 , maintain two arbitrary frequency passband of S21 , and provide an extra isolation band of S32 at center frequency. Finally, three proposed WPD examples with -type structure are selected with different frequency ratios, where frequency ratio u = 4 in Example A, u = 6 in Example B, and u = 20 in Example C are designed and fabricated in the experiment. Measured results show good agreement with the theoretical results.

TABLE I C OMPARISON A MONG THE P ROPOSED WPD AND O THERS

Index Terms— Two frequency bands, two-section transmission lines (TLs), Wilkinson power divider (WPD).

I. I NTRODUCTION

W

ILKINSON power divider (WPD) has been widely used in wireless communication systems because of planar structure, input and output port matching, and isolation characteristics [1]–[3]. Recently, multiband communication systems have become highlighted, many dual-band (DB) WPDs have been presented with open/short-circuited stubs [4]–[7], only resistors [8]–[12], or reactive elements [13]–[15]. Comparison among these DB WPDs is listed in Table I. DB WPD with open/short stubs are presented in [4]–[7], and only one resistor is need for output ports isolation, because the frequency ratio range of each type is narrow, Manuscript received May 12, 2017; revised September 4, 2017; accepted October 15, 2017. This work was supported by the Grant-in-Aid for Scientific Research from the Japan Society for the Promotion of Science under Grant KAKENHI 17K06373 and 16K18101. (Corresponding author: Xiaolong Wang.) The authors are with the Graduate School of Science and Engineering, Saitama University, Saitama 338-8570, Japan (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772848

different types of stubs are needed for various DB applications. Based on two-section transformer theory by Monzon [16], Srisathit [8], Sakagami et al. [9], Park [10], Park [11], and Wu et al. [12] report several DB WPDs which consist of two-section transmission lines (TLs) and resistors, however, the frequency ratio range is limited from 1 to 3. It should

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

be noticed that, although different physical lengths of TLs were discussed, and the S-parameters were given in [12], the design approach for a different physical length ratio l2 /l1 has not been mentioned. Based on the conclusion in [12], frequency ratio of DB WPD can be only designed from 1 to 3 under the condition of l1 = l2 . In other words, no general design theory and equations in [12] were given for a different physical length ratio l2 /l1 , and their frequency ratio ranges were also unclear. By inserting reactive isolation elements, selectable frequency ratio ranges can be achieved in DB WPD design [13]–[15], and frequency ratio ranges must be determined by two equally physical lengths. As frequency increased, chip capacitance and inductance are not constant values; normally, deviation of upper band will be larger than that in lower band; therefore, reactive elements are not suitable for high frequency applications. Selectable frequency ratio ranges without reactive elements are new design challenge for DB WPD. In all DB WPDs [4]–[15], physical lengths of TLs can be only designed equally. For unequal physical length of TLs, no design theory has been presented for WPD applications. In this paper, a novel design theory of WPD with two arbitrary frequency bands is introduced. Although two different physical lengths of TLs were mentioned, Wu et al. [12] have not given any comments about the relationship between a physical length ratio l2 /l1 and their frequency ratio ranges, the conclusion of frequency ratio range was only limited from 1 to 3. However, in this paper, we newly proved that two different physical lengths of TLs can select different frequency ratio ranges. It is worth mentioning that high frequency ratio ranges can also be easily achieved and a verification experiment with frequency ratio u = 20 is fabricated in Section V. In order to avoid discussing the complicated S-parameters in [12], the novel design theory is derived, summarized, and proved by analyzing physical length ratio l2 /l1 . For the proposed WPD, when two physical lengths are not equal, spurious band of S21 appears between two passbands, a  -type DB transformer is presented to replace single TL for spurious band suppression, where -type structure is a basic bandstop filter topology and introduced in [17]. We prove that: -type structure can effectively suppress spurious band of S21 , maintain two arbitrary frequency passband of S21 , and provide an extra isolation band of S32 at the center frequency. Finally, the effectiveness of the design equations derived in this paper is verified by design examples numerically and experimentally. II. D ESIGN E QUATIONS Fig. 1 shows the topology of the proposed WPD. Z 0 is terminal load. Z 1 and Z 2 are characteristic impedances. l1 and l2 are physical lengths of TLs. R1 and R2 are two absorption resistors. There are two different conditions for physical lengths: l1 < l2 and l1 > l2 . Under the condition of l1 < l2 , detail derivation processes are described in this paper. For the case of l1 > l2 , it is named the symmetric structure of the proposed WPD, because derivation processes are the same, only design equations are presented in the Appendix, briefly.

Fig. 1. paper.

Proposed WPD [12], where l1 and l2 can be unequal value in this

Fig. 2.

Even-mode equivalent circuit for the proposed WPD.

For DB operation, the elements of the scattering matrix must satisfy the following equations at two frequencies f 1 and f 2 , where f 1 < f 2 ; and frequency ratio u = f 2 / f 1 : S11 = S22 = S33 = S32 = 0 √ |S21 | = |S31 | = 1/ 2.

(1) (2)

From even-mode analysis, the relationship between characteristic impedances and their physical lengths are derived; then from odd-mode analysis, absorption resistors can be described by characteristic impedances and their physical lengths. Based on the DB transformer theory in [16] and realizable range of all design parameters above, frequency ratio ranges of proposed WPD are newly summarized and proved in this paper. Detail derivation process is as follows. A. Even-Mode Analysis The even-mode equivalent circuit of the proposed WPD is shown in Fig. 2, Z Iev and Z IIev are input impedances looking into the circuit, we have Z Iev = Z 1

2Z 0 + j · a Z 1 Z 1 + j · 2a Z 0

(3)

Z IIev = Z 2

Z Iev + j · b Z 2 Z 2 + j · b Z Iev

(4)

where a = tan βl1 and b = tan βl2 , β is the propagation constant. Then, (4) can be simplified as Z IIev =

2Z 0 Z 2 (Z 1 − ab Z 2) + j · Z 1 Z 2 (a Z 1 + b Z 2) . Z 1 (Z 2 − ab Z 1) + j · 2Z 0 (a Z 2 + b Z 1 )

(5)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: THEORY AND EXPERIMENT OF TWO-SECTION TWO-RESISTOR WPD

3

Then, normalized Rˆ 1 and Rˆ 2 are calculated as R1 2 Zˆ 1 Zˆ 2 = √ Rˆ 1 = Z0 V √ Zˆ 1 Zˆ 22 + ab Zˆ 23 + Zˆ 2 V R2 ˆ R2 = =2·    Z0 Zˆ 1 Zˆ 2 − 1 + Zˆ 2 b Zˆ 2 + 2

Fig. 3.

From Z IIev = Z 0 at f 1 and f 2 , the following equations can be derived by separating the real and imaginary parts: 2Z 02 (a Z 2 + b Z 1 ) = Z 1 Z 2 (a Z 1 + b Z 2 ).

(6) (7)

From (6) and (7), normalized Zˆ 1 and Zˆ 2 can be derived in the following equations: Z1 Zˆ 1 = Z0 1 = · a



(1 + a 2 ) ·

√ 1 + 8a 2 b2 − 1 + 4a 4 − a 2 (1 + 4b 2 ) 1 + 2a 2 − b 2 (8)

Z2 Zˆ 2 = Z0 √ 1 + 1 + 8a 2 b2 ˆ Z 1. = (9) 4ab In even-mode analysis, the relationship between characteristic impedances and their physical lengths are summarized as (8) and (9), respectively. B. Odd-Mode Analysis Fig. 3 shows the odd-mode equivalent circuit of the prood , and Z od are input impedances posed WPD. Z Iod , Z IIod , Z III tot looking into the circuit. Then, we have od = Z tot

R2 2 od + R2 Z III 2 od · Z III

(10)

where Z Iod = j a Z 1 Z IIod = od = Z III

R1 2 Z Iod + R21 Z od + j · b Z 2 Z 2 II . Z 2 + j · b Z IIod

Z Iod ·

(11) (12)

1 ab



(17)

C. Derivation of Frequency Ratio Range A small two-section transformer is discussed in this paper, therefore, 0 < β1l1 ≤ π/2, 0 < β1l2 ≤ π/2 and 0 < β1l1 + β1l2 ≤ π at f 1 , (12) and (13) in [16] can be rewritten as β1l1 + β2l1 = nπ

(18a)

β1l2 + β2l2 = mπ

(18b)

where n and m are arbitrary integers, propagation constants β1 and β2 are applied to frequencies f1 and f 2 , respectively. From (18a) and (18b), the following equation must be maintained for DB operation: nl2 = ml1 .

(13)

(14)

Z 2 (a Z 1 + b Z 2 )R1 R2 = 2Z 0 Z 2 (a Z 1 + b Z 2)R1 + 2a Z 0 Z 1 Z 2 R2 . (15)

(18c)

Two physical lengths l1 and l2 could be written as nπ mπ and l2 = . (19) l1 = β1 + β2 β1 + β2 Their general expressions at f1 and f 2 are summarized in the following equations: nπ nπ nπ (20a) = = β1 l 1 = β1 β 2 β1 + β2 1+u 1 + β1 mπ mπ mπ β1 l 2 = β1 (20b) = = β β1 + β2 1+u 1 + β21 nπ nπ nπ β2 l 1 = β2 = = (21a) β1 + β2 1 + u1 1 + ββ12 mπ mπ mπ β2 l 2 = β2 = = . (21b) β2 β1 + β2 1 + u1 1+ β1

Similarly, at center frequency f 0 , where f0 = ( f 1 + f 2 )/2, they can be rewritten as nπ nπ nπ (22a) = f = β0 l 1 = β0 f 1 2 β1 + β2 2 f0 + f0 mπ mπ mπ (22b) β0 l 2 = β0 = f = f 1 β1 + β2 2 + 2 f0

od = Z at f and f , the following equations From (10), Z tot 0 1 2 can be derived by separating the real and imaginary parts:

2ab Z 1 Z 22 R2 = 4ab Z 0 Z 1 Z 22 − Z 0 (Z 2 − ab Z 1)R1 R2

2

where V = Zˆ 12 + ((b 2 − 1)/ab) Zˆ 1 Zˆ 2 − (1/a 2 ) Zˆ 22 . In odd-mode analysis, absorption resistors can be described by characteristic impedances and their physical lengths, and their equations are (16) and (17), respectively.

Odd-mode equivalent circuit for the proposed WPD.

Z 1 Z 2 = ab(2Z 22 − Z 12 )

a

(16)

f0

where β0 is applied to frequency f 0 . Finally, the following equation can be easily derived as follows: β1 l 1 + β2 l 1 β1 l 2 + β2 l 2 and β0l2 = . (23) β0 l 1 = 2 2 From definition of n ≤ m, 0 < β1l1 ≤ π/2 and 0 < β1l2 ≤ π/2, by using (20), the design range of β1l1 is determined by nπ . (24a) 0 < β1 l 1 ≤ 2m

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Similarly, put β1l1 = nπ − β2l1 into (24a), design range of β2l1 is nπ ≤ β2l1 < nπ. (24b) nπ − 2m Based on the results of (24a) and (24b), frequency ratio u is u ≥ 2m − 1.

(25)

When l1 > l2 in the Appendix, we have n > m, then n ≥ 2n − 1 can be also proved. Finally, the general equation of start frequency ratio u SR can be determined by u SR = 2 × [m, n]Max − 1.

(26)

Under the condition of Zˆ 1 = Zˆ 2 , the frequency ratio named cross frequency ratio u CR , we have the following equation, the even-mode equivalent circuit in Fig. 2 becomes conventional quarter-wave transformer:  Zˆ 1 = Zˆ 2 ,  (27) at u CR . β1l1 + β1l2 = π 2, By substituting (20) into (27), we have nπ mπ π + = . 1 + u CR 1 + u CR 2 u CR = 2(m + n) − 1.

(29)

In the frequency ratio range of u SR < u < u CR , the electrical lengths’ range is (π/4) < ((β1l1 + β1l2 )/2) < (π/2), then ab > 1 can be proved. At u = u CR and ((β1l1 + β1l2 )/2) = (π/4), ab = 1. On the contrary, when u > u CR , the electrical lengths’ range is 0 < ((β1l1 + β1l2 )/2) < (π/4), we have ab < 1. From (9), the relationship between Zˆ 1 and Zˆ 2 is

1 a 2 b2

+



4 1 a 4 b4

+

8 a 2 b2

.

(30)

It can be proved that: Zˆ 1 > Zˆ 2 in the range of u SR < u < u CR ; Zˆ 1 = Zˆ 2 at u = u C R ; Zˆ 1 < Zˆ 2 in the range of u C R < u. In the Appendix in [9], under the condition of equal power division and l1 = l2 , due to two absorption resistors Rˆ 1 and Rˆ 2 cannot be nonnegative values, 2 > Zˆ 1 > Zˆ 2 > 1 must be maintained, and their electrical range should be (π/4) < ((β1l1 + β1l2 )/2) < (π/2). By using the same analytic approach, the same conclusion can be proved in this paper. Main derivation processes for normalized Rˆ 1 are summarized as follows. Equation (16) can be simplified as 2 Zˆ 1 Rˆ 1 = √ W where

 W =

Zˆ 1 Zˆ 2

2 +

W = 2

Zˆ 1 b2 − 1 . + ab Zˆ 2

(33)

At u = u CR , due to Zˆ 1 / Zˆ 2 = 1 and ab = 1, then W → 0 and W  > 0. In the range ofu SR < u < u CR , Zˆ 1 / Zˆ 2 > 1 and b2 −1 > 0, then, W > 0 and W  > 0. At u = u SR , Zˆ 1 / Zˆ 2 > 1 and a → +∞, then W > 0 and W  > 0. Therefore, as u increased from u SR to u CR , W is a monotone decreasing function, and its minimum value W = 0 appears at u = u C R . Finally, Rˆ 1 ≥ 0 in the range of u SR ≤ u ≤ u CR , and the Rˆ 1 → ∞ at u = u CR . Similarly, by using the same derivation processes above, Rˆ 2 ≥ 0 in the range of u SR ≤ u ≤ u CR can be proved. In summary, when n and m are given, u SR and u CR can be calculated from (26) and (29), respectively; then, the designable frequency ratio range of the proposed WPD is derived in (34). By selecting a different physical length ratio l2 /l1 , arbitrary frequency ratio ranges can be easily achieved u SR ≤ u ≤ u CR .

(34)

III. D ESIGN C HARTS (28)

Finally, the general equation of u CR can be simplified as

Zˆ 1 = Zˆ 2

and its derivative is

b2 − 1 Zˆ 1 1 · − 2 ab a Zˆ 2

(31)

(32)

First, a brief design guideline for the proposed design theory is summarized as follows. 1) Determine the relationship of two physical lengths l2 /l1 . Normally, desired frequency ratio is a given parameter in design specification, designable frequency ratio range of the proposed WPD is summarized as u SR ≤ u ≤ u CR in (34), where u SR and u CR are only determined by l2 /l1 in (26) and (29), respectively. In order to make sure that the given frequency ratio must be in the designable frequency ratio range of the proposed WPD, l2 /l1 needs to be determined first. 2) Determine the normalized characteristic impedances Zˆ 1 and Zˆ 2 . When l2 /l1 is determined, Zˆ 1 and Zˆ 2 can be calculated from (8) and (9), respectively. 3) Determine the normalized absorption resistors Rˆ 1 and Rˆ 2 . They can be calculated from (16) and (17), respectively. Corresponding to design guideline above, several design charts of the proposed WPD are given in Fig. 4. Fig. 4(a) shows the basic case, n = m = 1, and it was discussed in [9] and [12] in detail. In Fig. 4(b), n = 1 and m = 2, from (26), u SR = 3; and from (29), u SR = 5, finally, the frequency ratio range is 3 ≤ u ≤ 5. Similarly, Fig. 4(c) shows the case of n = 1 and m = 3, its frequency ratio range is 5 ≤ u ≤ 7. When n = 1, frequency ratio range can also be selected, and Fig. 4(d) shows the case of n = 2 and m = 3, its range is 5 ≤ u ≤ 9. Finally, a design example with high frequency ratio range is given in Fig. 4(e), the designable range is 19 ≤ u ≤ 21 under the condition of n = 1 and m = 10. Therefore, by selecting different values of n and m, different frequency ratio ranges can be realized. Take n = 1 and m = 2, for example, we select three design examples: u = 3.5, u = 4, and u = 4.5, then their design parameters Zˆ 1 , Zˆ 2 , Rˆ 1 , and Rˆ 2 could be read

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: THEORY AND EXPERIMENT OF TWO-SECTION TWO-RESISTOR WPD

5

Fig. 5. Ideal frequency characteristics for the proposed WPD under the condition of n = 1 and m = 2. (a) S21 . (b) S11 . (c) S22 . (d) S32 .

Fig. 4. Design chart for Zˆ 1 , Zˆ 2 , Rˆ 1 , and Rˆ 2 for different m and n values. (a) n = m = 1. (b) n = 1 and m = 2. (c) n = 1 and m = 3. (d) n = 2 and m = 3. (e) n = 1 and m = 10.

from Fig. 4(b), and their circuit simulation results are summarized in Fig. 5. Although different frequency ratios can be achieved, unsatisfactory spurious bands of S21 appear between two passband in Fig. 5(a). In other words, not only

two designed passband signal but also the spurious band signal could be selected and delivered two output ports. Similarly, spurious bands are also appeared in the case of n = 1 and m = 3, n = 2, and m = 3, or n = 1 and m = 10. In order to suppress spurious band, -type transformer will be introduced in Section IV in detail. IV. -T YPE T RANSFORMER FOR S PURIOUS BAND S UPPRESSION A -type transformer with bandstop filtering performance was introduced in [17, Ch. 6.2]. Its topology consists of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 6. -type transformer replaces single TL for spurious band suppression. (a) -type structure replaces single TL for DB operation, where i and j are positive integers, and i < j. (b) Frequency characteristic of -type structure.

three elements in Fig. 6(a): two open stubs Z 2 with physical lengths l and one TL Z 1 with physical lengths i · l , where i is the positive integer. In filter design procedure, passband ripple and fractional bandwidth (FBW) are usually given to calculate characteristic impedances. For the proposed WPD, because unsatisfactory spurious band appears between f 1 and f 2 , -type structure newly replaces single TL Z T with physical lengths l T for spurious band suppression, where l T = j · l , j is also positive integer and i < j . Obviously, DB operation is also maintained. First, based on two topologies in Fig. 6(a), the following equation can be derived at f1 and f 2 : ⎤ ⎡ j Z T sin βl T cosβl T ⎦ ⎣ sin βl T j cos βl T Z ⎡T ⎤⎡ ⎤ 1 0 cos βil j Z 1 sin βil ⎦ ⎣ sin βil ⎦ = ⎣ tan βl j 1 j cos βil Z Z 1 ⎤ ⎡ 2 1 0 ⎦. (35) × ⎣ tan βl 1 j Z 2 Considering the realization of characteristic impedances: Z 1 > 0 and Z 2 > 0, the following equation can be derived after complicated arithmetical operation: Z 1 Zˆ 1 = = csc βil · sin β jl (36a) ZT Z 2 tan βl sin β jl Zˆ 2 = = (36b) ZT cos βil − cos β jl where β0l = π/2 and i < j must be maintained. Because of l < l T and β0l = π/2, -type structure could not only replace TL Z 2 under the condition of m > 1 but also replace TL Z 1 under the condition of n > 1. From (22),

Fig. 7. Bandstop performances for -type transformer. (a) Topologies for three examples (Example A: n = 1 and m = 2; Example B: n = 1 and m = 3; and Example C: n = 1 and m = 10). (b) Normalized characteristic impedances versus frequency ratio. (c) FSW and ripple versus frequency ratio.

we could summarize the relationship of these three physical lengths l =

l2 l1 = . n m

(37)

Frequency characteristic of -type structure is given in Fig. 6(b), f L and f H are frequency points in the bandstop response, then FBW =

fH − fL fL + fH . and f 0 = f0 2

(38)

Fractional stop bandwidth (FSW) is newly defined in this paper, it evaluates the spurious band suppression performance, where spurious band appears between f 1 and f 2 FSW =

fH − fL . f2 − f1

(39)

The transfer function of -type structure is used to calculate FBW and FSW, and its equation is |S21 ( f )|2 =

1 1 + ε2 FN2 ( f )

(40)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: THEORY AND EXPERIMENT OF TWO-SECTION TWO-RESISTOR WPD

7

TABLE II D ESIGN PARAMETERS OF E XAMPLE A, W HERE f 1 = 3 GHz AND f 2 = 12 GHz

Fig. 8. Photograph of two WPDs (Example A) under the conditions of f 1 = 3 GHz and f 2 = 12 GHz. (a) Proposed WPD. (b) Proposed WPD with -type structure for spurious band suppression.

where ε is the passband ripple and FN is the filtering function. Because there are two open stubs in -type structure, N = 2 in this paper. Corresponding to the design charts in Fig. 4, when n = 1 and m = 2 in Fig. 4(b), -type structure could replace TL Z 2 , because j = m = 2 and i < j , i = 1 must be maintained, then we have l = l1 = l2 /2 = l T /2. When n = 1 and m = 3 in Fig. 4(c), -type structure could replace TL Z 2 , because j = m = 3 and i = 1 for compact circuit size, then we have l = l1 = l2 /3 = l T /3. Similarly, when n = 2 and m = 3 in Fig. 4(d), -type structure could replace TL Z 1 or TL Z 2 or both of them, we have l = l1 /2 = l2 /3 = l T /3. It is worth mentioning that, as m increased, Z 1 becomes higher, meanwhile, Z 2 becomes lower. Because of the limitation of two characteristic impedances Z 1 and Z 2 , i = 1 cannot be fabricated under the condition of n = 1 and m = 10 in Fig. 4(e), TLZ 2 will be divided into two parts with equal physical lengths, then they are replaced by two -type structures with the same parameters. In other words, j = m/2 = 5 and i = 2 are selected for long physical length application, we have l = l1 = l2 /10 = l T /5. Based on the discussion above, three cases: Example A (n = 1 and m = 2), Example B (n = 1 and m = 3), and Example C (n = 1 and m = 10) in Section III are selected for evaluation, their topologies are shown in Fig. 7(a). The characteristic impedances of -type structure are determined from (36) and drawn in Fig. 7(b). Finally, FBW and ripple could be evaluated from (39) and (40) and drawn in Fig. 7(c). In Fig. 7(c), -type structure could suppress spurious band effectively, where FSW is from 88% to 95% in the range of 3 ≤ u ≤ 7; and FSW is around 98% in the range of 19 ≤ u ≤ 21, its dual-frequency performance could be maintained at the same time.

Fig. 9. Simulation and measured results of proposed WPD (Example A). (a) Frequency response of the circuit in Fig. 8(a). (b) Frequency response of the circuit in Fig. 8(b).

V. E XPERIMENTS Aimed at validating the design theory and approach in this paper, three frequency ratios are selected for fabrication in different frequency ratio ranges, where u = 4 in Example A (n = 1 and m = 2), u = 6 in Example B (n = 1 and m = 3), and u = 20 in Example C (n = 1 and m = 10). Based on the design theory, Example A and Example B are designed first. In order to suppress unsatisfactory spurious bands, these two Examples with -type structure are also fabricated for comparison. For high frequency ratio range,

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 10. Photograph of two WPDs (Example B) under the conditions of f 1 = 2 GHz and f 2 = 12 GHz. (a) Proposed WPD. (b) Proposed WPD with -type structure for spurious band suppression.

Example C with -type structure is also designed at u = 20 in this paper. Advanced design system is applied for simulation and experimental circuits are fabricated on NPC-F260A substrate (εr = 2.6, tanδ = 0.0015, a thickness of dielectric layer h = 1 mm, and conductor thickness t = 0.018 mm). A. Example A (n = 1 and m = 2) Design specification of Example A is: frequency ratio u = 4, f 1 = 3 GHz, and f2 = 12 GHz. From the design guideline in Section III, because u = 4 is given, n = 1 and m = 2 are selected from (26), (29), and (34), the designable frequency ratio range is determined from 3 to 5. Then characteristic impedances and their electrical lengths, absorption resistors can be calculated from (8), (9), (20) and (16), (17), respectively. About spurious band suppression, TL Z 2 can be replaced by -type structure in Section IV, characteristic impedances and their electrical lengths of -type structure can be calculated from (36) and (37), respectively. Detail parameters, such as physical size and resistors (thin-film RG1005 model, Susumu Company Ltd.,), are listed in Table II. Fabricated circuit of proposed WPD and experimental results are shown in Figs. 8(a) and 9(a), respectively. Spurious band of S21 is around 7.5 GHz in Fig. 9(a). Therefore, the proposed WPD with -type structure in Fig. 8(b) is fabricated for spurious band suppression, and its frequency response is shown in Fig. 9(b). It should be notice that -type structure could not only effectively suppress spurious band of S21 but also create an extra isolation band of S32 at the center frequency. From Fig. 9(a), circuit simulation results and measurement results are matched very well at f 1 . For f 2 , passband has been shifted to 12.35 GHz, S11 = −21.44 dB, and S21 = −3.87 dB.

Fig. 11. Simulated and measured results of proposed WPD (Example B). (a) Frequency response of the circuit in Fig. 10(a). (b) Frequency response of the circuit in Fig. 10(b). TABLE III D ESIGN PARAMETERS OF E XAMPLE B, W HERE f 1 = 2 GHz AND f 2 = 12 GHz

Because the difference between theoretical resistance and chip resistor value, and parasitic effect around two resistors, isolation has been shifted slightly. Similarly, simulation and measured results in Fig. 9(b) are matched very well at f 1 . For f 2 , S11 = −27.36 dB and S21 = −3.97 dB at 11.98 GHz. B. Example B (n = 1 and m = 3) Design specification of Example B is: frequency ratio u = 6, f 1 = 2 GHz, and f2 = 12 GHz.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. WANG et al.: THEORY AND EXPERIMENT OF TWO-SECTION TWO-RESISTOR WPD

Fig. 13.

9

Symmetry structure of the proposed WPD.

C. Example C (n = 1 and m = 10) Design specification of Example C is: frequency ratio u = 20, f 1 = 0.35 GHz, and f2 = 7 GHz. When n = 1 and m = 10 are selected, designable frequency ratio range can be located from 19 to 21. Detail parameters are listed in Table IV. Proposed WPD with -type structure and its experimental results are shown in Fig. 12(a) and (b), respectively. Simulation and measured results are matched very well at f 1 . For f 2 , S11 = −16.31 dB and S21 = −4.87 dB at 6.78 GHz. VI. C ONCLUSION

Fig. 12. Experimental results of Example C. (a) Photograph of the proposed WPD with -type structure (Example C) under the conditions of f 1 = 0.35 GHz and f 2 = 7 GHz. (b) Simulation and measured results of Example C. TABLE IV D ESIGN PARAMETERS OF E XAMPLE C, W HERE f 1 = 0.35 GHz AND f 2 = 7 GHz

Follow the design guideline in Section III, n = 1 and m = 3 are selected; designable frequency ratio range can be located from 5 to 7. Detail parameters are listed in Table III. Fabricated circuit of the proposed WPD and its experimental results are shown in Figs. 10(a) and 11(a), respectively. Proposed WPD with -type structure and its experimental results are shown in Figs. 10(b) and 11(b), respectively. From Fig. 11(a), simulation and measured results are matched very well at f 1 . For f 2 , S11 = −21.29 dB and S21 = −4.40 dB at 11.87 GHz. In Fig. 11(b), S11 = −17.63 dB and S21 = −4.25 dB at 12.18 GHz.

In this paper, a novel design theory of WPD with two arbitrary frequency bands was introduced. Design equations for characteristic impedances and their physical lengths, absorption resistors were derived from even- and odd-mode analysis. By strict derivation and proof, different frequency ratio ranges were only determined by two physical lengths of twosection TLs. Compared with the former works, a novel design theory of physical length ratio l2 /l1 is newly summarized and proved for DB WPD application in this paper. Therefore, the designable frequency ratio ranges of the same topology can be extremely extended from 1 < u < 3 to 1 < u < ∞. Because of no capacitors or inductors in the circuit structure, proposed WPD can be used for high frequency applications. Spurious band of S21 appeared between two passbands under the condition of different physical lengths of two TLs. By replacing single TL with -type transformer, spurious band of S21 was effectively suppressed; two passband could be maintained at the same time. Furthermore, an extra isolation band of S32 can be also created around center frequency. Finally, three design examples: Examples A, B, and C were fabricated and measured, and their frequency ratios are u = 4, u = 6, and u = 20, respectively. Measured results showed good agreement with the theoretical results, and -type structure could suppress spurious bands effectively. A PPENDIX In this paper, the proposed WPD has been presented under the condition of n ≤ m in detail. Whenl1 > l2 , we have n > m, it is named symmetric structure of the proposed WPD in this paper, and it is shown in Fig. 13, where l1 = l2 and l2 = l1 . Using the same derivation processes above, all design parameters can be calculated. Detail mathematical proofs are

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

omitted in the Appendix, only design equations for normalized Zˆ  1 , Zˆ  2 , Rˆ 1 , and Rˆ 2 are presented as follows: Zˆ 1 Z 1 Z 2 2 Zˆ 1 = = Zˆ 2 = 2 = Z0 Z0 Zˆ 2 Zˆ 1 Zˆ 2 Zˆ 2 R 2 Zˆ  Zˆ  Rˆ 1 = 1 = √1 2 Z0 V √     Zˆ 1 Zˆ 22 + ab Zˆ 23 + Zˆ 2 V  R2     =2·   Rˆ 2 =   Z0 Zˆ 1 Zˆ 22 − 1 + Zˆ 2 ab Zˆ 22 + a 1b Zˆ 1 =

(A1) (A2) (A3)

 where a  = tan(βl1 ), b = tan(βl2 ) and V  = Zˆ 12 +  ((b2 − 1)/a  b ) Zˆ 1 Zˆ 2 − (1/a  ) Zˆ 22 . The same conclusion for symmetric structure of the proposed WPD can be derived: Zˆ 1 ≥ Zˆ 2 , Rˆ 1 ≥ 0 and Rˆ 2 ≥ 0 in frequency ratio range u SR ≤ u ≤ u CR .

R EFERENCES [1] E. J. Wilkinson, “An N-way hybrid power divider,” IRE Trans. Microw. Theory Techn., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [2] R. B. Ekinge, “A new method of synthesizing matched broad-band TEMmode three-ports,” IEEE Trans. Microw. Theory Techn., vol. MTT-19, no. 1, pp. 81–88, Jan. 1971. [3] H. R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their application to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [4] K. K. M. Cheng and F. L. Wong, “A new Wilkinson power divider design for dual band application,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 664–666, Sep. 2007. [5] M.-J. Park and B. Lee, “A dual-band Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 85–87, Feb. 2008. [6] H. Zhang and H. Xin, “Designs of dual-band Wilkinson power dividers with flexible frequency ratios,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1223–1226. [7] K.-K. M. Cheng and C. Law, “A novel approach to the design and implementation of dual-band power divider,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 2, pp. 487–492, Feb. 2008. [8] S. Srisathit, M. Chongcheawchamnan, and A. Worapishet, “Design and realisation of dual-band 3 dB power divider based on two-section transmission-line topology,” Electron. Lett., vol. 39, no. 9, pp. 723–724, May 2003. [9] I. Sakagami, X. Wang, K. Takahashi, and S. Okamura, “Generalized twoway two-section dual-band Wilkinson power divider with two absorption resistors and its miniaturization,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 11, pp. 2833–2847, Nov. 2011. [10] M.-J. Park, “Two-section cascaded coupled line Wilkinson power divider for dual-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 188–190, Apr. 2009. [11] M. J. Park, “Dual-band Wilkinson divider with coupled output port extensions,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 9, pp. 2232–2237, Sep. 2009. [12] Y. Wu, Y. Liu, and Q. Xue, “An analytical approach for a novel coupledline dual-band Wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 2, pp. 286–294, Feb. 2011. [13] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 1, pp. 278–284, Jan. 2006. [14] T. Kawai, Y. Nakashima, Y. Kokubo, and I. Ohta, “Dual-band Wilkinson power dividers using a series RLC circuit,” IEICE Trans. Electron., vol. E91-C, no. 11, pp. 1793–1797, Nov. 2008. [15] X. Wang, I. Sakagami, K. Takahashi, and S. Okamura, “A generalized dual-band Wilkinson power divider with parallel L, C, and R components,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 4, pp. 952–964, Apr. 2012. [16] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Techn., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [17] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, 2nd ed. New York, NY, USA: Wiley, 2011.

Xiaolong Wang (S’10–M’12) received the B.S. degree in communication engineering from Jilin University, Changchun, China, in 2005, the M.S. degree from the Changchun University of Science and Technology, Changchun, in 2008, and the Ph.D. degree from the University of Toyama, Toyama, Japan, in 2012. From 2012 to 2013, he was a Post-Doctoral Research Associate with the Art, Science and Technology Center for Cooperative Research, Kyushu University, Fukuoka, Japan. From 2013 to 2015, he was a Researcher with the Plasma Research Center, University of Tsukuba, Tsukuba, Japan. In 2016, he joined the Department of Electronic Engineering, Saitama University, Saitama, Japan, as an Assistant Professor. His current research interests include microwave/millimeter-wave system design, passive component design, and optimization techniques. Dr. Wang is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was a recipient of the IEEE MTT-S Japan Chapter Young Engineer Award in 2013.

Zhewang Ma (S’91–M’96) received the B.Eng. and M.Eng. degrees from the University of Science and Technology of China, Hefei, China, in 1986 and 1989, respectively, and the Dr.Eng. degree from the University of Electro-Communications, Tokyo, Japan, in 1995. From 1985 to 1989, he was involved in research works on dielectric waveguides, resonators, and leaky-wave antennas. From 1990 to 1997, he focused on computational electromagnetics and analytical and numerical modeling of various microwave and millimeter wave transmission lines and circuits. He joined the Department of Electronic Engineering, University of Electro-Communications, as a Research Assistant, in 1996, where he was an Associate Professor in 1997. From 1998 to 2008, he was an Associate Professor with the Department of Electrical and Electronic Systems, Saitama University, Saitama, Japan, where he was a Professor in 2009. His current research interests include the development of microwave and millimeter-wave devices and circuits, measurements of dielectric materials, and high temperature superconductors. Dr. Ma he was a Research Fellow of the Japan Society for the Promotion of Science from 1994 to 1996. He was the recipient of the Japanese Government Graduate Scholarship from 1991 to 1993 and the URSI Young Scientist Award in 1993. He is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He is the Vice President of the Technical Group on Electronics Simulation Technology of the Electronics Society, IEICE. He has served on the Editorial Board for the IEEE T RANS ACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES , the Review Board for IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS , and the Review Board of IEICE Transactions on Electronics, Japan. He was a member of the Steering and/or Technical Committees of the Asia–Pacific Microwave Conference.

Masataka Ohira (S’03–M’06) received the B.E., M.E., and D.E. degrees from Doshisha University, Kyoto, Japan, in 2001, 2003, and 2006, respectively. From 2006 to 2010, he was with the ATR Wave Engineering Laboratories, Kyoto, Japan, where he was involved in the research and development of millimeter-wave antennas and small smart antennas. He was a Visiting Researcher with the ATR Wave Engineering Laboratories, from 2010 to 2011. In 2010, he joined Saitama University, Saitama, Japan, where he is currently an Associate Professor. His current research interests include the analysis and design of microwave/millimeter-wave filters and antennas. Dr. Ohira is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Electrical Engineers, Japan, and European Microwave Association. He was the recipient of the IEICE Young Engineer Award in 2005, the IEEE AP-S Japan Chapter Young Engineer Award in 2012, the IEEE MTT-S Japan Young Engineer Award, and the Michiyuki Uenohara Memorial Award in 2014.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A Novel Method for 3-D Millimeter-Wave Holographic Reconstruction Based on Frequency Interferometry Techniques Jingkun Gao , Yuliang Qin, Bin Deng, Hongqiang Wang, and Xiang Li

Abstract— A novel interferometry technique is proposed and introduced into active millimeter-wave (MMW) holography. Different from optical holographic interferometry, which relies on a reference light source or the interferometric synthetic aperture radar where data from apertures of different elevations are interfered, data are interfered between different frequencies in the proposed method. Higher ranging accuracy is achieved, since the residual phase information which is always neglected is utilized by the interferometry manner. The proposed method also avoids interpolations in the traditional MMW holographic imaging algorithms, by which the computational complexity can be reduced. First, theoretical derivation of the 3-D reconstruction method based on frequency interferometry is presented, and detailed algorithms considering noise resistance and phase unwrapping are designed. Then, numerical simulations are conducted to validate our methods. Different aspects of the proposed algorithms’ performance are analyzed and compared with previous methods. Finally, laboratory experiments are carried out. Both the simulation and experimental results validate the superiority of our method on reconstruction accuracy and computational efficiency. Index Terms— Frequency interferometry, millimeterwave (MMW) imaging, synthetic aperture imaging, 3-D reconstruction.

I. I NTRODUCTION

M

ILLIMETER-WAVE (MMW) holographic imaging is a kind of active MMW detection technology [1]. Compared with passive imaging methods [2], [3], holographic imaging is of high spatial resolution, good signal-to-noise ratio (SNR), and the ability of 3-D imaging. Compared with strong photons such as X-rays, MMW is nonionizing, and there is no apprehension on human health if appropriate transmitted power level is chosen. Also, MMW has reasonable penetration on clothes and packages. Therefore, active MMW holographic imaging has a wide range of applications in the fields of concealed weapon detection, industrial nondestructive evaluation, and so on [4], [5]. Manuscript received July 23, 2017; revised October 2, 2017; accepted October 29, 2017. This work was supported by the National Natural Science Foundation of China under Grant 61571011. (Corresponding author: Yuliang Qin.) The authors are with the Institute of Space Electronics and Information Technology, College of Electronic Science and Engineering, National University of Defense Technology, Changsha 410073, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772862

The MMW holographic imaging technology can be further divided into two categories. The first kind was proposed by Farhat and Guard [6] which inherited the optical holography method. In this method, a reference wave is used to extract the information of the target’s echo signal. The second one was proposed by Collins et al. [7] which used coherent detection techniques to record the magnitude and phase distribution of target echoes in space directly by an antenna array or equivalent antenna array. Images are then obtained from the complex data by calculations on computers. Since the coherent detection technology is already highly developed in MMW or lower terahertz frequencies [8], and the antenna array simplifies system setup, the second MMW holography approach has been developed more rapidly. The MMW holographic imaging in this paper all refers to the second one other than specifically clarified. Pacific Northwest National Laboratory is a typical representative in this area. They started their research since 1990s. After more than twenty years’ development, lots of in-depth studies on system architecture, array structure deign, imaging algorithms have been carried out and great achievements have been made. Collins et al. [7] designed the first realtime MMW holographic imaging system and 2-D images were obtained. Sheen et al. [1] extended the previous work to wideband case and 3-D images could be formed. Their work also involved the imaging characteristics under different polarization conditions [9]. In addition to the planar array system, they proposed a cylindrical scanning mode [10], [11] which drew on and developed the elevation-circular SAR [12] imaging method in the SAR field. As the vertical positioned linear array is mechanically moved along a circular trajectory, a 360° illumination with little shadow on the target is realized. R&S Corporation also carried out fruitful research in this field [13]. They developed an MMW planar screening system based on digital beam forming and sparse arrays in 2011 [14]. This imaging system did not have mechanical scanning devices, and theoretically it could achieve “snapshot” imaging of the target. However, as the transceivers arrangement was nonuniform, no fast algorithms could be easily used and the amount of calculation was huge [15]. The Chinese Academy of Sciences applied and extended the MMW holographic imaging algorithms to the lower terahertz band [16]. The phase shift migration (PSM) algorithm [17] stem from the seismology was introduced into MMW/terahertz holography. In addition, they also conducted detailed studies

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

on the problem of illustration of Gaussian beams and the depth of focus [18]. Beside the research groups mentioned above, many other investigations on system architecture [19]–[21] or imaging algorithms [22], [23] are also reported. Although the specific problems studied by various researchers are different, the basic principle of the MMW holographic imaging is the same: the range resolution is obtained by transmitting a broadband signal, and the horizontal and vertical resolutions are obtained by using the 2-D aperture synthetic techniques. Under the monostatic planar array configuration, the most widely used imaging algorithms includes range migration algorithm (RMA) and PSM. RMA is widely adopted in both seismic and radar imaging areas. It is realized in the frequency domain, and the spherical wave decomposition is the kernel procedure in RMA. After the echo waves are decomposed into plane wave components in the frequency domain, an interpolation and FFTs are successively used to focus the image. This algorithm is of high efficiency and is the most typical imaging algorithm in MMW holography. But for 3-D imaging cases, the interpolation in the frequency domain takes up a majority of the running time. PSM which stems from seismology is similar to RMA. In PSM, each range slice is obtained by its corresponding wavefront-correction filter which means a series of wavefrontcorrection filters is used. Consequently, PSM is a theoretically accurate imaging algorithm at the cost of higher computational complexity. Besides, in 3-D MMW holographic imaging, the typically cross-range resolution achieved by the synthetic aperture technique is several millimeters, while the range resolution is usually several centimeters even a relatively large bandwidth is adopted. For example, a Ka-band holographic system ranges from 32.5 to 37.5 GHz with an antenna beamwidth of 50°, its range resolution is 3 cm while its cross-range resolution is 4 mm. This raises the problem that the system’s cross-range resolution is significantly higher than the range resolution. Qiao et al. [24] had carried out some elementary research on this issue and helpful progress had been made. More information about this research will be given in the next section. In recent years, some new signal processing methods (e.g., compressive sensing [25]) have been used for MMW holography [26]–[28]. These methods usually transform the imaging problem into a constrained optimization problem which requires much more calculation. As a result, images of higher quality can be obtained using less echo data. It has been shown that these rising methods can play a great role in many remote sensing tasks, such as tomography SAR [29] and linear-array Synthetic-Aperture-Radar [30], [31]. But for now, the speed of these methods can still hardly meet the real-time requirement in some practical applications. In this paper, we are inspired by interferometric synthetic aperture radar (InSAR) [32]–[34] and propose a novel 3-D imaging algorithm based on frequency interferometric techniques in planar array geometry. Different from InSAR in which interferometry is conducted between apertures of difference heights, we carry out interferometry between different

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 1.

Schematic of rectilinear monostatic MMW holography.

frequencies. Compared with traditional imaging algorithms, the proposed method has the advantages of low computational complexity, free of interpolation, and high ranging accuracy. The problem that the system’s cross-range resolution is significantly lower than the range resolution can be alleviated. The remainder of this paper is organized as follows. Section II briefly reviews the previous research work and lists the key expressions of the traditional imaging algorithms where our method is built upon. Section III presents the theoretical foundation and designs the concrete procedures of our algorithm. Section IV gives detailed numerical simulation and performance analyses of the proposed method. Section V shows the experimental results. Finally, discussion and conclusion are given in Section VI. II. R ELATED W ORKS For the convenience of presenting the proposed new method, a brief review of several most related previous holographic imaging algorithms under planar array configuration is presented. The key expressions of RMA and PSM are given while the derivation is omitted which can be found in [1], [22], and [35]. The spherical wave decomposition method is one of the most important techniques in these algorithms. Since the most literature directly uses its conclusion, we think it is meaningful to give our own derivation in Appendix A. We also want to claim that RMA, PSM, and the proposed method in this paper are all discussed under monostatic cases. The geometric model of monostatic MMW holography is shown in Fig. 1. Based on wave equations, the echo signal neglecting propagation attenuation can be expressed as s(x, y, k)  = o(x  , y  , z  )     2  2 2 · exp −2 j k (x − x ) + (y − y ) + z d x  d y  dz  (1) where x, y represent the position of the transceivers in the z = 0 plane, k = 2π f /c is the spatial wavenumber, o(x  , y  , z  ) stands for the target function, and x  , y  , z  are the 3-D coordinates of the target. In the case of single-frequency illumination, k becomes a constant. Then, s(x, y, k) degenerates into s(x, y), and the corresponding single-frequency holographic imaging formula is o(x, ˆ y) = IFFT2-D [FFT2-D [s(x, y)] · exp( j k z z ref )]

(2)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

where k z = ((2k)2 − k x2 − k 2y )1/2 and k x , k y , k z are the projections of the spatial wave vector on the three coordinate axes, respectively, z ref is the reference distance which is usually selected as the distance from the center of the target to the transceiver plane. Since no broadband information is available, only the 2-D image o(x, ˆ y) is obtained which can be treated as the projection of the 3-D target function o(x  , y  , z  ) on the xy plane. In wideband cases, 3-D imaging is possible, and the wideband holographic imaging formula is o(x, ˆ y, z) = IFFT3-D [Interpkz [FFT2-D [s(x, y, k)] · exp( j k z z ref )]]. (3) Equation (3) is the expression of RMA. As can be seen, interpolation and FFTs take up the most calculations in RMA. Sun et al. [17] and Li et al. [35] introduce the PSM into MMW holographic imaging. This algorithm is very similar to RMA and can be expressed as  o(x, ˆ y, z) = FFT2-D [s(x, y, k)] · exp( j k z z)dk. (4) k

In (3), z ref is a constant, while in (4), this parameter becomes a variable z. Although PSM presented by (4) is the theoretically exact inversion of (1), its imaging efficiency is much lower than RMA. From the above brief review, it can be seen that the interpolation of k z in RMA and the procedure of imaging slice by slice in PSM are their main computational burdens. In the following sections, we will see that the proposed novel method lays these burdens down. Recently, a range resolution enhancement (RRE) algorithm was proposed in [24] whose theme and purpose were directly related to ours in this paper. In [24], the residual phase information is used to promote the ranging accuracy. The basic idea of RRE is as follows. First, the typical RMA is utilized to reconstruct the 3-D complex-value image. Then, the phase of the pixels is distilled and a fast 2-D phase unwrapping algorithm is adopted to retrieve unwrapped phase information. Finally, the range information is calculated according to the retrieved phase by a simple linear transformation. More details about the RRE algorithm are omitted here and can be found in [24]. In this paper, we also take advantage of the residual phase information to achieve 3-D reconstruction. However, the idea of utilizing phase information in our method is totally different from that in RRE. Moreover, we will see from the analyses and comparisons in the following sections that our new proposed method outperforms the RRE algorithm in several aspects. III. T HEORETICAL D ERIVATION AND A LGORITHMS A. Basic Theory and Method The expression of the point spread function (PSF) of singlefrequency holography is first derived. A more practical method considering noise and speckles will be proposed later in this section based on the basic method derived here. Suppose, an unit point scatter is located at (0, 0, z  ). According to (1), its echo signal can be written as  (5) s(x, y) = exp(−2 j k x 2 + y 2 + z 2 ).

3

Substitute (5) into (2), we obtain o(x, ˆ y) = IFFT2-D [FFT2-D [s(x, y)] · exp( j k z z ref )]  = exp( j k x x + j k y y − j k z (z  − z ref ))dk x dk y  = exp( j k x x + j k y y  − j 4k 2 − k x2 − k 2y (z  − z ref ))dk x dk y . (6) One can note that (6) is actually the 2-D inverse Fourier transform of exp (− j (4k 2 − k x2 − k 2y )1/2 (z  − z ref )), which needs to be approximated to obtain the analytical expression of the PSF. We notice that (4k 2 − k x2 − k 2y )1/2 is a spherical function of k x , k y with axisymmetric property. Therefore, we attempt to fit it with a quadratic polynomial ak x2 + ak 2y + b. By setting their derivatives of arbitrary order to be the same at (0, 0), parameters a, b are solved. Consequently, the PSF can be approximated by      1 2 1 2  k + k − 2k (z − z ref ) o(x, ˆ y) = exp j 2k x 2k y · exp( j k x x + j k y y)dk x dk y . (7) In fact, a quadratic function is used to substitute for the underlying spherical function in (7). Actually, this approximation named Fresnel approximation is widely adopted in SAR imaging. Different from typical 2-D cases in SAR, expression (7) shows the 3-D case which can be treated as a generalization of the Fresnel approximation in SAR. According to the establishment condition of Fresnel approximation, the following inequality should be satisfied: k

ρ4  2π 8(z  )3

(8)

where ρ = max (x 2 + y 2 )1/2 represents the radius of the target region in the z = z  plane. To be concrete, we will introduce a set of typical parameters of MMW holography to (8): f = 37.5 GHz and z  = 50 cm. Accordingly, (8) tells us that the radius ρ should satisfy ρ  30 cm. To make this restriction hold, we can deduce that the antenna beamwidth must be less than 7°. However, the antenna beamwidth for typical holography application can reach more than 45° . It can be seen that Fresnel approximation in (7) does not hold in typical scenarios. For this reason, an alternative calculation method for (6) where no approximations are introduced is given in Appendix B and more detailed analyses on (6) are also provided therein. We can conclude from Appendix B that although (7) only holds under certain conditions, the reconstruction method derived from (7) is also valid for more general cases. It is because the reconstruction method based on frequency interferometry techniques utilizes the residual phase information at the peak of the PSF, while the errors caused by the invalidation of Fresnel approximation mainly impact side lobes. Consequently, the following derived 3-D reconstruction methods expressed by (12) and (21) are effective for general cases. Here, to make the presentation of the proposed method concise, we suppose that (7) satisfies the constriction of (8). In this manner, an analytic expression

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

of PSF is obtained and it will be helpful for the following discussions. It is obvious that the dual integral in (7) can be separated into two independent integrals with respect to k x , k y o(x, ˆ y) ≈ exp(− j 2k(z  − z ref ))    k sin(θ/2) (z  − z ref ) 2 k x dk x exp j k x x + j · 2k −k sin(θ/2)    k sin(θ/2)  (z − z ref ) 2 · exp j k y y + j k y dk y (9) 2k −k sin(θ/2) where θ is the antenna beamwidth. A compact form of (9) is obtained by using the principle of stationary phase as follows: kπ · e j π/2 2(z  − z ref ) · exp(− j x 2k/2(z  − z ref )) exp(− j y 2k/2(z  − z ref ))   x ·rect 2(z  − z ref ) sin(θ/2)   y × rect (10) 2(z  − z ref ) sin(θ/2)

o(x, ˆ y) ≈ exp(− j 2k(z  − z ref )) ·

where

 1, −0.5 < x < 0.5 rect(x) = 0, other.

It should be clarified that the approximation from (4k 2 − k x2 − k 2y )1/2 to −k x2 /2k − k 2y /2k + 2k neglects the coupling between k x and k y . As a result, we obtain the PSF in (10) which is separable on x, y, and this is not the strictly exact expression. But some basic properties of PSF maintain and it is enough to support the following research. From (10), we can get the following information: First, the larger the z  − z ref is, the wider the cross-range scale of the ˆ 0) = PSF is. Second, set x = 0, y = 0, and we obtain  o(0, −2k(z  − z ref ) + π/2. As can be seen, the larger the k or the ˆ 0) is. From the perspective of z  − z ref is, the larger the  o(0, filtering theory, H = exp ( j k z z ref ) is regarded as a filter with parameter z ref . When z  = z ref , H becomes a matched filter. When z  = z ref , H is mismatched, and the larger the z  − z ref is, the more serious the mismatch is. This mismatch causes the width expansion of the PSF and the additional phase term exp (− j 2k(z  − z ref )). For conventional MMW holographic imaging algorithms, the residual phase information in the reconstructed image is usually omitted, and only the absolute value is reserved. On the contrary, the proposed method in this paper takes advantage of the residual phase information by a frequency interferometric manner, and the depth information can be accurately restored. ˆ y, k2 ) are the PSFs of two freSuppose o(x, ˆ y, k1 ) and o(x, quency points k1 and k2 given by (10), respectively. Employing the interferometry manipulation, we obtain ϕ(x, y, k1, k2 ) =  (o(x, ˆ y, k1 ) · oˆ ∗ (x, y, k2 ))

(11)

where ϕ(x, y, k1, k2 ) is the phase of the interferometry image. To reveal the primary property of ϕ(x, y, k1 , k2 ), we concentrate on the peak point of the main lobe, i.e., letting

x = 0, y = 0 ˆ 0, k1 ) · oˆ ∗ (0, 0, k2 )) ϕ(0, 0, k1 , k2 ) =  (o(0, = −2kz

(12)

where k = k1 − k2 and z = z  − z ref . For a given k, ϕ(0, 0, k) is linearly related to z by the coefficient −2k. Accordingly, the depth information z can be recovered by ϕ(x, y, k1, k2 ). It should be noted that the range of interferometry phase is within a 2π scope. Thus, (12) should satisfy the following constraint:  0 < 2kz < 2π → 0 < z < c 2 f (13) where  f is the time frequency corresponding to k. Equation (13) shows that the nonaliasing range of the recovered z is c/2 f , which is the same conclusion with that in radar range profile imaging. When the depth range of the target exceeds the nonaliasing range, the interferometry phase will be wrapped, and the imaging formula should be written as ˆz (x, y) = −unwrap(ϕ(x, y, k1, k2 ))/2k.

(14)

In (14), only the echo information at k1 and k2 is explored to reconstruct the target’s depth image ˆz (x, y), while the information within the rest bandwidth has not been used. Therefore, from the perspective of estimation theory, the statistic in (14) is not a sufficient statistic for z(x, y). To obtain a better estimator that is sufficient and to take full advantage of the whole bandwidth information, we will then build upon (14) and derive a more accurate estimation. Suppose the minimum spatial wavenumber of the probing signal is kmin , the wavenumber sampling interval is δk, the total sampling number is Nk . Then we can obtain Nk different PSFs o(x, ˆ y, kmin + m · δk) where m = 0, 1, . . . , Nk − 1. Implement frequency interferometry operation between each ˆ y, kmin ), and we arrive at o(x, ˆ y, kmin + m · δk) and o(x, Nk − 1 different interferometry phase patterns ϕ(x, y, m) =  (o(x, ˆ y, kmin + m · δk) · oˆ ∗ (x, y, kmin ))

(15)

where m = 1, . . . , Nk − 1. Similar to (12), let x = 0, y = 0, then we have ϕ(0, 0, m) = −2mδkz, m = 1, . . . , Nk − 1.

(16)

Equation (16) shows the signal model that relate the interferometry phase pattern ϕ which contains the full bandwidth information with z. Accordingly, we can get the sufficient statistic of z(x, y) under the least-squares framework  Nk −1 m · unwrap(ϕ(x, y, m)) . (17) ˆz (x, y) = − m=1  Nk −1 2 2δk · m=1 m At this point, we have completed the theoretical derivation of the frequency interferometry-based 3-D MWM holographic imaging. The main steps of the basic method are as follows. Step One: Use the traditional single-frequency holographic imaging method to obtain the target’s 2-D images at different frequencies. Step Two: Calculate the interferometry phase pattern by (15) utilizing all the bandwidth information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

5

images. Then interferometry is conducted between oˆ  (x, y, k1 ) and oˆ  (x, y, k2 ). The following is a formulaic description of the above procedure. According to the PSF expression of (10), the new image can be written as  k1 +k /2 oˆ  (x, y, k1) = o(x, ˆ y, k)dk. (18) k1 −k /2

To simplify the derivation process while highlighting the main property, we only focus on the expression at the peak of the main lobe, i.e., oˆ  (0, 0, k1 ). Substitute (10) into (18) and let x, y = 0 oˆ  (0, 0, k1 )  k1 +k /2 = exp(− j 2k(z  − z ref )) · k1 −k /2

Fig. 2.

Schematic representation of the modified imaging method.

Step Three: Unwrap the interferometry phase ϕ(x, y, m), and restore the target’s depth image by (17). The detailed phase unwrapping process in Step three will be discussed in Section III-C. As can be seen from the above imaging procedure, the final product of imaging is the relative depth ˆz (x, y). To get the absolute depth, a reference point with known absolute depth should be used. It is necessary to clarify that there are two implicit hypotheses in the above imaging method: First, for the same x, y coordinates, there is only one scatter in all the range bins. If two or more scatters are distributed along the range profile, the relationship between the interferometry phase and the relative depth will deviate from simple linearity. In other words, if more than one scatter exists along the range profile, they should be segmented first and the proposed method can be applied to each scatter separately. Second, the target has a relatively strong scattering intensity. Although the extraction of the depth information only uses residual phase information, but the side lobes and noise can bring strong phase turbulence to weak scatters. Therefore, only the phase of those relatively strong scatters contains the valid depth information. This section only provides fundamental theory and some elementary thoughts, and the above discussion does not take practical issues such as noise and speckles into consideration. For this reason, we call the above three-step imaging algorithm the basic method, and a modified one will be proposed in Section III-B. B. Modified Method In practical circumstance, noise and speckles always exist which degrade the interferometry pattern. To alleviate this problem, a modified method is proposed. Its basic idea is for the selected two frequency points k1 , k2 , instead of interfering the two images o(x, ˆ y, k1 ) and o(x, ˆ y, k2 ) directly, coherent summations in the frequency domain centered at k1 and k2 , respectively, with a k width are first carried out to form two new images oˆ  (x, y, k1 ) and oˆ  (x, y, k2 ). A schematic representation of this process is shown in Fig. 2, where the symbol “+” represents the coherent summation of multiple



kπ · e j π/2dk 2(z  − z ref )

k k1 π e j π/2 sin c(k (z  −z ref )) exp(−j 2k1(z  −z ref )). 2(z  −z ref ) (19)

In (19), as the condition k  k1 usually holds for MMW bands, the amplitude envelope term kπ/2(z  − z ref ) in the integrand is approximated by k1 π/2(z  − z ref ). Similar to (11) and (12), we can get the interferometry phase by ϕ  (x, y, k1 , k2 ) =  (oˆ  (x, y, k1 ) · oˆ ∗ (x, y, k2 )).

(20)

Substitute (19) into (20) and set x, y to zero ϕ  (0, 0, k1 , k2 ) =  (oˆ  (0, 0, k1 ) · oˆ ∗ (0, 0, k2 )) = −2kz.

(21)

Comparing (21) and (12), we can find that the modified method does not change the property of the basic method, i.e., the linearity relationship between ϕ  (0, 0, k1 , k2 ) and z. Similar to the basic method in the previous section, the sufficient statistic of z(x, y) which takes full advantage of the whole bandwidth information can be derived. The derivation is omitted since it is actually the same with that in the basic method. The main steps of the modified method are summarized as follows. Step one: Use the traditional single-frequency holographic imaging method to obtain the target’s 2-D images at different frequencies. Step two: Select appropriate k and calculate new images  oˆ  (x, y, kmin + m · δk) according to (18). Step three: Compute the interferometry phase pattern using the following expression:   ϕ  (x, y, m) =  (oˆ  (x, y, kmin +m · δk) · oˆ ∗ (x, y, kmin )). (22)

Step four: Unwrap the interferometry phase ϕ  (x, y, m), and restore the target’s depth information by  Nk −1 m · unwrap(ϕ  (x, y, m)) (23) ˆz (x, y) = − m=1  Nk −1 2 2δk · m=1 m  where kmin = kmin + k /2 and Nk = Nk − k /δk. Compared with the basic method, the extra step here is step two where an integration is conducted. In fact, it can

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

be seen from (18) and (4) that the integral of o(x, ˆ y, k) within (k1 − k /2, k1 + k /2) is actually the PSM imaging process of the z = z ref range slice in 3-D imaging. The main difference between (4) and (18) is that the integration bound of (4) is the whole bandwidth while that of (18) is k . As we all know, range resolution is inversely proportional to bandwidth, small bandwidth will result in a deterioration of the range resolution. Lower resolution leads to expanded range cells, and one expanded range cell will cover a wider scope of depth of the target. From the perspective of frequency interferometry, this is exactly what we expected. Because all the depth information is contained in the formed image is the precondition of depth restoration. Consequently, although the larger the k is, the higher the image SNR is, but k should be confined within an upper limit. According to (19), we define the upper limit as follows: k < π/Dz , Dz = max |z  | − min |z  |

(24)

where Dz denotes the scope of target depth. Under the constraint of (24), it is guaranteed that the range slice obtained by (18) contains target information of all depths. Besides, suppose the number of frequency points included in k is Nk , and we can derive a similar conclusion to SAR that the promoted SNR by the modified method is SNR = 10 log(Nk ).

(25)

We know that the higher the SNR, the more accurate the interferometry phase can represent its depth information. C. Phase Unwrapping and Sequential Implementation To address the phase unwrapping problem, we propose a method that uses a small and a large k by taking both their advantages. The basic idea of this method is: first, a small k is chosen to coarsely recover z without phase wrapping. Then larger k is chosen step by step, and the coarsely recovered z by the small k is used as prior information to assist the unwrapping procedure of the larger k. This is factually the phase unwrapping method we mentioned in the Step three of Section III-A and the Step four in Section III-B. To expatiate this method, we take the case that one small k S and one large k L are chosen as an example. k S is demanded to be small enough so that no phase wrapping occurs π > Dz (26) dS = k S where d S denotes the nonaliasing range corresponding to k S . Under this constraint, the depth can be directly recovered without unwrapping process z S (x, y) = −ϕ(x, y, k S )/2k S .

(27)

For the sake of simplicity, z S (x, y) will be abbreviated as z S . Similar to (26), we can get the nonaliasing range corresponding to k L by π . (28) dL = k L

If k L leads to the phase wrapping of ϕ(x, y, k L ), i.e., d L < Dz , then an unwrapping process is needed. We first use the wrapped ϕ(x, y, k L ) to recover the depth and an aliasing z L will be obtained. Second, z S is utilized to correct z L , and the finally recovered z L can be expressed as z L = z L +



(z S − z L ) · dL dL

(29)

where z L = −ϕ(x, y, k L )/2k L , [•] represents the integer operator. In the above example, only two levels of k is used. If multiple levels are necessary, all we need is to treat z L , k L as new z S , k S and select a new larger k L to repeat the above process. The above unwrapping algorithm is exactly the unwrap(·) operation in (17) and (23). One can note that, this unwrapping method is definitely different from the traditional 2-D phase unwrapping method in InSAR or RRE. The first difference is that the unwrapping operation shown in (29) is independent of pixel to pixel, while the traditional 2-D phase unwrapping relies on the mutual dependence between adjacent pixels. Second, the phase pattern without wrapping obtained by smaller k is needed to act as the input of (29) to execute the current unwrapping operation for the larger k. That is to say, when we increase k gradually, the phase unwrapping is advancing in a sequential manner. As aforementioned, (17) and (23) are actually expressions of least-square estimation, and they can also be implemented in a sequential manner. In this way, the process of estimating and unwrapping copes with each other naturally. We now discuss the sequential implementation of the proposed reconstruction method. Since (17) and (23) are actually the same, we will take (17) as an example to show its sequential implementation. For the convenience, we simplify (17) as follows:  N−1 ˆz (N) = −

m · unwrap(ϕ(m)) .  N−1 2 2δk · m=1 m

m=1

(30)

To achieve sequential calculation, we make the following definition: ⎧  N−1 ⎪ ⎨ A(N) = m · unwrap(ϕ(m)) m=0 (31)  N−1 ⎪ ⎩ B(N) = m2. m=0

Then, we have ˆz (N) = −

A(N) . 2δk · B(N)

(32)

According to (31), it is not hard to get their iterative calculation form  A(N + 1) = A(N) + N · unwrap(ϕ(N)) (33) B(N + 1) = B(N) + N 2 . The key problem now becomes the calculation of unwrap(ϕ(N)). To unwrap ϕ(N), the estimation in the previous step ˆz (N) is needed. The following equations can be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

7

Fig. 4. 2-D imaging results at 33.8 GHz under SNR = 10 dB using (a) basic method and (b) modified method.

Fig. 3.

Schematic of the inclined rectangular plate.

obtained according to (28) and (29): ⎧ ϕ(N) ⎪ ⎪ z L = − ⎪ ⎪ 2N · δk ⎨ 

π  − (z(N) − z L ) · z = z L ⎪ L ⎪ π/(N · δk) N · δk ⎪ ⎪ ⎩unwrap(ϕ(N)) = −z · 2N · δk. L

(34) Fig. 5. 3-D reconstruction results under SNR = 10 dB of (a) basic method and (b) modified method.

By doing several steps of straightforward algebra, (34) can be simplified to

ϕ(N) A(N)/B(N) · N − unwrap(ϕ(N)) = ϕ(N) + 2π · . 2π 2π (35) In summary, A(N), B(N) are calculated in a sequential manner according to (31), (33), and (35), then the final estimation of z(x, y) is obtained using (32). IV. N UMERICAL S IMULATIONS In this section, we will carry out three groups of numerical simulations to validate the proposed method and to compare the algorithm’s performance with other methods. In simulation one, the effectiveness of the proposed basic and modified methods is shown, and their performance against noise is compared. In simulation two, comparisons between the proposed method and other existing methods are conducted. In simulation three, we show the reconstruction results under a special case where the surface of the target is discontinuous. A. Simulation One: Basic Method Versus Modified Method This first simulation is conducted to validate our imaging methods and evaluate the performances of the proposed two algorithms. An obliquely posed rectangular plate is used as the target. The projection of the target on the xy plane is a square with 15-cm edges, and the distance between its geometric center and the antenna array (i.e., z = 0 plane) is 25 cm. The target is made up of densely distributed ideal points with unit scattering coefficients. The schematic of the target model is shown in Fig. 3. In this first simulation, the echo data are generated using the following formula with MATLAB codes:  exp(2 j kr)    x y z s(x, y, k) = o(x  , y  , z  ) r2 (36)

Fig. 6. Cross sections at y = 0 of 3-D reconstruction results under (a) SNR = 10 dB and (b) SNR = 0 dB.

where r = ((x − x  )2 + (y − y  )2 + z 2 )1/2 . The simulation frequency range is from 33 to 37 GHz, the number of frequency points is Nk = 501. The size of antenna array is 36 cm × 36 cm, and the interval of array elements is 4 mm. After the target echo signal is generated by (36)'C complex white Gaussian noise is added to the original signal and the SNR is set to be 10 dB. Figs. 4 and 5 show the 2-D imaging results and 3-D reconstruction results using the basic method and the modified method under 10-dB SNR, respectively. The parameter Nk in the modified method is chosen to be 201. From Fig. 4, it can be seen clearly that as a period of bandwidth information is used in the modified method, the SNR of its 2-D imaging result is significantly higher than that of the basic method. This SNR promotion can directly contribute to the quality of 3-D reconstruction. From Fig. 5, we can see that both methods can approximately recover the contour surface of the target under current SNR, and the modified method can get obviously better result. Fig. 6 draws the cross sections of the reconstructed surface at y = 0. To make the difference between the proposed two methods more significant, we further decrease the SNR to 0 dB and the results are shown in Fig. 6(b). It can be seen that the performance of the modified method against noise is much

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE I RMSE S OF THE R ECOVERED S URFACES VARY W ITH SNR

Fig. 7.

CAD model with continuous surface.

better than that the basic method, especially when SNR is relatively low. Other than comparing the results by a naked-eye manner, we quantitatively calculate the root-mean-square error (RMSE) between the recovered surface and the ground-truth surface. The results are listed in Table I. Three different SNRs are used to examine the reconstruction accuracy of the proposed methods. When SNR is 10 dB, the accuracy of the two methods is close and the modified method is a little better than the basic one. When SNR is 5 dB, both accuracies decrease and the gap between the two methods is also increasing. As SNR drops to 0 dB, the difference between the two is remarkable, and the accuracy of the modified method is approximately 100 times higher than that of the basic method. From 10 to 0 dB, the performance of the basic method decreases dramatically while the accuracy difference of the modified is smaller than 0.1 mm. This result strongly tells us that the basic method is significantly sensitive to noise and the modified method is of excellent antinoise performance. In practical applications, measurement noise is inevitable. Moreover, single-frequency holography utilized by the basic method is easily inspired by the speckles and become unstable. Therefore, the modified method is a more reliable choice in real applications. In the following simulations and experiments, we refer to the modified method when we mention the proposed method. B. Simulation Two: The Proposed Method Versus Other Methods To better imitate the scattering characteristics of real objects and examine the effectiveness of the proposed method, a relatively more complex geometric model is established and its scattering field is calculated by FEKO software. The CAD model of the target is drawn in Fig. 7. Its upper surface is a partial sphere, and the radius of this partial sphere is 40 cm. The diameter of the baseboard is 20 cm, and the altitude difference of the partial sphere is about 1.27 cm. In the electromagnetic calculation, the material of the target is set to be perfect electronic conductor (PEC). In FEKO simulation, electric dipole is used as the stimulation and the scattering component in the near field is solved.

The output scattering data directly correspond with the signal model in (1). The configurations of frequency, aperture size, and spatial interval are the same with those in simulation one. As the frequency setting is from 33 to 37 GHz, one can deduce that the range resolution is 3.75 cm which is larger than the altitude difference 1.27 cm in Fig. 7. Therefore, for traditional 3-D holographic imaging algorithms such as RMA, the variation of the target’s outline within this 1.27 cm can be hardly observed. In this simulation, the typical 3-D holographic imaging algorithm RMA and the recently proposed RRE algorithm in [24] are chosen to compete with the proposed method. In this simulation, the parameter of the proposed method Nk is also chosen to be 201. Fig. 8 shows the 3-D reconstruction results using traditional RMA, recent RRE, and the proposed algorithm, respectively. As can be seen, RMA result is confined by the range resolution, and its reconstruction is discretized into two distinct stairs and the details of the spherical surface are lost. The RRE algorithm takes advantage of the residual phase information in the complex 3-D image and is capable to present the details of the target’s surface within a range cell. Comparing Fig. 8(a) and Fig. 8(b) carefully, corresponding with the position in Fig. 8(a) where the sudden change of the recovered surface takes place, slight fluctuations can also be found in Fig. 8(b). It is because the RRE is built on RMA. For the parts within the same range bin, the unwrapped phase is directly related to the depth of the surface. When the target is separated by two or more range bins, the reconstruction results of each range bin should be carefully combined. However, this problem is not fully discussed in [24]. Fig. 9 shows the cross sections obtained by the three algorithms at y = 0 cm, and more information can be revealed. Qiao et al. [24] state that an offset may exist between the recovered surface and the ground truth. The specific value of the offset is determined by imaging parameters and the position of the reference point. This phenomenon can be apparently observed in Fig. 9. The proposed method also utilizes the residual phase information to reconstruct the range distribution of the target. Different from the manner of traditional 2-D phase unwrapping, frequency interferometry techniques are proposed and used to 3-D reconstruction. It can be seen from Fig. 9 that the proposed method can accurately recover the range distribution without extra offsets. To give thorough analyses and comparisons between the proposed method and previous methods, we take offset and RMSE as indicators and the performances of the three algorithms against different SNRs are studied. The results are listed in Table II. From Table II, we can see that as the SNR goes down, both RMA and RRE show strong robustness against noise since the offsets and RMSEs of their results remains unchanged in submillimeter level. RMA discretizes the ground-truth range distribution into several discrete range bins, and both its offset and RMSE performance keep in a low level. The recovery RMSE of the RRE is satisfied while a constant relatively large offset always exists. The proposed method shows competitive performance in both offset and RMSE against other two algorithms. For offset, the accuracy of the proposed method remains in micrometer level for all SNRs. For RMSE, when

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

Fig. 8.

9

Simulation two: 3-D reconstruction results under SNR = 10 dB by (a) RMA, (b) RRE, and (c) proposed method.

Fig. 10.

Fig. 9. Cross sections at y = 0 cm of the 3-D reconstruction results under SNR = 10dB. TABLE II S IMULATION T WO : P ERFORMANCE OF D IFFERENT M ETHODS VARY W ITH SNR

CAD model with discontinuous surface.

to noises. As a result, the proposed method is slightly more sensitive to noise than RMA and RRE algorithms. Nevertheless, our method shows its obvious superiorities on both offset and RMSE under reasonable SNR levels which are the most cases in real MMW holographic applications. Besides, we have mentioned in Section III-C that our method achieve phase unwrapping in a pixel-wise manner. On the contrary, the traditional 2-D phase unwrapping method which RRE relies on is only effective when the phase of adjacent pixels is mutually dependent. This special characteristic awards our method another significant advantage. We will demonstrate this superiority in the following numerical simulation. C. Simulation Three: Special Cases for Discontinuous Boundaries

SNR is higher than 0 dB, our method is of the highest accuracy among all three algorithms. When SNR is lower than −5 dB, the RMSE of the proposed method is slightly lower than that of the RRE algorithm while still remains in submillimeter level. This result states that the robustness against noise of the proposed method is slightly weaker than RMA and RRE. This is because by exploring the residual phase information in an interferometry manner, no matched filtering techniques are adopted. Therefore, the energy of the target’s signal is not superposed to its maximum extent. Besides, the interferometry process is essentially a high-pass filter which is more sensitive

In this simulation, we also use FEKO to conduct geometric modeling and electromagnetic calculation. The designed target model is shown in Fig. 10. All other simulation parameters are the same with simulation two. Similarly, complex white Gaussian noise is added to the original echo data calculated by FEKO to make a 10-dB SNR. According to Fig. 10, one can note that the most significant different between this model and the previous models is that the target’s surface is discontinuous instead of smooth. Fig. 11 shows the reconstruction results of the three algorithms. RMA result is related to the specific partition and position of range bins. As shown in Fig. 11(a), we can know that the 1-cm-high rectangular locates in a different range cell to that of the 0.5-cm-high cylinder and the baseboard. However, since the size of the range cell is limited to the bandwidth, the recovered height of the rectangular exists obvious offset

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Fig. 11.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Simulation three: 3-D reconstruction results under SNR = 10 dB by (a) RMA, (b) RRE, and (c) proposed method.

Fig. 12. Cross sections at (a) y = −3 cm and (b) y = 3 cm of 3-D reconstruction results under SNR = 10 dB. TABLE III

Fig. 13.

Experimental setup.

S IMULATION T HREE : O FFSET AND RMSE P ERFORMANCE OF D IFFERENT M ETHODS VARY W ITH SNR

comparing with 1 cm. Unlike the results in simulation two, the RRE result here is apparently different from the result of the proposed method. The reason that RRE reconstruction fails in this situation is that the 2-D phase unwrapping operation RRE relies on needs the mutual dependence among adjacent pixels. When the target’s boundary exists sudden changes, the dependence between adjacent pixels is also destroyed. Fig. 12 shows the reconstructed cross sections at different y. Table III lists the quantitative comparisons of the three algorithms against different SNRs. It can be seen that the recovered surface of the proposed method is the closest one to the ground truth for both offset and RMSE. In addition, one can be confused that the RMSE and offset data of the RMA and RRE algorithms remain unchanged in Tables II and III. In fact, the data change very slightly that two significant digits cannot effectively reveal the difference.

Reviewing Fig. 12 carefully, one can note that the recovered surface by our method still contains notable errors to the ground truth where the sudden changes take place. We think there are mainly two reasons for these errors: first, the recovery result is limited by the cross-range resolution. Under current simulation configurations, the theoretical cross-range resolution is about 3 mm. It is understandable that a sudden change cannot be accurately depicted using finite resolution. Second, the underlying scattering model is different from the hypothesis signal model in (1). For example, in the theoretical derivation section, the nonlinearity effects such as sheltering and multiple scattering are not taken into consideration. But for the geometric model in Fig. 10, these nonlinearity effects exist at those discontinuous regions for sure. Nevertheless, the reconstruction results in Figs. 11 and 12 and Table III validate the effectiveness of the proposed method. V. L ABORATORY I MAGING R ESULTS A. Instructions of the Experimental Setup Fig. 13 demonstrates the basic setup of following experiments. The scanning controller is connected to PC via serial port. While working, PC sends command strings to the controller and the controller governs the scanner to move as demanded. The vector network analyzer (VNA) is connected to PC via the Ethernet. PC controls the VNA’s working parameters, triggering mode, and the storage of sampled data. The maximum working frequency of the selected VNA

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

11

Fig. 15. Manufactured model according to the CAD shown in Fig. 10 (left). Side view during measurement (right).

Fig. 14.

Measurement scenario.

is 43.5 GHz. In the experiment, a pair of Ka-band horn antennas is directly connected to the VNA through MMW cables. The antennas are fixed on the scanner, and the beamwidth of the antenna is about 50°. To be comparable to the simulations, the frequency range of the VNA is made to be from 33 to 37 GHz, and the number of frequency sweeping points is 501. Fig. 14 shows the scenario during measurements. For the 2-D scanner, there are two independent vertical tracks. Since our experiments work in a single-input-single-output manner, both antennas are fixed on the left vertical track and the one on the right is not used. The vertical track is installed on another horizontal track and consequently 2-D scanning can be achieved. In the experiments, according to the aperture size which will be depicted in Section V-B, the whole scanning procedure would cost tens of minutes to several hours. B. Experimental Results In this section, we carry out three experiments. In the first one, the target is manufactured according to the CAD model in Section IV-C. In experiment two, scattering data of a mannequin are measured. In experiment three, a handgun model is posed at the waist of the mannequin. Fig. 15 shows the practicality corresponding to the CAD model drawn in Fig. 10. In real measurement, an aperture size of 36 cm × 36 cm with 4 mm sampling intervals in both dimensions is scanned. Consequently, a 91 × 91 2-D equivalent transceiver array is formed. The distance from the target to the scanning plane is about 25 cm to make the simulation setup and the experimental setup comparable. Fig. 16 shows the reconstructed cross sections located at the protuberant rectangular and cylinder, respectively. Since we cannot exactly know the relative position and the orientation between the target and the scanning aperture as in the simulation section, the ground-truth curves are not drawn in Fig. 16. From Fig. 16, we see that both RMA and RRE cannot

Fig. 16. Experiment one: cross sections of the 3-D reconstruction results. (a) Cross section at y1 and (b) cross section at y2 .

recover the region where sudden change happens correctly. On the contrary, the proposed method reveals the shape and the relative height of the protuberant regions honestly. Despite the superiority, we should also note that errors still exist between the recovered surface and the true target. Apart from the two reasons we analyzed in Section IV-C, the approximate monostatic measurement setup which means a 2∼3 cm interval exists between the transmitting and receiving antennas can be another nonideal issue. After all, the experimental results in Figs. 16 and 17 show that the impacts of these nonideal problems are not serious and can be ignored. Fig. 17 shows the experimental results of the three reconstruction algorithms. It can be seen that the experimental results is in high consistence to the simulation results shown in Fig. 11. While in Fig. 17(a), different from that in Fig. 11, we find that the 1-cm high rectangular, the 0.5-cm-high cylinder and the baseboard are located in the same range cell. This can be caused by the displacement between the target and the scanning aperture in experimental conditions. The mannequin used in experiment two is shown in Fig. 19. For the mannequin, the scanning aperture size is adjusted to 64 cm × 83.6 cm while the sampling interval remains 4 mm. Consequently, a 161 × 210 2-D equivalent transceiver array is formed. The distance from the mannequin to the scanning plane is about 50 cm. The parameter Nk in our method is chosen to be 121. Fig. 21 shows the maximum projection of the 3-D RMA imaging result to the xy plane and the 2-D imaging results using the proposed modified method. For both imaging results, confined by the planar scanning regime, we can note that the lateral parts can hardly be observed in the images. It is because the lateral parts received much less beam energy while planar scanning, and the corresponding regions in images are predom-

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 17.

Experiment one: 3-D reconstruction results by (a) RMA, (b) RRE, and (c) proposed method.

Fig. 18.

Experiment two: 3-D reconstruction results by (a) RMA, (b) RRE, and (c) proposed method.

Fig. 19.

Photographs of the mannequin.

inated by noise and speckles. In our experiment, all images are normalized to 0 dB, and we suppose that the pixels lower than −30 dB mainly consist noise and speckles. Therefore, to acquire the correct residual phase information from the target, we only choose those pixels stronger than −30 dB for further 3-D reconstructions. The 3-D reconstruction results are shown in Fig. 18.

Fig. 20. Experiment two: side view of the 3-D reconstruction results by (a) RRE and (b) proposed method.

From Fig. 18, it is obvious that RMA result discretized the target’s range distribution into several separated range bins. Since RRE is achieved based on RMA, the RRE result is impacted by the RMA result and obvious fluctuations can be

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

13

Fig. 21. Experiment two. (a) Maximum projection of the 3-D RMA imaging result to the xy plane. (b) 2-D imaging result using the proposed method. Fig. 22. waist.

observed on recovered surfaces. This phenomenon is the same with what we depicted in simulation two in Section IV-B. Compared with RMA and RRE results, it is apparent that the reconstructed surfaces of the proposed method around head, breast, and abdomen are smoother and show highly consistency with the photographs shown in Fig. 19. To exhibit the superiority of our method more obviously, in Fig. 20, we change to another observation angle to present the reconstruction results. From the lateral view in Fig. 20, remarkable difference can be found at the shoulder and neck between the reconstruction results of RRE and the proposed method. In Fig. 20(a), the shoulder, neck, head, and the abdomen are positioned almost around the same z. Compared with Fig. 19, evidently, the reconstruction result of the proposed method accords with the authentic target surface more than that of RRE. We can see that the mannequin surface is discontinuous around the neck from the perspective from the observation aperture in Fig. 19. From Fig. 21, we further find that the shoulder in the image is not connected with other parts. These situations are essentially the same with the examples with discontinuous boundaries presented in simulation three and experiment one. We know that the recovery procedure of RRE relies on the mutual dependence between adjacent pixels. As the discontinuous and the disconnection destroy the relativity, RRE can hardly recover the relative depth relationship around these parts. In the last experiment, a handgun model is fixed around the waist of the mannequin as shown in Fig. 22. The setups for the VNA, the scanner and imaging are the same with experiment two. Similar with Fig. 21, Fig. 23 shows the maximum projection of the 3-D RMA imaging result to the xy plane and the 2-D imaging result using the proposed modified method. As the beam direction keeps the same while scanning under the planar geometry, some weak scattering parts of the body cannot be observed in the images. Similar with experiment two, to ensure the reconstruction quality, we only choose those pixels stronger than −30 dB for further 3-D reconstructions. The 3-D reconstruction results are shown in Fig. 24. In Fig. 24, the reconstruction quality of the three algorithms is similar with their counterparts in Fig. 18. In this experiment, a special attention is paid to the handgun model. Close-up shots of the recovered surface around the handgun model

Photograph of the mannequin with a handgun model around its

Fig. 23. Experiment three. (a) Maximum projection of the 3-D RMA imaging result to the xy plane. (b) 2-D imaging result using the proposed method.

are shown in Fig. 25. Due to the discontinuity between the handgun model and the waist, RRE gets poor result. On the contrary, the clear contour of the handgun model can be recovered by the proposed method. In the above two experiments, since we do not know the exact CAD model of the irregular mannequin and the position and orientation of the model are also inaccurate, quantitative comparisons on offset and RMSE in the simulation section can hardly be conducted. However, from the provided reconstruction results and analyses, we can claim that the proposed method shows obvious advantages for practical complex targets such as the mannequin. Although some weak scattering parts of the target is hard to be reconstructed due to the inherent limitation of the planar scanning regime, but this problem can be alleviated through appropriate designments in real applications. For example, to irradiate and observe the target from multiple angles. For multiple-angle configuration, the capability of the proposed method to recover the absolute coordinate of the target’s surface without offsets will greatly contribute to the final combination of results from different view angles. In addition, we think the proposed frequency interferometry techniques can also be used to cylindric scanning regime with some modifications, and this will mitigate the weak scattering problem and provide more stereo reconstructions. Relative research is ongoing. Finally, the time need of the three algorithms in the above three experiments are compared. The data are obtained on a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 24.

Experiment three: 3-D reconstruction results by (a) RMA, (b) RRE, and (c) proposed method.

larger m and m − 1 steps of iterations will be saved. In such ways, the time cost of the algorithm can be further compressed. In this paper, we will omit more detailed discussions on the algorithm implementations. VI. C ONCLUSION

Fig. 25. Zoomed-in view of the reconstruction results around the handgun model by (a) RRE and (b) proposed method. TABLE IV C OMPARISON ON T IME N EEDS OF D IFFERENT A LGORITHMS

PC with Intel i3-4130 platform using MATLAB codes. The results are shown in Table IV. As shown in Table IV, the proposed method is apparently less time consuming than the traditional RMA and RRE algorithms since our algorithm avoids the most expensive interpolation operations. As the second and the third experiment are in the same scale, the time needs are also similar. Comparing experiment one with the second or the third, we can see that the saved time increases when the problem scale grows larger. That is to say the advantage of our method on computational complexity is more obvious for large scale problems. Furthermore, the current algorithm is strictly complying with the iterative formulas in Section III-C. In fact, instead of starting form m = 1, we can compute an initial coarse estimation using (30) for a larger m that does not lead a wrapped ϕ(m). Then, the iteration can be continued from this

Based on the traditional MMW holographic imaging, a new 3-D reconstruction method based on frequency interferometry is proposed. Theoretical analyses, numerical simulations, and experimental results show that the proposed method outperforms traditional algorithms in ranging accuracy and computational efficiency. In the part of theoretical analysis, we present a detailed formula derivation. On the basis of the basic imaging principles, a modified method for enhancing image stability in noisy environment is proposed, and sequential phase unwrapping and depth reconstruction methods are proposed. Numerical simulations show that the proposed method can achieve submillimeter level depth reconstruction accuracy under noisy circumstance. It also shows that our method is especially more effective for targets with discontinuous surfaces. Finally, proof-of-concept experiments are carried out. The experimental results show highly consistency with simulations. The proposed method outperforms RMA or RRE in both reconstruction accuracy and computational complexity for practical complex targets such as a mannequin. Although the weak scattering parts of the target are hard to be reconstructed by current methods due to the inherent limitation of the planar scanning regime, this problem can be mitigated by using multiple observation angles. We also believe that the proposed method can be extended to cylindric scanning regime which will alleviate this problem, and the relative research is ongoing. Finally, we would like to claim that although 35GHz MMW is used in current simulations and experiments, the method itself is not limited by frequency and wavelength due to the utilization of the interferometry manner, and it will be suitable for higher frequency bands such as terahertz waves.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

A PPENDIX A D ERIVATION OF S PHERICAL WAVE D ECOMPOSITION F ORMULA

15

Therefore, φ(x, y) ≈ −2kz  −

In the derivation in the main text, an important theoretical foundation is the following formula for the spherical wave expansion:    2 2   2 exp −2 j k (x − x ) + (y − y ) + z  ≈ exp(− j k x (x − x  ) − j k y (y − y  ) − j k z z  )dk x dk y .

According to the stationary phase principle π − j π/2 S(k x , k y ) ≈ e exp( j φ(x 0, y0 )) 2|J | where

To simplify the derivation, we shift the x, y coordinates according to x  x − x  , y  y − y  . Then we obtain    s(x, y) = exp −2 j k x 2 + y 2 + z 2 . (A3) Apply Fourier Transform to both sides     2 2 2 exp −2 j k x + y + z Sk x , k y ) = (x,y)∈

× exp( j k x x + j k y y)d x d y

⎧ ∂φ(x, y) ⎪ ⎪ = − ⎨ ∂x

2kx x2

+ y 2 + z 2 2ky

(A4)

+ kx = 0

∂φ(x, y) ⎪ ⎪ = − + ky = 0 ⎩ ∂y x 2 + y 2 + z 2

(A6)

and we can obtain the implicit expression of the stationary phase point ⎧ 2kx 0 ⎪ ⎪  = kx ⎪ ⎪ ⎨ x 2 + y 2 + z 2 0 0 (A7) 2ky0 ⎪ ⎪ = k . ⎪ y ⎪ ⎩ x 2 + y 2 + z 2 0

0

Substitute (A7) into (A5) φ(x 0 , y0 ) = − 

2kz  x 02 + y02 +z 2

 z  = − 4k 2 −k x2 −k 2y z  .

⎤ ∂ 2φ  ∂ x∂y ⎥ ⎥ x=x , 2 0 ⎦ ∂ φ ∂y∂y

y=y0

.

(A11)

(A12)

Use the second-order Taylor to unfold φ (x, y) |J | =

4k 2 . z 2

(A13)

Consequently, S(k x , k y ) ≈

   π z 2 − j π/2 2 − k 2 − k 2 z  . (A14) e exp − j 4k x y 8k 2

Apply the 2-D inverse Fourier transform to S(k x , k y ) and omit the constant term s(x, y)

where  represents the set of the equivalent phase centers in the 2-D synthetic aperture, the phase expression in (A4) is as follows:  (A5) φ(x, y) = −2k x 2 + y 2 + z 2 + k x x + k y y. Let



∂ 2φ ⎢ ∂ x∂ x J =⎢ ⎣ ∂ 2φ ∂y∂ x

(A1) Appendix A gives the derivation of this important formula. For fixed k, z  , the term of the spherical wave in the expression of echo signal is     2  2 2 . (A2) s(x, y) = exp −2 j k (x − x ) + (y − y ) + z

2k 2 2k 2 x −  y + k x x + k y y. (A10) z z

(A8)

Let k z = (4k 2 − k x2 − k 2y )1/2 . To fit the phase expression of s(x, y) with a second-order polynomial ax 2 + ay 2 + b, we obtain  2k 2k (A9) 2k x 2 + y 2 + z 2 ≈ 2kz  +  x 2 +  y 2 . z z

   2 2 2 = exp −2 j k x + y + z

= IFFT2-D [S(k x , k y )]     = exp − j k x x − j k y y − j 4k 2 − k x2 − k 2y z  dk x dk y  = exp(− j k x x − j k y y − j k z z  )dk x dk y . (A15) Generally, consider the previous coordinate transforms x  x − x  , y  y − y  , then finally     2  2 2 exp −2 j k (x − x ) + (y − y ) + z  ≈ exp(− j k x (x − x  ) − j k y (y − y  ) − j k z z  )dk x dk y . (A16) A PPENDIX B A PPROXIMATION -F REE A NALYSIS ON (6) Let z = z  −z ref , k x = kr cos θ, k y = kr sin θ , x = r cos φ, y = r sin φ then (6) can be transformed into o(x, ˆ y, k)     = exp j k x x + j k y y − j 4k 2 −k x2 −k 2y (z  −z ref ) dk x dk y     = exp j kr x cos θ + j kr y sin θ − j 4k 2 −kr2 z kr dθ dkr   2π = exp( j kr r cos φ cos θ + j kr r sin φ sin θ )dθ kr 0    · exp − j 4k 2 − kr2 z kr dkr . (B1)

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Using the integral expression of the Bessel function, we know that  π 1 Jn (z) = cos (z sin θ − nθ )dθ. 2π −π Then, we have 

2π 0

exp( j kr r cos φ cos θ + j kr r sin φ sin θ)dθ  2π exp( j kr r cos(θ − φ))dθ = 2π J0 (kr r ). (B2) = 0

Accordingly, (B1) can be written as     o(x, ˆ y, k) = 2π J0 (kr r ) exp − j 4k 2 − kr2 z kr dkr . kr

(B3)

Fig. 26. Comparison between the approximate theoretic result and the accurate numerical results.

Generally speaking, (B3) can hardly be further simplified. This is also the reason why we employ Fresnel approximation in the main text to get a more compact form. To show the basic idea and theory of the frequency interferometry technique, special attentions are paid to the phase ˆ 0, k). Although a general at the peak of the PSF, i.e.,  o(0, compact form is not easy to be derived from (B3), numerical calculations can be employed to perform approximation-free analyses on o(0, ˆ 0, k). Then we can use the approximation-free results to examine the validity of the compact PSF expression shown in (10) obtained using Fresnel approximation A. Comparison 1: Phase of the PSF ˆ 0, k) + 2kz. According to (10), We define (z) o(0, we can obtain that ⎧ ⎪ z > 0 ⎨π/2, = 0, (B4) z = 0 ⎪ ⎩ −π/2, z < 0. To examine the validity of (B4), the exact value of is numerically calculated by (B3). In (B3), the parameter k = 2π f /c should be given for computation. Fig. 26 shows the exact numerical results of different f and the approximate result shown in (B4). From Fig. 26, we can see that the approximate result given by (10) can represent the exact curves generally. For fixed f , the difference of the two curves mainly concentrate around z = 0, and the larger the f , the less obvious the difference is. It can also be seen that the first extrema always appear at z = ±λ/2. For the region |z| ≥ λ/2, (10) can represent the exact value of (B3) well. But for the region −λ/2 < z < λ/2, some error exists between (10) and (B3). To further examine whether this error will damage the reconstruction method presented by (12) and (21), the following comparison is carried out. B. Comparison 2: Interferometry Phase Between Two PSFs To check the validity of the imaging methods shown in (12) and (21), we choose a typical center frequency in MMW

Fig. 27.

Relationship between the interferometry phase and k. TABLE V C OMPARISON ON T HEORETICALLY P REDICTED S LOPE AND P RACTICAL S LOPE

holography f0 = 35 GHz, and z is set to be −1, 3, 20, and 60 mm, respectively. Similar with (12), we define ˆ 0, k0 + k) · oˆ ∗ (0, 0, k0 )) ϕ(k) =  (o(0,

(B5)

where k0 = 2π f 0 /c. o(0, ˆ 0, k) is numerically calculated by (B3) without approximation. Fig. 27 shows the relationship between ϕ and k for several fixed z. We can see from Fig. 27 that ϕ and k are linear related for fixed z, and the larger the z, the larger the slope is. According to (12), the slope is 2z. Table V shows the predicted slope given by (12) and the practical slope calculated by exact numerical results. According to Table V, when z = −1 and 3 mm the predicted values and the exact ones are slightly different. When

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. GAO et al.: NOVEL METHOD FOR 3-D MMW HOLOGRAPHIC RECONSTRUCTION

z = 20 and 60 mm, the predicted values are highly consistent with the exact ones. Reviewing Fig. 26, the center wavelength is λ0 = c/ f 0 = 8 mm, and the first extrema will appear at ±4 mm. Consequently, we can deduce that when −λ/2 < z < λ/2, a structural error will occur in the reconstructed z according to (12). Fortunately, the z ref in z = z  − z ref can be chosen artificially and adaptively. In this way, we can choose an appropriate z ref to make z satisfy |z| ≥ λ/2. In practical MMW holography applications, the wavelength is always no more than several millimeters. In fact, for the −λ/2 < z < λ/2 region, using (12) to reconstruct z will only lead to submillimeter level errors since z is already very small. According to the required accuracy of practical applications, this error may also be ignored.

R EFERENCES [1] D. M. Sheen, D. L. McMakin, and T. E. Hall, “Three-dimensional millimeter-wave imaging for concealed weapon detection,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 9, pp. 1581–1592, Sep. 2001. [2] H.-M. Chen, S. Lee, R. M. Rao, M. A. Slamani, and P. K. Varshney, “Imaging for concealed weapon detection: A tutorial overview of development in imaging sensors and processing,” IEEE Signal Process. Mag., vol. 22, no. 2, pp. 52–61, Mar. 2005. [3] L. Li, J. Yang, G. Cui, Z. Jiang, and X. Zheng, “Method of passive MMW image detection and identification for close target,” J. Infr. Millim. Terahertz Waves, vol. 32, no. 1, pp. 102–115, Jan. 2011. [4] S. Oka, H. Togo, N. Kukutsu, and T. Nagatsuma, “Latest trends in millimeter-wave imaging technology,” Prog. Electromagn. Res. Lett., vol. 1, no. 1, pp. 197–204, 2008. [5] D. L. Mcmakin, D. M. Sheen, and T. E. Hall, “Millimeter-wave imaging for concealed weapon detection,” Proc. SPIE, vol. 5048, p. 53, Jul. 2003. [6] N. H. Farhat and W. R. Guard, “Millimeter wave holographic imaging of concealed weapons,” Proc. IEEE, vol. 59, no. 9, pp. 1383–1384, Sep. 1971. [7] D. H. Collins, D. L. Mcmakin, T. E. Hall, and P. R. Gribble, “Real-time holographic surveillance system,” U.S. Patent 5 455 590, Oct. 3, 1995, pp. 39–43. [8] J. C. Wiltse, “History of millimeter and submillimeter waves,” IEEE Trans. Microw. Theory Techn., vol. MTT-32, no. 9, pp. 1118–1127, Sep. 1984. [9] D. Sheen, D. McMakin, and T. Hall, “Near-field three-dimensional radar imaging techniques and applications,” Appl. Opt., vol. 49, no. 19, pp. E83–E93, 2010. [10] D. M. Sheen, D. L. McMakin, T. E. Hall, and R. H. Severtsen, “Real-time wideband cylindrical holographic surveillance system,” U.S. Patent 5 859 609, Jan. 12, 1999. [11] D. M. Sheen and D. L. McMakin, “Cylindrical millimeter-wave imaging technique and applications,” Proc. SPIE, vol. 6211, p. 62110A, May 2006. [12] M. L. Bryant, L. L. Gostin, and M. Soumekh, “3-D E-CSAR imaging of a T-72 tank and synthesis of its SAR reconstructions,” IEEE Trans. Aerosp. Electron. Syst., vol. 39, no. 1, pp. 211–227, Jan. 2003. [13] S. S. Ahmed, A. Schiessl, F. Gumbmann, M. Tiebout, S. Methfessel, and L. Schmidt, “Advanced microwave imaging,” IEEE Microw. Mag., vol. 13, no. 6, pp. 26–43, Sep./Oct. 2012. [14] S. S. Ahmed, A. Schiessl, and L.-P. Schmidt, “A novel fully electronic active real-time imager based on a planar multistatic sparse array,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 12, pp. 3567–3576, Dec. 2011. [15] S. S. Ahmed, A. Genghammer, A. Schiessl, and L.-P. Schmidt, “Fully electronic E-band personnel imager of 2 m2 aperture based on a multistatic architecture,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 1, pp. 651–657, Jan. 2013. [16] S. Gu, C. Li, X. Gao, Z. Sun, and G. Fang, “Three-dimensional image reconstruction of targets under the illumination of terahertz Gaussian beam—Theory and experiment,” IEEE Trans. Geosci. Remote Sens., vol. 51, no. 4, pp. 2241–2249, Apr. 2013.

17

[17] Z. Sun, C. Li, S. Gu, and G. Fang, “Fast three-dimensional image reconstruction of targets under the illumination of terahertz Gaussian beams with enhanced phase-shift migration to improve computation efficiency,” IEEE Trans. THz Sci. Technol., vol. 4, no. 4, pp. 479–489, Jul. 2014. [18] Z. Sun, C. Li, X. Gao, and G. Fang, “Minimum-entropy-based adaptive focusing algorithm for image reconstruction of terahertz singlefrequency holography with improved depth of focus,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 1, pp. 519–526, Jan. 2015. [19] Z. Li, J. Wang, J. Wu, and Q. H. Liu, “A fast radial scanned near-field 3-D SAR imaging system and the reconstruction method,” IEEE Trans. Geosci. Remote Sens., vol. 53, no. 3, pp. 1355–1363, Mar. 2015. [20] S. Bertl and J. Detlefsen, “Effects of a reflecting background on the results of active MMW SAR imaging of concealed objects,” IEEE Trans. Geosci. Remote Sens., vol. 49, no. 10, pp. 3745–3752, Oct. 2011. [21] P. Corredoura, Z. Baharav, and G. Lee, “Millimeter-wave imaging system for personnel screening: Scanning 107 points a second and using no moving parts,” Proc. SPIE, vol. 6211, p. 62110B, May 2006. [22] J. M. Lopez-Sanchez and J. Fortuny-Guasch, “3-D radar imaging using range migration techniques,” IEEE Trans. Antennas Propag., vol. 48, no. 5, pp. 728–737, May 2000. [23] J. Fortuny-Guasch and J. M. Lopez-Sanchez, “Extension of the 3-D range migration algorithm to cylindrical and spherical scanning geometries,” IEEE Trans. Antennas Propag., vol. 49, no. 10, pp. 1434–1444, Oct. 2001. [24] L. Qiao, Y. Wang, Z. Zhao, and Z. Chen, “Range resolution enhancement for three-dimensional millimeter-wave holographic imaging,” IEEE Antennas Wireless Propag. Lett., vol. 15, pp. 1422–1425, 2015. [25] D. L. Donoho, “Compressed sensing,” IEEE Trans. Inf. Theory, vol. 52, no. 4, pp. 1289–1306, Apr. 2006. [26] Q. Cheng, A. Alomainy, and Y. Hao, “On the performance of compressed sensing-based methods for millimeter-wave holographic imaging,” Appl. Opt., vol. 55, no. 4, pp. 728–738, 2016. [27] L. Qiao, Y. Wang, Z. Shen, Z. Zhao, and Z. Chen, “Compressive sensing for direct millimeter-wave holographic imaging,” Appl. Opt., vol. 54, no. 11, pp. 3280–3289, 2015. [28] W. Liu, C. Li, Z. Sun, Q. Zhang, and G. Fang, “Three-dimensional sparse image reconstruction for terahertz surface layer holography with random step frequency,” Opt. Lett., vol. 40, no. 14, pp. 3384–3387, 2015. [29] X. X. Zhu, S. Montazeri, C. Gisinger, R. F. Hanssen, and R. Bamler, “Geodetic SAR tomography,” IEEE Trans. Geosci. Remote Sens., vol. 54, no. 1, pp. 18–35, Jan. 2016. [30] S. Jun, Z. Xiaoling, J. Yang, and W. Yinbo, “Surface-tracing-based LASAR 3-D imaging method via multiresolution approximation,” IEEE Trans. Geosci. Remote Sens., vol. 46, no. 11, pp. 3719–3730, Nov. 2008. [31] S. Jun, Z. Xiaoling, X. Gao, and J. Jianyu, “Signal processing for microwave array imaging: TDC and sparse recovery,” IEEE Trans. Geosci. Remote Sens., vol. 50, no. 11, pp. 4584–4598, Nov. 2012. [32] R. Gens and J. L. Van Genderen, “Review Article SAR interferometry— Issues, techniques, applications,” Int. J. Remote Sens., vol. 17, no. 10, pp. 1803–1835, 1996. [33] X. Xu and R. M. Narayanan, “Three-dimensional interferometric ISAR imaging for target scattering diagnosis and modeling,” IEEE Trans. Image Process., vol. 10, no. 7, pp. 1094–1102, Jul. 2001. [34] N. Yagüe-Martínez et al., “Interferometric processing of sentinel-1 TOPS data,” IEEE Trans. Geosci. Remote Sens., vol. 54, no. 4, pp. 2220–2234, Apr. 2016. [35] C. Li, S. Gu, X. Gao, and G. Fang, “Image reconstruction of targets illuminated by terahertz Gaussian beam with phase shift migration technique,” in Proc. 38th Int. Conf. Infr., Millim. THz Waves (IRMMW-THZ), Sep. 2013, pp. 1–2.

Jingkun Gao received the B.S. degree (Hons.) in information engineering from the National University of Defense Technology, Changsha, China, in 2013, where he is currently pursuing the Ph.D. degree in information and communication engineering. His current research interests include new regime radar imaging, signal processing, and terahertz radar.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 18

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Yuliang Qin received the B.S., M.S., and Ph.D. degrees from the National University of Defense Technology, Changsha, China, in 2002, 2004, and 2009, respectively. His current research interests include inverse synthetic aperture radar, radar signal processing, and terahertz radar.

Bin Deng received the B.S. degree from Northern University, Shenyang, China, in 2004, and the M.S. and Ph.D. degrees from the National University of Defense Technology, Changsha, China, in 2006 and 2011, respectively. His current research interests include synthetic aperture radar (SAR), SAR/ground moving target indication, and terahertz radar.

Hongqiang Wang received the M.S. and Ph.D. degrees from the National University of Defense Technology (NUDT), Changsha, China, in 1999 and 2001, respectively. He is currently a Professor with NUDT. His current research interests include terahertz radar, radar signal processing, and automation target recognition.

Xiang Li received the B.S. degree from Xidian University, Xi’an, China, in 1989, and the M.S. and Ph.D. degrees from the National University of Defense Technology (NUDT), Changsha, China, in 1995 and 1998, respectively. He has been with the Institute of Space Electronics and Information Technology, Beijing, China, since 2003, where he had focused on target recognition, signal detection, and radar imaging. He was with Imperial College London, London, U.K., as an Academic Visitor in 2011. He is currently a Professor with NUDT.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

A CMOS Real-Time Spectrum Sensor Based on Phasers for Cognitive Radios Paria Sepidband , Student Member, IEEE, and Kamran Entesari, Senior Member, IEEE Abstract— Real-time spectrum sensing refers to searching for possible signals at a specific time and location, which is applicable to cognitive radio for primary signal detection. The simplicity and low sensing time of phaser-based spectrum sensors, implemented in a discrete manner previously, provided the incentive for this paper. In this paper, an integrated CMOS wideband real-time spectrum sensor with a novel on-chip phaser in 57–354-MHz band, as part of VHF/UHF TV broadcast bands, is presented. The proposed approach provides a fast, simple, area-efficient analog solution for real-time spectrum sensing with low noise figure and power consumption. The integrated chip has been fabricated in a standard 0.18-µm CMOS IBM technology and has achieved a sensing time of as low as 2.5 µs for 27-MHz frequency resolution. Index Terms— All-pass filter (APF), channel discrimination, cognitive radio (CR), linear group-delay (GD), on-chip phaser, real-time spectrum sensing, sensing time.

I. I NTRODUCTION

C

URRENT congestion of radio devices in the radio spectrum necessitates intelligent use of wireless channels to improve performance of wireless communications. Spectrum sensing, which is the process of detecting signals has a significant role for future usage of frequency bands. Using spectrum sensing for signal or blocker detection enables more efficient use of the currently assigned radio spectrum. For instance, cognitive radio (CR) devices use spectrum sensors for detecting “white space,” which is an unoccupied licensed frequency band at a specific time and location [1]. CR devices work in white space as secondary users without interfering with primary signals. According to FCC, CR operation is currently allowed in VHF/UHF TV broadcast bands [2]. Conventional analog integrated spectrum sensing blocks use energy detection (ED) techniques [3]–[6] and quasicyclostationary feature detection technique [7] for signal detection. The former calculates energy of the incoming signal and compares it to a threshold while the latter takes advantage of feature detection techniques for eliminating the effect of input

Manuscript received March 6, 2017; revised August 10, 2017; accepted October 16, 2017. This work was supported by the National Science Foundation under Grant 1230274. This paper is an expanded version from the IEEE RFIC Symposium, San Francisco, CA, USA, May 22–24, 2016. (Corresponding author: Paria Sepidband.) P. Sepidband is with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA, and also with the Cypress Semiconductor Corporation, San Jose, CA 95134 USA (e-mail: [email protected]). K. Entesari is with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843 USA (e-mail: kentesar@ ece.tamu.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2772883

Fig. 1.

Principle of a phaser-based real-time spectrum sensor.

noise in decision making. Both the methods are implemented after frequency down-conversion of the incoming RF signal to baseband (BB), which may introduce several nonlinearity terms to the original signal and fill more white spaces. Impact of down-conversion on the accuracy of white space detection is studied in [8]. Besides, conventional real-time spectrum sensors usually require use of a wideband, fast-sweeping frequency synthesizer [3], [9] or a wideband ADC [10], [11] along with the down-converter and spectrum sensing block, which are both complex and power hungry. For a real-time spectrum sensor, all the channels in a frequency band need to be detected in a specific time, called sensing time. In a CR transceiver, this sensing time needs to be minimized so that the data transmission time can be maximized. Sensing process should be performed periodically to ensure accuracy of detected white space and avoid interfering with primary users. An analog discrete real-time spectrum sensor is implemented in [12], which uses a dispersive delay structure (DDS), or “phaser,” to separate channels with different frequencies in time domain. This is performed by assigning different delays to different frequencies in a time-limited modulated signal. Fig. 1 shows the basic architecture of this spectrum sensor. The phaser in [12] is an all-pass filter (APF) realized with a transmission line with a stepped group-delay (GD) characteristic (as shown in Fig. 1) creating a specific delay for each frequency channel. The incoming modulated signal is time-limited by multiplication with a Gaussian pulse and then passes through the phaser for conversion of

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

frequency difference to time difference and is finally converted to “1”–“0” pattern by an envelope detector and a Schmitt trigger, indicating the presence and absence of the signal in the corresponding channel. The reason for using Gaussian pulse instead of rectangular is to make the transitions smoother and reduce sideband power. A Gaussian pulse also has a Gaussian shape in frequency domain and achieves a small time-bandwidth (BW) product as follows: σ 1 1 (1) = . tG ωG = √ × √ 2 2 2σ √ BW of the Gaussian pulse [ωG = (1/ 2σ )] should be smaller than the frequency steps of the phaser. Phaser-based spectrum sensors are simple, wideband, fast, and do not require frequency down-conversion and wideband synthesizer and operate at RF frequency. Time-frequency resolution of the conventional phasers, which is the GD difference for two consecutive channels, is determined by their GD slope in a GD versus frequency response. However, these phasers cannot be realized on-chip for frequencies less than a few gigahertz due to large transmission lines used in these topologies. Other applications of phasers can be found in [13]. Using the idea of analog spectrum sensing with phasers, which employ GD characteristics of a filter, a CMOS integrated real-time spectrum sensor is proposed, which utilizes a practical on-chip phaser, suitable for CR applications [14]. The proposed real-time spectrum sensing method simplifies measuring in a more realistic environment when more than one channel is occupied and employs a simple tunable filter with bandpass (BP) magnitude and GD response as a phaser. This paper is an extended version of [14], with more details on the development of the proposed method and feasibility of on-chip phasers. The integrated spectrum sensor functionality with a decision circuit is also evaluated. The rest of this paper is organized as follows. Section II discusses the development of the proposed system architecture from the idea of discrete phasers. In Section III, circuit implementation of the proposed spectrum sensor is reported. Section IV discusses the fabrication and measurement results. Finally, Section V provides the conclusion. II. P ROPOSED A RCHITECTURE As mentioned earlier, conventional phasers are APFs with linear or stepped GD characteristic realized using transmission lines [13], so ideally the incoming time-limited signal is delayed without any attenuation. Since each frequency in the signal is delayed differently, the channels are discriminated in time domain. For a proper discrimination, time-frequency resolution should be greater than the Gaussian pulse duration (τi = τi+1 − τi ≥ T ), assuming an ideal stepped GD with no channel spreading, as shown in Fig. 1. This is to avoid any overlap between two consecutive channels due to temporal dispersion caused by the phaser. Using conventional methods, to discriminate different channels in a frequency band, each channel should have a specific GD, different from other channels, and time-frequency resolution should be enough. A CMOS APF can be designed

Fig. 2. GD of a second-order APF for (a) f 0 = 100 MHz and Q = 1, 10, 100, 1000 and (b) Q = 10 and f 0 = 10 , 100, 200, and 300 MHz.

in a way to provide such GD. A general second-order APF has the frequency response of H (s) =

s2 − s2 +

ω0 Qs ω0 Qs

+ ω02 + ω02

(2)

where ω0 is the resonance angular frequency, and Q is the quality factor. The GD response can be derived as τ (ω) = 2 ×

ω03 Q

ω04 + ω02



+ 1 Q2

ω0 2 Qω

 . − 2 ω2 + ω4

(3)

It can be shown from (3) √ that the maximum GD happens at ω = ω0 for Q  (1/ 2). Fig. 2 shows GD for different values of f 0 and Q. To provide a specific GD for each channel, different from other channels, f0 should be outside the desired frequency band. It means the band of interest should be either below f0 or above f 0 but not simultaneously at both sides, to avoid similar GDs for two channels, which prevents their discrimination in time domain. The closer is the frequency of operation to f 0 , the more slope and, hence, the more resolution is obtained. From Fig. 2, decreasing f 0 /Q enhances time-frequency resolution (τ ), for a smaller frequency band near f 0 , however, it necessitates using a longer Gaussian pulse (higher T ), which makes the condition, τ ≥ T , harder to achieve. For instance, consider Fig. 3 where f 0 = 100 MHz and Q = 100, and the purpose is separating two tones located above f 0 with 1-MHz distance ( f 1 = 110 MHz and f 2 = 111 MHz). From Fig. 3, time-frequency resolution, τ , is around 0.3 ns from frequency of 110 to 111 MHz, which is not enough for separating the two single tones, f 1 and f 2 . As mentioned earlier, BW of the Gaussian pulse ( f G ) plus

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SEPIDBAND AND ENTESARI: CMOS REAL-TIME SPECTRUM SENSOR BASED ON PHASERS FOR CRs

Fig. 3. GD of a second-order APF for f 0 = 100 MHz and Q = 100 from 110 to 111 MHz.

Fig. 4.

Loop technique to increase time-frequency resolution.

BW of each channel ( f ch ), which is zero here, should be smaller than the frequency steps of the phaser ( f step )  f G +  f ch ≤ f step

(4)

which for this example translates to:  f G ≤ 1 MHz. From (1), σ should be greater than 112.5 ns, leading to a T of at least 675 ns (assuming T can be approximated as: T ∼ = 6σ ), so time-frequency resolution condition, τ ≥ T , is not satisfied. Increasing order of filter does not sufficiently improve the resolution. Cascading N biquads results in addition of their phases, which multiplies the resolution by N, if the biquads are similar. One way to deal with this issue is using a loop technique, which is used in conventional phasers [15] to improve timefrequency resolution, and requires a delay block added in the feedback of a system consisted of a DDS unit and an amplifier as shown in Fig. 4. This infinite loop enhances the GD slope; the channels are partially discriminated in time domain, in each transition from the delay line. Gradually at a specific time, depending on the lowest GD slope in the frequency band, all channels get separated. The delay amount of the delay line should be at least T −GDmin (neglecting time spreading in each channel and assuming an ideal stepped GD characteristic for the DDS), to avoid any overlap, which T is the Gaussian pulse duration, and GDmin is the minimum GD of the DDS unit. One way to realize an on-chip delay line is employing a linear-phase APF, however, considering the required delay, this method is not feasible. As an instance, consider the previous example for separating two signals located at f 1 = 110 MHz and f 2 = 111 MHz. For T = 676 ns, the delay line should have a delay of at least: 676 − 1.3 = 674.7 ns (see Fig. 3) to separate only two signals located at f 1 and f 2 neglecting spreading of the original time-limited input. It can be shown that a 10th order equiripple all-pass delay filter [16] with 0.5° phase error and f C of 100 MHz has a delay of only 13 ns (see Fig. 5), in which f C is the −3 dB-cutoff frequency of

3

Fig. 5. GD response of a 10th order equiripple all-pass delay filter with 0.5° phase error and f C of 100 MHz.

the equivalent low-pass filter frequency response. This delay is still not sufficient for discriminating the two signals. Note that for this equiripple all-pass delay filter, a lower f C (50 MHz) can still produce a constant GD (25 ns) at f 1 = 110 MHz and f 2 = 111 MHz, which is still not sufficient. Also, using a linear GD DDS for separating channels results in a significant temporal dispersion, requiring higher values for the delay line. So there is no integrated solution for the delay block. A filter with a narrow BP shape GD as in Fig. 2 can separate a single channel located at f 0 if the GD is sufficient. A secondorder BPF has a GD of half of a second-order APF. If the purpose is separating only one channel, BPF is superior to APF as both magnitude and GD have BP shapes; BP GD participates in channel discrimination, while BP magnitude rejects undesired channels. As mentioned earlier, the loop technique adds a desirable delay using a delay block to enhance time-frequency resolution. Knowing this fact along with the mentioned feature of a BPF, an alternative solution to add the desirable delay for enhancing time-frequency resolution is proposed. Fig. 6 shows the proposed real-time integrated spectrum sensor architecture. In this architecture, a periodic Gaussian pulse, rather than a single one, is applied to the multiplier providing a time-limited periodic signal, Vout,Mult1(t)   ∞  T Vout,Mult1 (t) = Vout,LNA(t) × G t − t0 − − nTG (5) 2 n=0

where Vout,LNA(t) is the incoming signal after LNA and G(t) is a Gaussian pulse with a duration of T , which is repeated with a period of TG and has the following form in time and frequency domain: G(t) =

−ω2 σ 2 A G −t 2 F → AG e 2 √ e 2σ 2 ← σ 2π

(6)

where A G is the amplitude of the Gaussian pulse in frequency domain. The resulting periodic time-limited signal is then applied to a phaser, which is a tunable BPF with BP GD. The center frequency of this phaser is set to the center frequency of each channel periodically with the period of TG , creating a separated channel in each period. TG should be chosen according to the required time-frequency resolution. Assuming that TG is long enough and Vout,LNA(t) is composed of N single tones with a frequency separation of ω, each with an amplitude of Ak , output of the phaser in frequency

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Proposed phaser-based integrated spectrum sensor.

domain [V P (ω) = F(Vout,Phaser(t)) in Fig. 6], when the center frequency of the BPF is set to ω0 , is as follows:

the main signal at ω0 in time domain with a value of 2n Q/ω0 , while attenuating other channels without creating any delay in them. Note that the assumption of having single tones in N−1  (ω−ω0 −kω)2 σ 2 − each channel for the incoming signal is for simplicity. The 2 V P (ω) = Ak A G e above conclusion still holds for wideband channels; however, k=0 the effective BW of the signal at input of the phaser for each T × e− j (t0+ 2 )(ω−ω0 −kω) H (ω) (7) channel is the BW of that channel (ω ) plus BW of the ch √ = 1/( 2σ )), rather than the ωG alone Gaussian pulse (ω G where H (ω) is the transfer function of the phaser when the in the case of single tones. The frequency condition of (4) center is set to ω0 and for n BPF biquads (phaser) has the for the proposed phaser is a bit more relaxed considering the following polar form: reduction in the effective BW of each channel after passing H (ω) = |H (ω)|e j (ω) through the phaser, due to its BP shape. Considering the effect    π −1 2Qω 2 (ω) = n − n tan ± 4Q − 1 . (8) of TG on (10), Gaussian shape signal at the frequency of 2 ω0 ω0 will be replaced by several impulses sampling it with a frequency of 1/TG . In the vicinity of ω0 , phase of |H (ω)|, (ω), can be approxThis method is practical using on-chip solutions and can imated as discriminate a wideband input signal in time-domain, since    2n Q π the filter can be designed to have a sufficient GD in one (ω − ω0 ). (ω) = n − n tan−1 2Q ± 4Q 2 − 1 − 2 ω0 single channel at a time, and TG can be chosen as long as (9) required. Channel spreading has minimum effect on this design with a long enough TG . The output of the filter should be By placing (9) in (7), V P (ω) can be approximated as multiplied by a delayed version of the Gaussian pulse train V P (ω) with the delay of average GDs of all channels (for simplicity),     2n Q to avoid undesirable channels in each period of TG . This T t0 + 2 + ω ω−ω0 +0 (ω−ω0 )2 σ 2 − j 0 delayed Gaussian pulse train selects only the delayed part of 2 e = |H (ω)|A0 A G e− the output signal in each period (the desired channel), which N−1  (ω−ω0 −kω)2 σ 2 is delayed by the GD response of the phaser, and rejects the 2 + |H (ω)|Ak A G e− part that is not delayed and is attenuated by the BP frequency k=1     response of the phaser (rest of the channels in the frequency −j t0 + T2 ω−ω0 −kω +k band). To have a channel discrimination in a frequency band (10) consisting of N channels, N pulses in the Gaussian pulse train ×e and N states for the filter are required, so the tuning process where k , k = 0, 1, . . . , N − 1 is   in the entire band takes N × TG . 2Q(ω0 + kω)  2 π The architecture in Fig. 6 functions properly provided that ± 4Q − 1 . k = −n + n tan−1 2 ω0 (11) (12) TG > T + GDMax T Equation (10) indicates that when the center frequency of the GDmin ≥ . (13) BPF is set to ω0 , in a time duration of TG , the phaser will shift 2

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SEPIDBAND AND ENTESARI: CMOS REAL-TIME SPECTRUM SENSOR BASED ON PHASERS FOR CRs

Fig. 7.

5

Variation of GD leading to missed detection.

Equation (12) is required to avoid any overlap between two states, while (13) is required to separate each channel in its own state (as shown in Fig. 6). These conditions are replacements for time-frequency resolution condition in conventional phasers [12]. While (12) is easy to achieve due to the term TG , (13) puts some constraints on the achievable frequency resolution. From (12) and (13) and assuming T ≈ 6σ , √ ωG = 1/( 2σ ), and GD = GDMax −GDmin , the following conditions can be obtained: 6 (14) TG > GDmin + GD + √ 2(ωG ) 3 GDmin > √ . (15) 2(ωG ) Equations (4) and (14) indicate that a lower sensing time (lower TG ) requires a lower GD with lower variation (lower GDmin and GD) and a lower frequency resolution (higher ωstep ). Also (4) and (15) indicate that a lower frequency resolution relaxes the condition on minimum GD. High GD variation also increases the chance of missed detection. To better understand this, consider two extreme scenarios when GDavg is much smaller than GDMax and much higher than GDmin (Fig. 7), which creates no overlap between the separated channel (desired channel) and the delayed Gaussian pulse train, leading to missed detection. So the following conditions apply to the phaser GD variation: GDmin > GDavg − T, GDMax < GDavg + T.

(16) √ From (16) and assuming T ≈ 6σ and ωG = 1/( 2σ ), the following condition is concluded for GD variation: 12 GD . < √ GDavg 2(ωG )(GDavg )

(17)

Equation (17) indicates that with a wider BW Gaussian pulse (lower frequency resolution), the condition on GD variation is stricter, meaning GD variation should be smaller. The conditions stated in (14), (15), and (17) are plotted in Fig. 8, with valid areas specified by small arrows. Fig. 8(a) indicates that for a  f G of 16 MHz, a minimum GD of at least 21.1 ns is required. Assuming a GDmin of 50 ns, Fig. 8(b) plots TG versus Gaussian pulse BW, for different values of G D. As suggested by Fig. 8(b), for phasers with low  f G and hence

Fig. 8. Conditions stated in (a) (15), (b) (14) with different GD variations for GDmin of 50 ns, and (c) (17) with different GDavg .

high frequency resolution, sensing time changes rapidly with frequency resolution variation, while sensing time of lower resolution phasers tend to change more with GD variations. For a  f G of 16 MHz and GDmin of 50 ns, TG should be higher than 92.2–302.2 ns for a GD variation of 0–210 ns, which assumes an average GD of 70 ns; this GD variation is within 0%–300%. Fig. 8(c) illustrates the valid areas for GD variation versus Gaussian pulse BW for different values of GDavg. It shows that for a 16-MHz Gaussian pulse BW and an average GD of 70 ns, up to 120% variation in GD is valid to avoid missed detection. To better understand the functionality of the proposed system consider a scenario shown in Fig. 6 in which the spectrum sensor evaluates the occupancy of two consecutive channels (Ch1 and Ch2). The incoming signal is applied to “Multiplier 1” after passing through the LNA, multiplied by the Gaussian pulse train, G(t), with period of TG , creating a time-limited periodic signal, Vout,Mult1 . Only two pulses in G(t) are required for evaluating two consecutive channels, so only the first two time-limited signals in Vout,Mult1 are investigated. From time t0 to t0 + TG , the phaser has a BP frequency response at the center frequency of the first channel, f Ch1 , with a GD of GD1 . Since Ch1 is occupied, the phaser shifts the signal at fCh1 in time-domain with a delay of GD1 , attenuating the signal at other channels without shifting them. Vout,Phaser from t0 to t0 +TG has two parts, one from t0 to t0 +T , consisting of the attenuated signal with no delay, and one from t0 + G D1 to t0 + T + G D1 , consisting of the delayed, unattenuated signal at f Ch1 , which means Ch1 is occupied. The same scenario is considered for the second channel; the phaser has a BP frequency response at the center of the second channel, f Ch2 , and a GD of GD2 , from t0 + TG to t0 + 2TG . Vout,Phaser from t0 +TG to t0 +2TG has only one part, consisting of the attenuated signal, from t0 + TG to t0 + TG + T , which means Ch2 is unoccupied. Multiplication of Vout,Phaser by a delayed Gaussian pulse train, G Delayed (t), with a delay of

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 9. Frequency responses of a Gaussian pulse and a rectangular one with similar conditions.

average GDs of Ch1 and Ch2, GDavg , further rejects the undesired, attenuated signal. The result can be converted to a “1” and “0” for Ch1 and Ch2, respectively, using an envelope detector and a comparator. To compare a Gaussian window and a rectangular one, their frequency responses are plotted assuming similar conditions [(12) and (13)] exist in both windows. Note that (13) changes to GDmin ≥ T for a rectangular pulse. Fig. 9 shows the frequency response of a Gaussian window and a rectangular one, assuming T for the Gaussian and the rectangular pulse is 41 and 20.5 ns, respectively. Assuming a frequency resolution of 27 MHz for the phaser, attenuation of the Gaussian (rectangular) pulse at the first, second, and third adjacent channels is 13.44 dB (11.35 dB), 53.75 dB (47.11 dB), and 120.94 dB (35.7 dB), respectively. III. C IRCUIT I MPLEMENTATION As shown in Fig. 6, the proposed structure is composed of an LNA, two multipliers, a tunable BPF, and a digital circuitry. For an RF input signal, LNA is required to lower the noise figure (NF) of the entire system. The first multiplier is for converting the RF signal to a time-limited periodic signal. For better linearity performance, differential structures are employed for the multipliers, which necessitates use of a balun to convert the single-ended input signal to a differential one. The tunable BPF plays the role of a phaser and the second multiplier operates as a filter in time-domain, which rejects the undesired channels in each period for the proposed real-time spectrum sensor. The following sections further explain each block of the proposed spectrum sensor in transistor level. A. LNA A balun noise and distortion canceling LNA [17] is employed in the proposed spectrum sensor as shown in Fig. 10, which provides a differential signal at its output. It is a CG–CS LNA where CG transistor, M1, provides the noninverting path and CS transistor, M2, along with the cascode transistor, M4, provide the inverting path for the main signal. The inverted signal at the output of the inverting path is fed back to the gate of M1, boosting its transconductance. The resulting differential output is then applied to a multiplier. Simulation results show that gain of the LNA in Fig. 10 is 23 dB, and NF and IIP3 change within 2.8–4 dB and 0–5 dBm, respectively, for the frequency range of 57–354 MHz.

Fig. 10. Balun-LNA used for lowering NF of the proposed spectrum sensor. (W/L) M1 = (10.5/0.18 μm), (W/L) M2 = (127.9/0.18 μm), (W/L) M3 = (5.3/0.18 μm), (W/L) M4 = (144/0.18 μm), R1 = 477.3 , R2 = 446.7 , C AC1 = 3.8 pF, and C AC2 = 0.4 pF.

Fig. 11. Multiplier used for time limiting the incoming signal. (W/L) M1−4 = (4/0.18 μm), (W/L) M5−8 = (12/0.18 μm), and R1 − 2 = 4 k.

B. Multipliers Fig. 11 shows the multiplier used in the proposed architecture, which achieves simultaneous good noise and linearity performance [18]. In Fig. 11, M1–4 operate in the linear region, while M5–8 operate in the saturation. Current equation of the linear transistors M1–4 is proportional to the multiplication of their gate–source and drain–source voltage (IDS ∝ VGS VDS ). Size of M5–8 should be chosen at least three times the size of M1–4 to make M5–8 operate as source follower transistors, providing a multiplication of the signals applied to the gate of M1–4 and M5–8, both of which can be small signals [18]. The output of the balun-LNA is applied to the gates of M1–4, while the Gaussian pulse train is applied to the gates of M5–8, resulting a Gaussian shape periodic signal at the output of the multiplier (see Fig. 6). Same structure is used for the second multiplier, which multiplies the signal at the output of the phaser with a delayed version of the Gaussian pulse train. Simulation results show that each multiplier adds a 21.9-dB gain to the signal resulted from the multiplication of its inputs. Also, NF and IIP3 are simulated when a differential voltage of 42 mV is applied to the gates of M5–8 in Fig. 11 (728 mV for M5–6 and 770 mV for M7–8). The minimum NF and average IIP3 for the frequency range of 57–354 MHz is 15.4 dB and 16.3 dBm, respectively.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SEPIDBAND AND ENTESARI: CMOS REAL-TIME SPECTRUM SENSOR BASED ON PHASERS FOR CRs

Fig. 12. Block diagram of the proposed phaser. For OTA1−3 : (W/L)MN1−2 = (2.1/0.18 μm), (W/L)MP1−2 = (6/0.18 μm), (W/L)MN3 = (W/L)MN6 = (2.2/0.18 μm), (W/L)MP3 = (W/L)MP6 = (6/0.18 μm), (W/L)MN4−5 = (1.8/0.18 μm), (W/L)MP4−5 = (5/0.18 μm), RN1−2 = R P1−2 = 85 − 950 , C1 = 0 − 0.2 pF, C2 = 0.5 − 6 pF. For OTA4 : (W/L)MN1−2 = (6.3/0.18 μm), (W/L)MP1−2 = (18/0.18 μm), and other parameters are similar to OTA1−3 .

C. Phaser As mentioned earlier, a tunable BPF operates as a phaser in the proposed architecture. An active OTA-C biquad filter is preferred here based on the frequency of operation, 57–354 MHz. The structure shown in Fig. 12 [19] is a biquad OTA-C BPF, where ω0 , Q, and GD at the center frequency (GD0 ) can be written based on the filter parameters as  Gm1 Gm2 (18) ω0 = C1 C2 ω0 C2 (19) Gm3 2C2 (20) G D0 = Gm3 where Gm1 , Gm2 , and Gm3 are transconductances of OTA1 , OTA2 , and OTA3 in Fig. 12, respectively. Existence of Gm3 in (19) adds a degree of freedom in designing and tuning the filter. DC gain is OTA4 to OTA3 transconductance ratio (Gm4 /Gm3 ). Four biquads of Fig. 12 are used to create enough GD. All OTA cells have the same structure in the BPF of Fig. 12. Both transconductance of OTA cells and capacitors in the OTA-C filter are reconfigurable to provide the required tuning range. For simplicity, Gm 1 and Gm2 are chosen to be equal for all settings. While Gm1,2 , C1 , and C2 affect ω0 , Gm3 and C2 determine GD0 . To achieve the required ω0 , the value of C1 is minimized, for each frequency setting, to make the value of C2 more relaxed to provide high enough GD0 as C2 also appears in (20). Gm3 should change in the same direction of C2 to compensate GD0 variation to some degree. Since tuning Q=

7

Fig. 13. Tuning process flowchart (state 12 corresponds to the highest operating frequency).

Fig. 14.

Block diagram of the digital circuitry.

range of transconductance is inherently smaller than capacitor, GD0 cannot remain constant within the entire tuning range. Transistor sizing for OTA4 is chosen three times higher than OTA3 to maintain a gain of higher than one for each biquad stage. To preserve a nearly constant gain (∼1.5) in all settings, Gm4 is designed to track Gm 3 variations. For tuning the filter, first ω0 is tunned by Gm1,2 , C1 , and C2 variation, then GD0 is tunned by Gm3 variation. Q variation is determined by ω0 and GD0 variations (Q ∝ ω0 ×GD0 ). Fig. 13 shows the tuning process in a flowchart. An inverter-based structure [20] is used for the OTA cells as shown in Fig. 12, which has only an input and an output node making it suitable for operation in higher frequencies. INV3 − 6 make a high impedance load for differential gain [1/(GmINV4,5 − GmINV3,6 )] and a low impedance load for common-mode gain [1/(GmINV4,5 + GmINV3,6 )]. For higher differential gain, transconductance of INV3 and INV6 are chosen slightly larger than INV4 and INV5. Transconductance of OTAs are set by changing degenerative resistors in sources of INV1 − 2 using CMOS switches. A digital circuitry consisting of a counter and a lookup table is employed to provide all the controlling signals of the phaser (Fig. 14). Detection process involves changing the control signals between 12 different states corresponding to 12 channels in the frequency band. State transition is performed periodically using the signal “Clk” with period of TG , which is the same as the period of the Gaussian pulse

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 15. Simulated (a) GD and (b) magnitude of the phaser versus frequency.

train. In each period, phaser selects one specific channel and in 12 periods, phaser discriminates all the 12 channels in the frequency band with frequency resolution of 27 MHz. Here each defined channel corresponds to four channels in an 802.22 standard. The entire detection process can be performed periodically using the signal “reset” with the period of at least 12 × TG . Fig. 15 shows the simulated magnitude and GD response of the phaser for all states versus frequency. As illustrated in Fig. 15, GD variation is limited to 50–90-ns range, which can satisfy (12)–(17) if proper T and TG are chosen. Simulations show a minimum NF of 18.7 dB and an average IIP3 of 3.6 dBm for the phaser within frequency range of 57–354 MHz. Fig. 16 shows the transient simulation at different points of the proposed detector for a special case, evaluating the signal existence at channel three to five when Ch3 and Ch5 are occupied, while Ch4 is empty. In Fig. 16, transition of frequency difference to time difference and status of signal in each stage of transition is illustrated. IV. FABRICATION AND M EASUREMENT The proposed real-time spectrum sensor is fabricated using a 0.18-μm CMOS IBM technology. The overall area (including pads) and power consumption are 1.18 mm2 and 20 mW, respectively. Fig. 17 shows the fabricated IC microphotograph. In Fig. 18 the temporal measurement setup is shown, where the gray part is the integrated chip. For simplicity, a single tone for each channel rather than a wideband signal is used. Note that multiplying a single tone with a Gaussian pulse creates a wideband signal at the center frequency of the single tone, so there is no need to create a wideband signal for each channel at the input. BW of the resulted signal from

Fig. 16. Transient simulation of the detector for an input consisting of four tones located at f Ch3 , f Ch5 , f Ch8 , and f Ch12 (each with the power of −40 dBm) and the resulting output at f Ch3 to f Ch5 for the following points. (a) Input. (b) Gaussian pulse train. (c) Input of the phaser. (d) Output of the phaser. (e) Delayed Gaussian pulse train. (f) Output.

Fig. 17.

Die micrograph of the fabricated phaser-based spectrum sensor.

multiplication of the input and Gaussian pulse train (for each channel), which in this case is the same as the BW of the Gaussian pulse, should be smaller than the phaser frequency resolution. In Fig. 18, “In” is a multitone sinusoid coming from an Agilent E8267D PSG signal generator. It multiplies with a periodic Gaussian pulse coming from an arbitrary wave generator (AWG) (N8241A), which takes its data from a MATLAB code. The resulting signal passes through a tunable BP delay filter (phaser) with 12 states and then is multiplied by a delayed version of the Gaussian pulse, created from the same AWG. The resulted output is converted to a “1”–“0” pattern using an off-chip decision circuit, consisting

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SEPIDBAND AND ENTESARI: CMOS REAL-TIME SPECTRUM SENSOR BASED ON PHASERS FOR CRs

Fig. 18.

9

Temporal measurement setup.

of an amplifier, an envelope detector, and a comparator, implemented on a PCB, along with the integrated detector. A 2-Gb/s oscilloscope (54625A Infiniium Oscilloscope) is used to observe the results. For temporal measurement, based on the AWG symbol rate, the number of samples in the data coming from MATLAB, resolution of the oscilloscope, and channel spreading, period of the Gaussian pulses and clock signal, TG , is chosen to be 0.2048 μs, which leads to a sensing time of 12 × TG = 2.5 μs. Gaussian pulse duration is chosen to be 41 ns, which results in a BW of around 16 MHz (pulse duration is approximated by 6σ ), which is less than the frequency steps of 27 MHz. This sets a margin of 12 MHz for the input signal channel BW (considering the BW of the BPF). For GDMax = 90 ns, GDmin = 50 ns, GDavg = 70 ns,  f G = 16 MHz (T = 41 ns), and TG = 0.2048 μs, (12)–(17) are satisfied. The delayed Gaussian pulse can also be realized on-chip using a linear-phase APF, placed after the Gaussian pulse generator, with a GD of GDavg . From [16], a seventh-order equiripple all-pass delay filter with 0.5o phase error and f C of 7.3 MHz ( f C is the −3-dB cutoff frequency of the equivalent low-pass filter), creates a constant GD of 70 ns over a BW of 17.66 MHz, which is higher than the Gaussian pulse BW of 16 MHz. The realization of such filter can be performed by cascading one single pole and three biquad OTA-C filters. Fig. 19 shows measured output of the detector for four special cases. All channels cannot be applied simultaneously due to signal generator limitations. Input power levels in Fig. 19 are chosen in a way to avoid false detection, which will be explained later. NF is measured in a conventional way and the output is connected to an spectrum analyzer (Agilent E4446A PSA), however, to measure NF for each channel, phaser needs to operate in the state corresponding to that channel, without changing periodically, so that the frequency response of the detector does not change with time during measurement. Also, since the noisy signal exists in part of the period, TG , average number to the resolution BW ratio (Navg /BWres ) in the spectrum analyzer is chosen much higher than TG , which

Fig. 19. Temporal measurements at the output of the detector when input signal exists in (a) f Ch1 , f Ch2 , and f Ch3 , (b) f Ch4 , f Ch5 , and f Ch6 , (c) f Ch7 , f Ch8 , and f Ch9 , (d) f Ch9 , f Ch10 , f Ch11 , and f Ch12 (Pin = −30 dBm for each channel, VPeak,Gaussian = 42 mV).

results in a nearly static output power in the spectrum analyzer. NF of the detector changes between 3.8 and 5.1 dB for all channels. For IIP3 measurement, output is connected to an oscilloscope and a two-tone test is used for each channel in time domain. For a conventional two-tone test, two tones are chosen within a band in a way to produce the thirdorder intermodulation (IM3) products within the same band and the difference between the main tone and IM3 product determines IIP3. Here, the main tones and IM3 products cannot be measured in one single measurement and each should be measured separately; if the two tones are located in two adjacent channels and IIP3 is measured by looking at the output of the phaser at the adjacent channels (main tone) and main channel (IM3 product), the measured IIP3 would be unrealistically good. The reason behind this is that the IM3 product in the desired channel is resulted from two attenuated tones after passing through the BPF set to the center frequency of the desired channel, while each main tone in an adjacent channel shows the output of the phaser, when the BPF is set to the frequency of that channel. For measuring IIP3 for each channel without the attenuation effect of the BPF, the main tone and the IM3 product in the desired channel can be measured separately; for measuring the main tone in the desired channel, one single tone in that channel is applied to the system. For measuring the IM3 product in the desired channel, two tones are located out of the channel in a way to produce an IM3 product within the desired channel; however, the input power of these two tones are chosen higher than that of the first measurement to compensate for the attenuation created by the BPF (when it is set to the desired channel). Fig. 20 shows the method of measuring IIP3 in time domain

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

Fig. 20. Measured output at f Ch1 for (a) input power of −20 dBm located at 57 MHz and (b) for two tones with the same power (after attenuation) located at 178.5 and 300 MHz.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 22. Measured detected power and the detection error at the output of the envelope detector versus input power.

Fig. 21. Simulated and measured (a) NF versus channel number and (b) IIP3 versus channel number.

for the first channel ( f Ch1 = 57 MHz). Fig. 20(a) shows the output of Ch1 in time domain for an input with a power of −20 dBm located at fCh1 , while Fig. 20(b) shows the resulting IM3 product at f Ch1 from two input tones located at 178.5 and300 MHz, each with an effective power of −20 dBm (after attenuation by the BPF). After measuring voltage of both main and IM3 products, following equation is used to calculate IIP3:   v out (21) IIP3 = Pin + 10 log v IM3,out which leads to an IIP3 of −3.8 dBm for the first channel. IIP3 changes between −3.8 and 2.7 dBm for this detector over the 57–354 MHz band. Fig. 21 shows the simulated and measured NF and IIP3 for each channel. Based on Fig. 21, measured values match with the simulation results. Fig. 22 shows the detected power and the detection error at the output of the offchip envelope detector versus input power for channel 7 (center frequency of 219 MHz). Note that the RC filter in the envelope detector smooths the detected power variation by averaging. Gain of the offchip amplifier for Fig. 22 is set to 21 dB. As shown in Fig. 22, the detection dynamic range (DR) is 71.5 dB (−85.3 ∼−13.8 dBm) within ±1-dB error. Sensitivity and 1-dB compression point change between −85.9 to −84.6 dBm and −17.3 to −11.5 dBm, respectively, resulting in a DR of 68.6 to 73.1 dB from channels 1 to 12. False detection is a scenario in which the signal does not exist in the intended channel but it exists in the adjacent channel and the detector falsely indicates the presence of the signal in the intended channel. For continuous time signal detection, false alarm probability (PFA ) is: PFA = 2 2 e−γ /2σ [21], where γ is the comparator threshold voltage and

Fig. 23. Minimum input power, which leads to a false detection versus channel number.

σ 2 is the estimated noise power. A 3-dB noise uncertainty is considered for σ 2 estimation [22]. For PFA of 10%, threshold voltage is: γ = 2.146σ . To have a PFA of 10% and a detection probability (PD ) of better than 90%, a signal to noise ratio of higher than 7.2 dB is required, when a continuous time decision circuit, based on ED is employed to test the functionality of the integrated detector [21]. Fig. 23 shows the minimum input power in an adjacent channel leading to a false detection (PFA = %10) versus channel number, when the input to the phaser has a channel BW of 16 MHz (signal BW + Gaussian pulse BW). For example, if the signal level in channel number “4” or “6” exceeds −23 dBm, there might be a false detection in channel number “5.” The variation in Fig. 23 comes from different GD, frequency response, and BW of each state (see Fig. 15). Fig. 23 interprets to a tolerable interference-tonoise ratio (INR) of 72 to 87 dB, which is hard to achieve using conventional magnitude-based spectrum sensors (INRMax is 30 dB in [10] and [11]). Table I shows the performance summary of the proposed real-time spectrum sensor in comparison to the state-of-the-art spectrum sensors. Real-time spectrum sensing in [3] and [9] is performed using a wideband fast-sweeping frequency synthesizer, an RF front-end, and a wavelet-based spectrumsensing block. In [10] and [11] it is performed using a digital processor, assuming the input data is a BB signal in digital domain, and lastly in [23] it is performed using a dualmode detection technique (ED and correlation detection as

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. SEPIDBAND AND ENTESARI: CMOS REAL-TIME SPECTRUM SENSOR BASED ON PHASERS FOR CRs

11

TABLE I P ERFORMANCE S UMMARY AND C OMPARISON OF THE P ROPOSED S PECTRUM S ENSOR W ITH P REVIOUSLY R EPORTED S PECTRUM S ENSORS

coarse and fine-tuning techniques, respectively)after an RF front-end with frequency down-conversion and BB filtering. However, [23] uses a software for spectrum-sensing blocks with no actual circuits. The method in [10] and [11] requires using an RF front-end and a high BW ADC to operate with RF signals and is not applicable to very wideband applications. The need for a wideband frequency synthesizer for conventional spectrum sensors, such as [3], [4], [7], [9], and [23] and a wideband ADC for [10] and [11], makes the proposed method outperform previous methods in terms of complexity and power consumption. However, the reason of not having a high power consumption in [10] and [11] is that the wideband ADC contribution is not considered in the system performance. Sensing time depends on the target BW and frequency resolution, which are different for all the works in Table I. So a new parameter is employed in Table I to evaluate the sensing time performance as: Sensing Time.Resolution/BW. This parameter for the proposed method is far below the conventional techniques. Also the high IIP3 of the proposed technique compared to other methods shows the effect of removing frequency down-conversion in phaserbased spectrum sensing. On the other hand, phaser-based spectrum sensors cannot achieve the frequency resolution of conventional spectrum sensors, unless a high Q tunable phaser is used, to have higher GDs, leading to higher sensing time. This makes them mostly suitable for interferer detection. V. C ONCLUSION An integrated real-time spectrum sensor has been described and fabricated in a 0.18-μm CMOS IBM technology, which achieves a low sensing time, while preserving a good noise and linearity performance. The proposed phaser-based method,

which employs both magnitude and GD characteristics of BPFs, simplifies real-time spectrum sensing for a wideband signal, accomplishing a low area and power consumption. It achieves a sensing time of 2.5 μs for a frequency range of 57–354 MHz with 27-MHz resolution and a power consumption of 20 mW. R EFERENCES [1] IEEE Standard for Information Technology—Local and Metropolitan Area Networks—Specific Requirements—Part 22: Cognitive Wireless RAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications: Policies and Procedures for Operation in the TV Bands, IEEE Standard 802.22-2011, Jul. 2011, pp. 1–680, doi: 10.1109/ IEEESTD.2011.5951707. [2] Third Memorandum Opinion and Order, in the Matter of Unlicensed Operation in the TV Broadcast Bands (ET Docket no. 04-186) and Additional Spectrum for Unlicensed Devices Below 900 MHz and in the 3 GHz Band (ET Docket no. 02-380), FCC 12-36, document 20554, Federal Commun. Commission, 2012. [3] T. Song et al., “A 122-mW low-power multiresolution spectrum-sensing IC with self-deactivated partial swing techniques,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 57, no. 3, pp. 188–192, Mar. 2010. [4] M. Kitsunezuka, H. Kodama, N. Oshima, K. Kunihiro, T. Maeda, and M. Fukaishi, “A 30-MHz–2.4-GHz CMOS receiver with integrated RF filter and dynamic-range-scalable energy detector for cognitive radio systems,” IEEE J. Solid-State Circuits, vol. 47, no. 5, pp. 1084–1093, May 2012. [5] Y. Zhang, A. Meaamar, and Y. Zheng, “A cognitive radio receiver frontend IC based on spread spectrum sensing technique,” in Proc. IEEE Int. Symp. Circuits Syst. (ISCAS), May 2013, pp. 61–64. [6] H.-C. Chen, M.-Y. Yen, and K.-J. Chang, “Searching for spectrum holes: A 400–800 MHz spectrum sensing system,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 23, no. 12, pp. 2842–2851, Dec. 2015. [7] P. Sepidband and K. Entesari, “A CMOS spectrum sensor based on quasi-cyclostationary feature detection for cognitive radios,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4098–4109, Dec. 2015. [8] V. Khatri and G. Banerjee, “A mitigation technique for harmonic downconversion in wideband spectrum sensors,” IEEE Trans. Instrum. Meas., vol. 64, no. 12, pp. 3226–3238, Dec. 2015.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 12

[9] N.-S. Kim and J. M. Rabaey, “A 3.1–10.6 GHz wavelet-based dualresolution spectrum sensing with harmonic rejection mixers,” in Proc. Eur. Solid-State Circuits Conf. (ESSCIRC), Sep. 2015, pp. 303–306. [10] T. H. Yu, O. Sekkat, S. Rodriguez-Parera, D. Markovic, and D. Cabric, “A wideband spectrum-sensing processor with adaptive detection threshold and sensing time,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 58, no. 11, pp. 2765–2775, Nov. 2011. [11] T. H. Yu, C. H. Yang, D. Cabric, and D. Markovic, “A 7.4-mW 200-MS/s wideband spectrum sensing digital baseband processor for cognitive radios,” IEEE J. Solid-State Circuits, vol. 47, no. 9, pp. 2235–2245, Sep. 2012. [12] B. Nikfal, D. Badiere, M. Repeta, B. Deforge, S. Gupta, and C. Caloz, “Distortion-less real-time spectrum sniffing based on a stepped groupdelay phaser,” IEEE Microw. Wireless Compon. Lett., vol. 22, no. 11, pp. 601–603, Nov. 2012. [13] C. Caloz, S. Gupta, Q. Zhang, and B. Nikfal, “Analog signal processing: A possible alternative or complement to dominantly digital radio schemes,” IEEE Microw. Mag., vol. 14, no. 6, pp. 87–103, Sep./Oct. 2013. [14] P. Sepidband and K. Entesari, “A phaser-based real-time CMOS spectrum sensor for cognitive radios,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), May 2016, pp. 274–277. [15] B. Nikfal, S. Gupta, and C. Caloz, “Increased group-delay slope loop system for enhanced-resolution analog signal processing,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 6, pp. 1622–1628, Jun. 2011. [16] R. Schaumann, Design of Analog Filters. Oxford, U.K.: Oxford Univ. Press, 2001. [17] J. Kim and J. Silva-Martinez, “Wideband inductorless balun-LNA employing feedback for low-power low-voltage applications,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2833–2842, Sep. 2012. [18] G. Han and E. Sanchez-Sinencio, “CMOS transconductance multipliers: A tutorial,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 45, no. 12, pp. 1550–1563, Dec. 1998. [19] K. L. Su, Analog Filters. New York, NY, USA: Springer, 2002. [20] B. Nauta, “A CMOS transconductance-C filter technique for very high frequencies,” IEEE J. Solid-State Circuits, vol. 27, no. 2, pp. 142–153, Feb. 1992. [21] M. G. Anderson, Design of Multiple Frequency Continuous Wave Radar Hardware and Micro-Doppler Based Detection and Classification Algorithms. Ann Arbor, MI, USA: ProQuest, 2008. [22] R. Tandra and A. Sahai, “SNR walls for signal detection,” IEEE J. Sel. Topics Signal Process., vol. 2, no. 1, pp. 4–17, Feb. 2008. [23] X. Xiao and B. Nikolic, “A dual-mode, correlation-based spectrum sensing receiver for TV white space applications achieving −104 dBm sensitivity,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), Jun. 2014, pp. 317–320.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Paria Sepidband (S’15) received the B.Sc. degree in electrical engineering from the University of Tehran, Tehran, Iran, in 2010, and the M.Sc. degree in electrical engineering from the Sharif University of Technology, Tehran, in 2012, and the Ph.D. degree in electrical engineering from Texas A&M University, College Station, TX, USA, in 2017. She is currently with the Internet of Things (IoT) Group, Cypress Semiconductor Corporation, San Jose, CA, USA, where she is involved in next-generation WLAN/Bluetooth radios for IoT applications.

Kamran Entesari (M’06–SM’16) received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 1995, the M.S. degree in electrical engineering from the Tehran Polytechnic University, Tehran, in 1999, and the Ph.D. degree from the University of Michigan, Ann Arbor, MI, USA, in 2005. In 2006, he joined the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX, USA, where he is currently an Associate Professor. His current research interests include RF/microwave/millimeter-wave integrated circuits and systems, microwave chemical/biochemical sensing for the laboratory-on-chip applications, reconfigurable RF/microwave antennas and filters, and RF photonics. Prof. Entesari is a Technical Program Committee member of the IEEE RFIC Symposium. He was a recipient of the 2017 Qualcomm Faculty Award and the 2011 National Science Foundation CAREER Award. He was a co-recipient of the 2009 Semiconductor Research Corporation Design Contest Second Project Award, the Best Student Paper Award of the IEEE RFIC Symposium in 2014 (Second Place), the IEEE Microwave Theory and Techniques Society International Microwave Symposium in 2011 (Third Place), and the IEEE AP-S Symposium in 2013 (Honorable Mention). He is an Associate Editor for IEEE M ICROWAVE AND W IRELESS C OMPONENTS L ETTERS .

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1273

Quasi-Optical Input Mode Coupler for a Ka-Band Multimegawatt Gyroklystron Edward B. Abubakirov, Yuriy M. Guznov, Sergey V. Kuzikov, A. S. Shevchenko, Alexander A. Vikharev , and S. A. Zapevalov

Abstract— We report a design of a new quasi-optical input coupler developed for the 35-GHz two-cavity gyroklystron. The coupler is a section of longitudinally corrugated waveguide placed between two cutoff irises. It operates with an eigenmode being a superposition of the rotating on azimuth TE7,4 and TE13,2 modes in the input cavity. The corrugated cavity is designed with holes in the walls to ensure necessary mode selection, because in a broad frequency band the operating eigenmode only does not have fields at the holes. In experiments, the gyroklystron equipped with this coupler has shown a peak output power of 4.2 MW with a nearly 1-µs pulse duration, a power gain of 24 dB, and an efficiency of about 15%. Index Terms— Gyroklystron, high-power microwaves, quasioptical mode coupler.

I. I NTRODUCTION

M

ILLIMETER-wave gyroklystrons driven by relativistic electron beams formed by magnetroinjection guns are capable of generating multimegawatt radiation. That is why such amplifiers can be considered as promising sources for a new generation of high-gradient particle accelerators [1]–[6]. In a gyroklystron, particles move along the device axis and rotate in azimuth with the gyrofrequency ωc in the axial magnetic field, which is in electron-cyclotron resonance with the RF field of the frequency ω (ω ≈ ωc ). Usually, multicavity gyroklystrons, where external RF power modulates the electron beam in the first input cavity, and this beam radiates the amplified RF power in the last cavity, operate with relatively low-order modes with zero azimuth indices (e.g., TE0,1,1 or TE0,2,1) [7]–[10]. Recently, a series of highpower amplifiers at frequencies of 30–35 GHz has been realized successfully. The operating modes in the output cavities of these devices are high-order TEm,n,1 modes (azimuth index m = 5, 6, and 7; radial index n = 3) [11]–[14]. The obtained output power was as high as 15 MW for pulse duration Manuscript received February 17, 2017; revised August 28, 2017; accepted October 27, 2017. Date of publication December 4, 2017; date of current version March 5, 2018. This work was supported by the Russian Science Foundation under Grant 16-19-10448. (Corresponding author: Alexander A. Vikharev.) E. B. Abubakirov, Y. M. Guznov, A. S. Shevchenko, A. A. Vikharev, and S. A. Zapevalov are with the Institute of Applied Physics, Russian Academy of Sciences, 603950 Nizhny Novgorod, Russia (e-mail: [email protected]). S. V. Kuzikov is with the Advanced School of General and Applied Physics (ASGAP), Institute of Applied Physics, Russian Academy of Sciences, 603950 Nizhny Novgorod, Russia, and also with the State University of Nizhny Novgorod, Nizhny Novgorod 603950, Russia (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2017.2772917

of about 1 μs. Note that at this power level, breakdown events were observed sometimes. In the input cavities of these gyroklystrons, the operating modes were TEm,1,1 (m = 5, 6, and 7), correspondingly. These modes have fields near the wall only. Therefore, they were easily excited by single-mode waveguides using simple couplers in the form of a junction of the incident-wave waveguide and the cylindrical input cavity of the gyroklystron [14]. In order to enhance the output power, one should stay below the breakdown threshold, i.e., to use higher order modes. To increase the operating frequency of gyroklystrons, these high-order modes must have a high radial index (n > 1) to avoid problems with beam transportation in the vicinity of a cavity wall. The above-mentioned conditions do not allow one to implement the design of the existing input mode coupler. Design of input mode couplers for the necessary higher order modes (n > 1) requires application of quasi-optical principles for suppression of excitation of undesirable spurious modes in an essentially multimode cavity. For a multimode cavity, it is appealing to use an idea of a so-called quasi-optical multimirror gyrotron, where the operating cavity is actually an open resonator which demonstrates excellent mode selection properties [15], [16]. The only disadvantage of that design is that the eigenmode has the structure of a standing wave. Such a structure means that the electron beam interacts with a nonuniform field, and some electrons contribute weakly to the electron-cyclotron interaction with the cavity mode. In order to solve this problem, we propose a new quasi-cylindrical resonator having a weak wall corrugation. All eigenmodes in such a corrugated resonator can be considered as the result of interaction of partial modes of a smooth (noncorrugated) cylindrical cavity. From this point of view, the necessary eigenmode (normal mode) can be regarded as a traveling mode which exists due to focusing mirrors on the cavity wall formed by the corrugation. Between these mirrors, wide mode selection holes should be made. Such design naturally allows optimization of the focusing strength of the mirrors, which depends on the corrugation amplitude and is required to obtain an isolated high-quality eigenmode. This eigenmode should have a sufficiently strong field modulation near the wall, so that the field of this mode is close to zero at the mentioned holes. That is why, diffraction losses of the operating mode are low, and the quality factor is not sensitive to the presence of wall holes. The longitudinal corrugation with the number of periods M, which is equal to the difference of the azimuth

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

indices of the coupled partial modes, produces the necessary field modulation on the wall. The highest value of the quality factor, which is acceptable for the operating mode, depends on a condition of avoidance of self-excitation threating any amplifier. The starting current Is depends on the mode quality factor Q, the coupling factor, and the interaction length (cavity length) L, so that Ist ∼ (1/Q) · (λ/L)2 , where λ is the wavelength in free space [14]. For our voltage of 300 kV and a beam current of 100 A, the highest quality factor at modes TEm,1,1 was as high as approximately 150 in the cavities of the tested gyroklystron being two wavelengths long. This value was considered as a reference value for the new input resonator described in this paper. We decided to increase the quality factor from 150 up to 250 and, at the same time, shorten the cavity length down to 1.5 wavelengths, in order to keep the same starting current approximately in accordance with the cited formula. The quality factors of all other modes, which can interact with the beam in the first cavity, should be as low as possible. As it was already mentioned, we also need a nearly uniform field distribution for the eigenmode at the radius where the electron beam travels. In order to satisfy this condition, we propose to produce the necessary eigenmode consisting of two coupled partial modes with radial indices differing by more than unity. Since the difference between the radial indices is large, the electron beam launched in the field, maximum of the partial mode with the highest radial index, stays in the uniform field of this mode only. Correspondingly, the beam does not stay in either the field of the second mode or in any field interference of the both partial modes. In particular, we propose to choose the TE13,2 and TE7,4 partial modes to obtain the necessary normal eigenmode with a quality factor being much higher than the quality factors of the nearest spurious modes. This desired eigenmode can be excited by a horn through the perforated side wall. This paper consists of four parts. Explaining in the introduction the main ideas for the quasi-optical coupler concept, and we describe particular features of the design and calculation methods in the second part of this paper. The third part is dedicated to carry out low-power tests. In the final part, results of high-power tests are reported. II. C ALCULATION OF THE Q UASI -O PTICAL C OUPLER Let us start with consideration of eigenmodes in an infinitely long waveguide with the longitudinal corrugation described in the polar system of coordinates (r, φ) by the equation R(φ) = R0 + a · cos(Mφ), where R0 is the average radius, a is the corrugation amplitude, and φ is the polar angle. The corrugation with the periodicity corresponding to M = 6 couples the TE13,2 and TE7,4 partial modes with their normalized eigenvalues μ = k R0 in smooth circular crosssectional waveguide being close to each other (μTE7,4 = 19.94 and μTE13,2 = 19.88). The two coupled partial modes in the corrugated waveguide produce two normal eigenmodes inevitably. We use only one of these eigenmodes, namely, TE13,2, as the operating mode in the input cavity. The second mode should be considered as a spurious one. The increase in

Fig. 1. Eigenvalues of normal modes TE7,4 and TE13,2 in a waveguide with a longitudinal corrugation as a function of the normalized corrugation amplitude.

the corrugation amplitude a yields an increase in the difference between the above-mentioned eigenvalues. This is confirmed by Fig. 1, where the eigenvalues are plotted as a function of the dimensionless corrugation amplitude using the integral equation formalism [17]. Note that while the amplitude a exceeds zero, both eigenmodes (normal modes) consists of the both partial modes, but the field structures of the eigenmodes are different due to their different amplitudes and phases of the partial contributors. Generally, the larger the corrugation amplitude is, the larger is the field modulation at the waveguide wall. The field structures of the both considered modes are shown in Fig. 2 for a/R0 = 0.015, the white dashed curves in Fig. 2 show the shape of the corrugated walls. This value of the corrugation amplitude is remarkable, because it provides such a strong modulation level that in some areas the field magnitude for the TE13,2 eigenmode (operating mode) becomes zero [Fig. 2(b)]. In these areas, one can install absorbers or make holes in the wall without an essential decrease in the quality factor of the operating mode. The electron beam is injected into this mode at the radius corresponding to the caustic for the partial TE7,4 mode. In this case, all beam fractions are loaded uniformly. [The black dashed circle in Fig. 2(b) shows the electron beam schematically.] Note that the second normal eigenmode TE7,4 has field maxima in the wall areas, where the TE13,2 eigenmode has its minima. That is why the TE7,4 mode gets the highest diffraction losses in the presence of the abovementioned holes in comparison with the TE13,2 eigenmode. Fig. 3 shows the operating TE13,2 mode in a waveguide with six absorbers (ε = 1 and tg δ = 1) simulating the holes. The absorber width in the polar coordinates is φ = 18°, and the depth is 3 mm. Fig. 3 was calculated using the CST Microwave Studio code. In Fig. 3, one can see the so-called sine-shaped mode, i.e., the field dependence on azimuth is proportional to sin(mφ). There is, of course, the degenerated cos-like mode. [The field is proportional to cos(mφ).] By mixing these modes, one can easily construct either a co- or a counter-rotating mode with respect to electron rotation. The quality factor of the mode in Fig. 3 in an infinitely long corrugated waveguide with holes is as high as 950, but the quality factor of the second mode TE7,4 , which is actually the spurious mode, in the same waveguide does not exceed 15.

ABUBAKIROV et al.: QUASI-OPTICAL INPUT MODE COUPLER

1275

Fig. 4. Field structure excited in the designed quasi-optical mode coupler. (Half of the coupler is shown on the left side.)

Fig. 2. Field structures of the normal modes (a) TE7,4 and (b) TE13,2 in a waveguide with the relative corrugation amplitude a/R0 = 0.015. Fig. 5. Reflection loss in ports 1 and 2, and total losses in these ports versus frequency.

Fig. 3. TE13,2 (sine like) field structure in a waveguide with 18°-wide wall holes and the relative corrugation amplitude a/R0 = 0.015.

The 35.58-GHz input coupler was designed on the basis of the above-considered corrugated waveguide with six modeselection holes (Fig. 4). The length of the regular section in the resonator is 10 mm, the average radius of this section is 27.08 mm, the corrugation amplitude is 0.406 mm, the smallest diameter (at the iris) is 46 mm, the iris length is 4 mm, and the distance between the irises is 42 mm. The resonator is fed via port 1 by means of a launcher based on a TE10 rectangular (10 × 10 mm2 ) waveguide. Coupling with the operating mode in the resonator is provided by a semitransparent grating. This configuration of the coupler with two ports allows one, first, to minimize reflection in port 1 in order to avoid the influence of the reflected power on the RF

source (magnetron). Second, the two-port configuration allows one to minimize an excitation of the eigenmode of the opposite rotation. The optimized period of the grating is 3.5 mm, and the gap between the grating rods is 0.5 mm. These parameters ensure that a greater part of the incoming power enters the resonator (the power leakage is 5% and 25% in ports 1 and 2, respectively) as shown in Fig. 5. Rather high losses in port 2 are caused by the fact that the described simple TE10 mode launcher is not well matched with the distribution of the eigenmode field at the curved coupling grating. Fine matching is required to prepare proper mode superposition at the output cut of the launcher, but we did not have enough space to install a more efficient and longer launcher around the first resonator surrounded by the solenoid. The loaded quality factor of the operating mode taking into account the coupling, Ohmic, and diffraction losses is as high as Q l = 250, which agrees well with the desired value discussed in the introduction. III. L OW-P OWER T EST The 35.58-GHz input mode coupler was comprised by a main cavity made of copper with a launching waveguide with a rectangular cross section brazed to it (Fig. 6). The grating was produced by using the electroerosion technology. In order to prove that the operating mode is excited efficiently in the tested mode coupler, we fed it via a transmitting horn based on a rectangular waveguide. The receiving antenna in the form of a single-mode rectangular waveguide was

1276

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Photograph of the input mode coupler.

Fig. 7. (a) Scheme of measurements, (b) simulated model, and (c) photograph of measurement scheme. 1—Input launcher. 2—Test resonator. 3—Receiving waveguide. 4—Oscillograph.

inserted a little way into the coupler resonator at radial position correspondent to the caustic radius of the TE7,4 operating mode (Rr = R0 × 7/μTE7,4), so that we could measure the field of the operating mode inside cavity (Fig. 7). In Fig. 7(a), one can see sketch of the measurements. Because the receiving waveguide inevitably perturbed the field to be measured, we also simulated the expected receiving signal by means of CST Microwave Studio [Fig. 7(b)]. The signal of the receiving antenna was calibrated in such a way, that it was equal to unity, only if the total incident power of the input horn was guided into the antenna. In our scheme, the receiving antenna could only catch very small part of the incident RF radiation. Executing measurements, we slightly inclined the receiving

Fig. 8. Response of the tested gyroklystron coupler for different positions of the receiving antenna. 1—measurement where receiver is pushed to  = 4 mm inside the cavity, 2—measurement ( = 2 mm), 3—measurement ( = 0 mm), and 4—calculation by CST Microwave Studio ( = 0 mm).

waveguide to be closer to Brillouin angle of the TE7,4 mode, in order to increase the signal. A typical signal received near the operating frequency was as high as −17 dB at the maximum (Fig. 8). In Fig. 8, three curves corresponding to different positions  of the receiving antenna are shown. The deeper was the position of the receiving antenna in the test resonator, the higher signal was registered. However, a deeper position means that more radiation appears due to scattering at the receiving waveguide itself. That is why, in order to achieve the best measurement accuracy, the resonant frequency of the coupler should be measured using curve 3 in Fig. 8, which corresponds to the farthest position of the receiving antenna. In accordance with Fig. 8, curve 3 has a maximum at 35.60 GHz, which is not far from the calculated value, and the quality factor is estimated as high as 240. One can see that in the frequency band about 2 GHz near the operating frequency there are no high-quality resonances. Two resonances exist at frequencies of 34.75 and 36.8 GHz, respectively (Fig. 8). The first resonance was identified as a mode consisting of the TE6,4,1 and TE12,2,1 partial modes. The high quality factor is explained by the fact that this mode has a structure being very similar to that of the operating mode, so that it also has near-to-zero fields at the wall holes. The second abovementioned resonance is interpreted as the nonrotating TE6,5,1 mode, which has such a structure that the fields at the centers of the holes are again equal to zero. IV. H IGH -P OWER E XPERIMENT High-power experiments were performed on the basis of the previously developed and tested relativistic gyroklystron [13], which was operated within the 8-mm wavelength band at a sequence of the TE7,1,1 and TE7,3,1 modes of circular cavities. For the current research, the input cavity of the design was replaced with the new system described above. The TE7,3,1 cavity was kept at the gyroklystron output. Thus, the gyroklystron had the two-cavity configuration (Fig. 9), and it was driven by an electron beam emitted by a 300 kV/100 A gun operated at a repetition rate of up to 10 Hz. The input mode coupler was fed by means of a magnetron with the maximum power of the RF signal being equal to 14 kW.

ABUBAKIROV et al.: QUASI-OPTICAL INPUT MODE COUPLER

Fig. 9. (a) Gyroklystron scheme and (b) photograph of the gyroklystron. 1—cathode, 2—anode, 3—supplemental solenoid, 4—input waveguide, 5—input cavity, 6—solenoid of cryomagnet, 7—drift tube, 8—magnetron, 9—output cavity, 10—collector, 11—output window, and 12—launcher.

1277

the frequency difference between the measured signal and the signal of the heterodyne ( f g = 35.276 GHz). The output power of the gyroklystron was measured by means of a calorimeter. In the amplification regime, the measured output power was at a megawatt level, and the power gain was equal to 24 dB. In this regime, we observed that the reverse magnetic field of the solenoid with respect to the nominal value, i.e., H = −Hnom , caused a dramatic decrease in the output power as compared with the nominal magnetic field (H = +Hnom ). This confirmed that we excited a rotating operating mode with a low level of the counter-rotating power in the input mode coupler. The frequency band, in which amplification of the input signal could be identified reliably, was as broad as 46 MHz. Inside this frequency band, the maximum of the output signal was as high as 4.2 MW. In general, these data coincide with those obtained using the previously developed and tested base version of the gyroklystron, but demonstrated a significantly higher input mode purity. Note that the regimes of locked oscillations in this device can provide higher operation efficiency and output power, accordingly [13]. However, in this experimental run, which was devoted mostly to the investigation of the properties of a new mode launcher, these regimes were not investigated. V. C ONCLUSION

Fig. 10. Cathode voltage (curve 1), current (2), input signal envelope (3), and output signal (4) in regime of input signal amplification.

The carried-out calculations and experiments show that a new design of quasi-optical input coupler, which is based on feeding the longitudinally corrugated cavity with selective holes with a wavebeam, is robust, rather compact and can provide good gyroklystron’s output parameters. In particular, in the experiments with the 35-GHz gyroklystron and the installed coupler, an efficiency of ∼70% and amplification of a 14-kW input signal to an output power of 4.2 MW were achieved in the single-mode regime for the frequency band as broad as about 50 MHz. Couplers of such a type can be used in a future higher mode gyroklystron operated in the millimeter or submillimeter wavelength band where the proposed quasi-optical design is most natural. ACKNOWLEDGMENT The authors would like to thank Dr. M.E. Plotkin for constructive discussions of this paper. R EFERENCES

Fig. 11. Spectra of the input and output signals (curve 1 and curve 2, respectively) in the regime of input signal amplification.

In the amplification regime, the output signal was absent until the input signal was zero. The output signal appeared when the input signal exceeded 1 kW. Typical waveforms of the voltage, current, and input–output signals are shown in Fig. 10. The spectra of the input and output signals were measured using the heterodyne technique. In the described regime of amplification, these spectra were close to each other and had maxima at the same frequency (Fig. 11). In Fig. 11, one can see the signal spectra as functions of

[1] V. L. Granatstein and W. Lawson, “Gyro-amplifiers as candidate RF drivers for TeV linear colliders,” IEEE Trans. Plasma Sci., vol. 24, no. 3, pp. 648–665, Jun. 1996. [2] W. Lawson et al., “High-power operation of a three-cavity X-band coaxial gyroklystron,” Phys. Rev. Lett., vol. 81, no. 14, pp. 3030–3033, Oct. 1998. [3] W. Lawson, R. L. Ives, J. Neilson, and M. E. Read, “Design of a 10 MW, 91.392 GHz gyroklystron for advanced accelerators,” in Proc EPAC, Vienna, Austria, 2000, pp. 2081–2083. [4] S. Gold, “Overview of advanced, non-klystron RF sources,” in Proc. Snowmass, Snowmass, CO, USA, 2001, pp. 1–5. [5] M. R. Arjona and W. Lawson, “Design of a 95 GHz, multi-megawatt gyroklystron amplifier for advanced accelerators,” in Proc. PAC, vol. 2. Portland, OR, USA, 2003, pp. 1787–1789. [6] J. L. Hirshfield, “Millimeter-wave RF sources for accelerator applications,” in Proc. AIP Conf., vol. 647. Mandalay Beach, CA, USA, 2002, pp. 29–38.

1278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

[7] M. Garven, J. P. Calame, K. T. Nguyen, B. G. Danly, B. Levash, and F. N. Wood, “Experimental studies of a four-cavity, 35 GHz gyroklystron amplifier,” IEEE Trans. Plasma Sci., vol. 28, no. 3, pp. 672–680, Jun. 2000. [8] M. Blank, B. G. Danly, and B. Levush, “Experimental demonstration of W-band gyroklystron amplifiers with improved gain and efficiency,” IEEE Trans. Plasma Sci., vol. 28, no. 3, pp. 706–712, Jun. 2000. [9] W. Lawson, S. Gouveia, B. Hogan, and V. L. Granatstein, “Experimental results of a four-cavity 17 GHz gyroklystron,” in Proc. IEEE Int. Vac. Electron. Conf., Seoul, South Korea, May 2003, pp. 344–345. [10] E. V. Zasypkin, I. G. Gachev, and I. I. Antakov, “Experimental study of a W-band Gyroklystron amplifier operated in the high-order TE021 cavity mode,” Radiophys. Quantum Electron., vol. 55, no. 5, pp. 309–317, Oct. 2012. [11] N. I. Zaitsev et al., “Pulsed high-order volume mode gyroklystron,” Radiophys. Quantum Electron., vol. 48, nos. 10–11, pp. 737–740, Oct. 2005. [12] Y. M. Guznov et al., “Megawatt-power Ka-band gyroklystron oscillator with external feedback,” Appl. Phys. Lett., vol. 103, no. 17, p. 173505, Oct. 2013. [13] N. I. Zaitsev, Y. M. Guznov, S. V. Kuzikov, M. E. Plotkin, A. S. Shevchenko, and E. M. Tai, “Experimental investigation of the relativistic millimeter-wave gyroklystron,” Radiophys. Quantum Electron., vol. 56, nos. 8–9, pp. 492–496, Jan. 2014. [14] S. V. Kuzikov, M. E. Plotkin, and N. I. Zaitsev, “Method for excitation of a high-order spatial mode in the input cavity of gyroklystron,” J. Commun. Technol. Electron., vol. 59, no. 1, pp. 71–76, Jan. 2014. [15] P. Sprangle, J. L. Vomvoridis, and W. M. Manheimer, “Theory of the quasioptical electron cyclotron maser,” Phys. Rev. A, Gen. Phys., vol. 23, no. 6, pp. 3127–3138, Jun. 1981. [16] M. E. Read, M. Q. Tran, J. McAdoo, and M. Barsanti, “Experimental study of a 115 GHz quasi-optical gyrotron with a large cavity,” Int. J. Electron., vol. 65, no. 3, pp. 309–325, 1988. [17] G. G. Denisov and S. V. Kuzikov, “Eigenmodes evolution due to changing the shape of the waveguide cross-section,” Int. J. Infr. Millim. Waves, vol. 18, no. 3, pp. 733–744, Mar. 1997.

Edward B. Abubakirov was born in 1957. He received the Diploma degree (cum laude) in radiophysics and electronics from the State University of Nizhny Novgorod, Nizhny Novgorod, Russia, in 1980, and the Ph.D. and Dr.Sc. degrees in physical electronics from the Institute of Applied Physics (IAP), Russian Academy of Sciences, Nizhny Novgorod, in 1990 and 2007, respectively. In 1980, he joined IAP as a Researcher and then as a Senior Researcher, a Head of the Laboratory, and a Leading Researcher. Since 2014, he has been a Head of the group that carries out a research on relativistic gyrotrons and gyroklystrons with IAP. His current research interests include high-power microwave electronics, microwave electrodynamics, and physics of electron beams. Dr. Abubakirov was a recipient of the Lenin Komsomol Prize for the study on high-power millimeter-wave generators in 1987.

Yuriy M. Guznov was born in Nizhny Novgorod, Russia, in 1987. He received the B.S. degree in radiophysics and electronics from the State University of Nizhny Novgorod, Nizhny Novgorod. He joined the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, as an Engineer in 2012. He has been a Junior Researcher since 2014. His current research interests include high-power microwave electronics.

Sergey V. Kuzikov was born in Gorky, Russia, in 1968. He received the M.S. degree in electrophysical engineering from Nizhny Novgorod Polytechnical University, Nizhny Novgorod, Russia, in 1991, and the Ph.D. degree in radiophysics and Doctor of Science degree from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, in 1998 and 2015, respectively. Since 1991, he has been a Junior Research, a Scientific Research, a Senior Research, and a Head of the Laboratory with the Institute of Applied Physics, Russian Academy of Sciences. Since 2014, he has been a Professor with the Lobachevsky State University of Nizhny Novgorod, giving a course for fifth year students “Modern Particle Accelerators.” He was with Gycom Ltd., Nizhny Novgorod; Omega-P Inc. New Haven, CT, USA and Euclid Techlabs LLC, Bollingbrook, IL, USA. He has authored or co-authored more than 200 publications and holds patents. His current research interests include highpower RF sources and electrodynamic systems, novel particle accelerators, RF photoinjectors, and FELs.

A. S. Shevchenko, photograph and biography not available at the time of publication.

Alexander A. Vikharev was born in Gorky, Russia, in 1981. He received the B.S. and M.S. degrees in radiophysics and electronics from the State University of Nizhny Novgorod, Nizhny Novgorod, Russia, in 2002 and 2004, respectively, and the Ph.D. degree in physical electronics from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, in 2011. Since 2002, he has been a Laboratory Assistant, a Research Scientist Assistant, and a Research Scientist with the Institute of Applied Physics, Russian Academy of Sciences. In 2012, he joined the Beam-Physics Laboratory, Physics Department, Yale University, New Haven, CT, USA, as a Post-Doctoral Associate. His current research interests include electrodynamic components of microwave pulse compressors and microwave switches, microwave undulators, and multimode particle accelerator structures.

S. A. Zapevalov, photograph and biography not available at the time of publication.

1570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Simultaneous Imaging, Sensor Tag Localization, and Backscatter Uplink via Synthetic Aperture Radar Xiaojie Fu , Student Member, IEEE, Andreas Pedross-Engel , Senior Member, IEEE, Daniel Arnitz, Member, IEEE, Claire M. Watts , Member, IEEE, Apoorva Sharma , Student Member, IEEE, and Matthew S. Reynolds, Senior Member, IEEE Abstract— This paper presents an extension of synthetic aperture radar (SAR) techniques to enable simultaneous radar imaging, sensor tag localization, and backscatter-based data uplink from multiple sensor tags in a cluttered environment. A unified system model is presented that leverages coherent processing of backscattered signals gathered over the synthetic aperture for all three of these purposes. The proposed approach, using balanced orthogonal codes for SAR-based localization as well as the backscatter data uplink, is shown to have several favorable properties, including straightforward tag-vsclutter discrimination, straightforward multiple access among tags, and improved signal-to-noise ratio during localization. A proof-of-principle indoor experiment is presented in the X-band (10–13 GHz) using two custom-designed backscatter tags interrogated by a vector network analyzer functioning as an FMCW radar. The proposed system model is validated by simultaneous imaging of a cluttered scene, tag localization with a maximum range error of 9 mm, and data demodulation from both tags telemetering temperature changes at a rate of 1 bit/s at ranges of 4.4 m and 4.7 m. The resulting point-spread functions of tags demonstrate a range resolution of 4.7 cm and a cross-range resolution of 9.1 cm. Index Terms— Backscatter communication, localization, multiple access, phase center offset, radar imaging, synthetic aperture radar (SAR).

I. I NTRODUCTION

M

ODULATED backscatter communication is already widely used in the billions of passive (battery free) radio frequency identification (RFID) tags produced and sold every year. Backscatter communication also enables many emerging ultralow power wireless sensor applications such as biosignal telemetry [1], [2], logistics management [3], and environmental monitoring [4]. Backscatter devices do not need to generate their own radio frequency carrier. They communicate by reflecting an interrogating signal from an external Manuscript received May 12, 2017; revised July 28, 2017; accepted October 19, 2017. Date of publication December 1, 2017; date of current version March 5, 2018. (Corresponding author: Xiaojie Fu.) X. Fu, A. Pedross-Engel, C. M. Watts, and A. Sharma are with the Department of Electrical Engineering, University of Washington, Seattle, WA 98195 USA (e-mail: [email protected]). D. Arnitz is with the Metamaterials Commercialization Center, Intellectual Ventures Laboratory, Bellevue, WA 98007 USA. M. S. Reynolds is with the Department of Electrical Engineering, Paul G. Allen School of Computer Science and Engineering, University of Washington, Seattle, WA 98195 USA (e-mail: matt.reynolds@ ee.washington.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2773473

Fig. 1. Conceptual backscatter-based sensor system using a synthetic aperture radar for imaging, tag localization, and sensor data uplink.

device such as an RFID reader, or in this application, a radar. The reflected signal from the backscatter tag is modulated by switching the reflection coefficient presented by the tag to its antenna. Thus, backscatter tags do not contain power hungry frequency synthesizers or RF amplifiers, minimizing tag power consumption and complexity. As shown in Fig. 1, the simplest form of a backscatter data uplink can be achieved with only a single RF switch alternately connecting the tag antenna to one of two different impedances, Z A and Z B . The pervasiveness of low cost passive RFID tags and the increasing read-range of backscatter systems give rise to a growing uncertainty of the spatial context of the system, including the locations of the tags as well as the untagged scattering objects in the environment. This stimulates academic and commercial interest in localization techniques that can simultaneously locate both tagged and untagged objects. In the literature, sensor tag localization has been achieved using various approaches, including received signal strength indicator (RSSI)-based approaches [5]–[7], angle of arrival (AoA) [8], [9], time of arrival (ToA), and time difference of arrival (TDoA) measurements [10], [11]. Each of these approaches has an associated cost and complexity tradeoff. For example, RSSI-based localization methods use easily observed received signal magnitude from low cost off-the-shelf sensor tags, but RSSI methods are vulnerable to multipath effects. The AoA can be measured by antenna beam steering or arrays, which require complex and expensive hardware. ToA and TDoA require highly accurate synchronized clocks, resulting in significant cost and complexity issues. Traditionally, synthetic aperture radar (SAR) imaging has been widely used for earth remote sensing and military

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

FU et al.: SIMULTANEOUS IMAGING, SENSOR TAG LOCALIZATION, AND BACKSCATTER UPLINK VIA SAR

surveillance to provide high-resolution images independent of daylight, cloud coverage, and weather conditions [12], [13]. More recently, SAR imaging has shown extensive possibilities in many innovative applications, such as security screening [14], robot navigation [15], and sensors for autonomous vehicles [16]. SAR approaches have previously been suggested for holographic localization of UHF backscatter transponders [17], [18]. Based on a coherent superposition of phase values taken along a synthetic aperture, the calculated holographic image yields a spatial probability density function of possible tag positions. The method shows accurate lateral locations; however, due to the limited bandwidth in the UHF band, the distance error and holographic image resolution are at decimeter levels. In this paper, an expanded version of [19], we propose the use of SAR measurements over a much wider bandwidth of 10–13 GHz, along with an improved system model for simultaneous imaging and backscatter data uplink. Potential applications of this paper include interfacing backscatterbased sensor tags with radars on robots, unmanned vehicles, and handheld RFID readers. By coherently processing both the phase and amplitude of backscatter signals over this much wider bandwidth, the reconstruction resolution and the localization error are reduced to centimeter level. Sensor tag packets, containing orthogonal codes to enable tag separation in SAR reconstruction and data uplink, are used for backscatter communication during the radar motion. A SAR measurement collecting and processing real temperature data packets is implemented. The effects of antenna phase center offsets are considered when processing measurement results. With a phase center calibration of radar and tag antennas, the reconstructed images of scattering objects and the localization maps of tags can be achieved with the errors of less than 1 cm. This paper is organized as follows. Section II presents the preliminaries of SAR imaging and derives the system model for simultaneous imaging, sensor localization, and backscatter data uplink. Section III introduces the hardware design and illustrates a data encoding scheme for sensor tags. To validate the system model and the encoding scheme, an indoor measurement is discussed in Section IV and a phase center calibration method is described in Section V to compensate for the antenna’s frequency-dependent phase center. Section VI analyzes the measurement results and finally Section VII concludes this paper. II. A PPROACH AND S YSTEM M ODEL A. SAR Imaging Preliminaries A typical SAR system leverages a side-looking radar mounted on a moving platform to collect the backscattered signals from a region of interest (ROI). The collected data are used to reconstruct either 2-D or 3-D radar images. The motion of the radar antenna forms an increased synthetic aperture leading to a decreased synthetic beamwidth, overcoming the limited aperture available from a physically small antenna. As shown in Fig. 2, the radar transmits a wideband interrogating signal and obtains the impulse response of the ROI at K sampling points with equal distance a chosen to meet

1571

Fig. 2. Schematic of stripmap SAR imaging with a fixed beam direction and look angle θ . The synthetic aperture length L SA is equal to the illumination length of the azimuth antenna beam.

the Nyquist criterion and avoid spatial aliasing. A 1-D scan of the swath produces a 2-D image with cross-range (along the x-axis) and ground-range (along the y-axis) information. Range is a measure of the “line-of-sight” distance from the antenna to the targets, and is determined by the time delay of the backscattered signal via cross correlation of the radar and backscatter signals. Thus, the range resolution δr is inversely proportional to the bandwidth B, and is given by c0 δr ≈ (1) 2B where c0 is the speed of light. The cross-range resolution δcr denotes the ability of the SAR systems to resolve closely spaced scatterers in cross range and is defined as the product of the synthetic beamwidth SA and ground range R. The synthetic beamwidth SA can be approximated by SA ≈

λ0 2L SA

(2)

with the length of synthetic aperture L SA being the illumination length of the azimuth antenna beam, λ0 being the wavelength of the signal center frequency, and the factor 2 representing the two-way path of transmission and reception. Therefore, the cross-range resolution δcr becomes [13] δcr ≈ SA R =

λ0 R . 2L SA

(3)

There are two widely used SAR imaging operation modes. Stripmap SAR fixes the look angle θ when antenna moves, as exemplified in Fig. 2. Spotlight SAR steers the antenna beam to illuminate a single area to increase the antenna translation length and thus improve the cross-range resolution. For ease of exposition, this paper considers a 2-D stripmap imaging scenario. However, the proposed method can be equally applied to 3-D imaging or spotlight mode SAR. B. SAR Image Reconstruction When reconstructing SAR images, it is assumed that the ROI can be approximated by a uniform grid of point scatterers each with a complex reflectivity. The discrete frequency

1572

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Signal processing pipeline for simultaneous imaging, sensor tag localization, and backscatter uplink.

response vector yk obtained at radar position k ∈ {1, . . . , K } gives the backscatter response of these point scatterers. In the proposed application, the scene not only consists of objects with constant reflectivity over time, but also contains tags that alter reflection coefficients for communication. Thus, the tags usually have different modulation state combinations when sampled at different times. Assuming there are M point scatterers with constant reflectivity and N point scatterers with time-variant reflectivity, then the lth discrete frequency sample of the kth frequency response vector at the i th modulation state (i being the sampling index) is given as

yk,l [i ] =

 M 

constant scatterer response



ρ˜m α˜ k,l,m e



RX R zTX k ,zk ,˜zm c0

− j 2π f l



m=1

+

 N 

time-variant scatterer response



ρn,l [i ]αk,l,n e



RX R zTX k ,zk ,zn − j 2π f l c0

 +νk,l [i ] (4)

n=1

where ρ˜m is the complex reflection coefficient of the mth RX ˜ ) is the two-way distance constant scatterer, R(zTX m k , zk , z from radar position to that scatter, and α˜ k,l,m includes path loss and antenna gain. The first part in the equation represents the entire constant scatterer response, the second part models tag backscatter, and νk,l [i ] denotes the complex-valued measurement noise. Similar notations are shared between the first two terms, except that ρn,l [i ] in the second term represents the frequency-dependent complex reflection coefficient of the nth time-variant scatterer. Consider a pseudomonostatic SAR configuration with separate but closely located transmit (TX) and receive (RX) antennas, thus,   RX (5) ˜ m = zTX ˜ m + zRX ˜m R zTX k , zk , z k −z k −z RX are the kth positions of the TX and RX where zTX k and zk antenna phase centers, respectively, z˜ m is the position of the mth point scatterer, and z denotes the norm of the vector z. Concatenating all K measurements gives

y[i ] = Hρ[i ] + ν[i ]

(6)

where y[i ] = [y1 [i ]† . . . y K [i ]† ]† with (·)† being the complex conjugate transpose operator, ρ[i ] consists of the reflection coefficients of all (constant and time variant) point scatterers under the i th modulation state, and ν[i ] is the noise. The matrix H gives the dependence between the point scatterers and each measurement.

The SAR reconstruction process finds an estimate of the reflection coefficients ρ[i ˆ ] from the measurements y[i ], hence, ρ[i ˆ ] = Ay[i ]

(7)

where A is the reconstruction matrix. As H is ill-conditioned in many cases, obtaining ρ[i ˆ ] by multiplying y[i ] with, e.g., the Moore–Penrose pseudoinverse A = (H† H)−1 H† often does not give a stable solution. An alternative, which is used in this paper, is to define A = H† , which gives the matched filter (or backprojection) reconstruction algorithm [15], [20]. C. Localization and Imaging A backscatter transponder encodes sensor data and uses it to drive an RF modulator, which is often as simple as an RF switch having two distinct impedances. Different modulation states are thus achieved when the termination of a tag antenna changes among different impedances. In this section, a model of multitag reconstruction is derived for tags whose antennas can be switched between a short and an open to form a BPSK modulation scheme. The same theory can be applied to other modulation schemes with different multiple access techniques. A signal processing flowchart of the backscatter signal is shown in Fig. 3. To demodulate sensor information and localize tags using the received backscatter signal, every tag packet contains an a priori known preamble consisting of several SAR code bits uniquely assigned for imaging and localization, in addition to the sensor data, which is usually unknown a priori. The SAR preamble codes {cn } can be used to distinguish individual tags and separate them from the background. To do this efficiently, the code words should be mutually orthogonal and balanced, containing the same number of +1 and −1 symbols. In the simplest N = 2 case, the SAR codes can be defined as

[+1, −1, +1, −1]†, n = 1 cn = (8) [+1, +1, −1, −1]†, n = 2 resulting in I = 4 combinations of modulation states. 1) Single Tag Localization: The reconstruction of the nth tag can be obtained by ρˆ n = AYcn

(9)

Y = [y[1], y[2], . . . , y[I ]]

(10)

where

and ρˆ n is the reflection coefficient estimate of the nth tag. Due to the orthogonality property of the codes, the contributions of other tags are canceled. Constant scatterer responses from the environment are also canceled due to the balance property.

FU et al.: SIMULTANEOUS IMAGING, SENSOR TAG LOCALIZATION, AND BACKSCATTER UPLINK VIA SAR

Fig. 5. Fig. 4.

1573

(a) Front side, (b) back side, and (c) schematic of the sensor tag.

Schematic of the experimental SAR setup with two sensor tags.

Note that this also increases the signal-to-noise ratio (SNR) due to the inherent noise averaging. 2) Simultaneous Multitag Localization: By multiplying Y with the sum of multiple codes, e.g., cn ∈ C, where C is the set of corresponding codes, a combined reconstruction of these tags can be obtained. Hence,  cn (11) ρˆ all = AY cn ∈C

A. Backscatter-Uplinked Sensor Tag Hardware

where ρˆ all is the combined reflection coefficient estimate of these tags. 3) Imaging of the Untagged Environment: To cancel the reflection of tags under different states and only keep the measurements of time-invariant scatters corresponding to the untagged environment, the code cUT where all elements are +1 can be used, hence, ρˆ un-tagged = AYcUT

(12)

where ρˆ un-tagged denotes the reflection coefficient estimate of all scattering objects in the measurement except any tags. 4) Imaging All Scattering Objects: With cSO being a unit vector, an image containing all of the scattering objects in the ROI can be reconstructed, hence, ρˆ obj = AYcSO

Fig. 6. Format of the tag data packets showing subframes for SAR imaging, sensor data, and CRC.

(13)

where ρˆ obj denotes the reflection coefficient estimate of all scattering objects in the measurement. III. P ROOF - OF -C ONCEPT E XPERIMENTAL S ETUP A proof-of-concept laboratory demonstration has been constructed using a combination of lab instrumentation with added custom hardware and firmware, as shown in Fig. 4. The SAR system consists of an Agilent N5222A vector network analyzer (VNA) providing transmitter and receiver functions. Two ultrawideband planar bow-tie antennas, having a size of 3.5 cm × 3 cm, a maximum gain of 6 dBi, and a −10-dB bandwidth from 9.6 to 13.1 GHz [21], are mounted on a 3-D-printed platform affixed to a Newport IMS600 motion stage, yielding a collocated bistatic SAR configuration. The VNA data acquisition and antenna platform motion are controlled by a PC running MATLAB.

Two custom designed tags were constructed for the experiment. Each tag consists of an Analog Devices TMP36 analog temperature sensor, a bow-tie antenna as used in the radar, a PIN diode RF switch, and an Arduino Nano processor module based on the Atmel ATMega328 microcontroller (see Fig. 5). The TMP36 provides a voltage output that is linearly proportional to temperature over the range from −40 °C to +125 °C with an accuracy of ±1 °C. The PIN diode RF switch alters the impedance presented to the antenna between a short circuit and an open circuit [19]. The Arduino Nano generates a logic level voltage to control the switch via a digital output pin and reads the TMP36 temperature sensor via an analog input pin. As shown in Fig. 4, the temperature readings are triggered simultaneously by the PC running MATLAB. Given the 16-MHz clock rate of the ATMega328, the maximum possible data rate is ≈1 Mbit/s, but due to the slow response of the VNA and MATLAB control software, only 1 bit/s is achieved in the proof-of-concept. Given the much faster data acquisition rate possible with dedicated radar hardware, the system data rate could easily approach or even exceed the 1 Mbit/s that a simple microcontroller-based tag could supply. B. Sensor Tag Packet Structure and Multiple Access Encoding Given the slow data acquisition speed of the VNA and the MATLAB control software, continuous data acquisition and real-time processing are not practical with the lab setup. Instead, all functions are triggered in sequence by the PC running MATLAB. A preamble indicating the start of each packet is, therefore, not necessary in this demonstration. Fig. 6 gives an example of an encoded packet sent by both tags. The portion of the packet used for imaging (“SAR code”)

1574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

V. C ALIBRATION As shown in (4), the delay between received and transmitted signals is proportional to the two-way distance R from the radar antenna phase centers to the scattering object. Since the phase center of an antenna is not necessarily coincident with its physical center, the offset is an unwanted addition to R that results in reconstruction error. Therefore, the antenna phase center offset must be estimated during the calibration process and subtracted from the exponential term of (4). A. TX–RX Phase Center Correction

Fig. 7. Photograph of (a) imaging ROI and (b) cluttered measurement environment. (c) Geometry of the imaging area and the linear stage motion.

is orthogonally coded, as discussed in Section II-C; the orthogonal coding is used in a CDMA-like approach to mitigate tag collisions during the imaging and localization processes. Data transfer is performed via a TDMA approach. The voltage output of TMP36 is converted into 8-bit binary data followed by 8-bit cyclic redundancy check (CRC) error-detecting code. While Tag 2 transmits its 16-bit data frame, Tag 1 keeps a fixed modulation state, and vice versa. IV. I NDOOR M EASUREMENTS Indoor measurements were carried out in a cluttered lab environment, as shown in Fig. 7. The imaging ROI [see Fig. 7(a)] was floored with a 120 cm × 96 cm RF-transparent Styrofoam sheet and placed at a range of y = 3.5 m [see Fig. 7(c)]. To serve as unmodulated scatterers, nine 5/8"-18 steel hex nuts were added in a “W” shape with a cross-range spacing of 8 cm and a range spacing of 15 cm. Two sensor tags were also placed in the ROI, where Tag 1 recorded room temperature, while Tag 2 measured the temperature of a cup of coffee using its TMP36 sensor attached to the coffee mug. During the experiment, the motion stage moves the collocated bistatic TX–RX antennas to form a synthetic aperture along x = [−0.3, 0.3] m. To meet the Nyquist criterion and avoid spatial aliasing, the sampling distance must be smaller than half of the antenna aperture [13]. An oversampled step size of 4 mm is used in our data collection. At each motion step, the PC sends a trigger to each sensor tag. In response, each Arduino Nano reads the temperature sensor and formats its output into the 36-bit packet format of Fig. 6. The tag’s modulating switch is driven at a rate of 1 bit/s. The VNA measures the TX–RX response at 301 discrete frequencies over the 10–13-GHz band. After the whole packet is received, the motion stage moves to the next sampling location. Finally, the measurement data are post-processed in MATLAB.

In the imaging scenario, the tags are assumed to be constant scattering. The TX–RX phase center offset can be estimated by comparing the peak in the observed impulse response corresponding to a scattering object with its actual (tape measured) round-trip distance. Fig. 8(a) and (b) shows the TX–RX phase center calibration setup using a known target, a 15 cm × 10 cm metal plate fixed parallel to the TX–RX antennas. The front surface of the plate is D = 45.9 cm away from the antenna plane. The bistatic separation distance between the TX and RX antennas is d = 11.8 cm. Thus, the actual round-trip distance of the plate is R  = 92.56 cm. The network analyzer was configured to measure S21 from 10 to 13 GHz with a step size of 10 MHz, and its built in calibration process was used to move the reference plane to the far end of the VNA cables. By zero padding the S21 data and performing an inverse fast Fourier transform, a very smooth impulse response is observed, as shown in Fig. 8(c). The first peak, found at 24 cm, corresponds to the unwanted direct coupling between antennas. The second peak, found at 104.59 cm, corresponds to the electrical round-trip distance. The difference between this value and the actual roundtrip distance gives a measured TX–RX phase center offset of 12.03 cm. B. Tag Phase Center Correction When localizing a backscatter-based tag, the phase center of the tag antenna and any transmission line length on the tag must also be corrected. This was done with the setup shown in Fig. 8(d) and (e). The VNA takes two S21 measurements for the two tag states over 10–13 GHz in 10-MHz steps. By subtracting the complex S21 data of two states and applying zero padding, the tag impulse response is obtained, as shown in Fig. 8(f). The tag antenna appeared at 115.25 cm, which is 10.66 cm farther than the plate as a result of tag antenna phase center offset. The three antennas require a sum of 22.69 cm in total phase center correction. This additional electrical length can be used for single tag or multiple tags localization to correct the phase of the received backscatter signals. VI. I MAGING , L OCALIZATION , AND BACKSCATTER U PLINK R ESULTS To process the data gathered during the SAR experiment, all of the frequency response vectors yk [i ] obtained during each of the 36 data symbol periods, i ∈ {1, . . . , 36}, and from all radar positions k ∈ {1, . . . , 151} are concatenated to form the SAR measurement vector y[i ], as defined by (6). Since the first

FU et al.: SIMULTANEOUS IMAGING, SENSOR TAG LOCALIZATION, AND BACKSCATTER UPLINK VIA SAR

1575

Fig. 8. Experimental setups and measured data used for calibration of the TX–RX phase center and the tag phase center. (a) TX–RX phase center correction setup. (b) TX–RX correction experimental setup. (c) Measured plate round trip distance. (d) Tag phase center correction setup. (e) Tag correction experimental setup. (f) Measured tag round trip distance.

four samples at each radar position represent the orthogonal SAR code words, the response Y = (y[1], y[2], y[3], y[4]) is used for imaging and localization reconstructions, as discussed in Section II-C, and the remaining 32 samples are used to retrieve the sensor data and its associated CRC. A. Imaging Results When reconstructing images of scattering objects, the TX–RX phase center calibration result is used to compensate the phase center in Y. After multiplying Y with cUT , the image of the untagged environment can be obtained, as shown in Fig. 9(a), which depicts the ROI excluding the tags, which are suppressed because the SAR code words are balanced and thus zero-mean. This ROI includes very high magnitude scattering from large objects in the background, such as the magnetic white board, electrical conduit, and a metallic closet that form the background of the scene. This high magnitude scattering causes a dynamic range challenge when the objects of interest are small scatters (such as the hex nuts and tags in our example). To mitigate the effects of background clutter, background subtraction is performed after reconstructing the image using cSO . The resulting image of all scattering objects shown in Fig. 9(b) clearly shows the two tags and nine nuts aligned in a “W” shape with the reflectivity normalized and represented on a log scale. The scattering response of Tag 2 is stronger, because it has a larger radar cross section than the hex nuts, and is closer to the motion stage than Tag 1. As a contrast, the ground truth positions of the two sensor tags and eight hex nuts are shown in Fig. 9(c). B. Localization Results To localize the tags, the phase center calibration results are first used to correct the data in Y. Fig. 9(d)–(f) shows

TABLE I C OMPARISON OF M EASUREMENT R ESULTS W ITH THE G ROUND T RUTH

single tag and two-tag localization results. An improved SNR is achieved because the background clutter is canceled due to the balanced SAR code word, so an additional background subtraction is not necessary. With the center point of radar antenna motion forming the origin of each plot, the peak point-spread function (PSF) value of Tag 1 is found to be at −0.154 m in the cross-range direction and at 4.698 m in the range direction. The 3-dB pulsewidth of the Tag 1 PSF shows δr = 4.7 cm and δcr = 9.1 cm. Note that the theoretical resolutions are calculated as δr = 5 cm and δcr = 10.2 cm using (1) and (3), respectively. Similarly, Tag 2 is localized in cross range at 0.147 m and in range at 4.41 m with δr = 4.3 cm and δcr = 8.3 cm. A detailed comparison of imaging and localization results with the calculated ground truth of two tags and two hex nuts (opposite corners of the letter “W” per Fig. 7) is shown in Table I. The three types of results agree well with the centimeter level. The localized results have a maximum 2-D offset of 1.5 cm when compared to imaging results and 1 cm offset compared to the ground truth. C. Backscatter Data Uplink Results Finally, the frequency response vectors [yk [5], . . . , yk [36]] at each radar position are demodulated to recover the sensor data. Fig. 10 shows the room temperature as measured by Tag 1 and the coffee cup temperature as measured by Tag 2.

1576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 9. SAR images reconstructed with different processing approaches, showing the ability to separate tags from each other and from the untagged scatterers. (a) Tag-less environment. (b) Tags and nuts. (c) Ground truth. (d) Tag 1 only. (e) Tag 2 only. (f) Tags 1 and 2 together.

VII. C ONCLUSION

Fig. 10. Measured time series of room temperature (Tag 1) and coffee cup temperature (Tag 2).

Due to the slow data acquisition rate of the laboratory SAR system, this measurement was performed over a 150-min interval. During this time, the room temperature was found to fluctuate around 23.5 °C, and the cup of coffee cooled from 60 °C to 28 °C. The maximum reading range is approximately 5 m, limited by the room size. No CRC errors are detected in the demodulated data indicating a good link quality.

This paper proposes a unified mathematical model and reports experimental results of simultaneous imaging tagged and untagged objects, localizing sensor tags, and reading sensor data via backscatter. The coherent processing of backscatter signals over a synthetic aperture formed by a moving radar provides new possibilities for, e.g., unmanned vehicles and handheld radar devices. To fulfill the three purposes, a tag packet data structure is designed around balanced, mutually orthogonal codes to enable tag separation in SAR reconstruction. This approach allows the reconstruction of SAR images containing only tagged objects (or combinations thereof), untagged objects, or the cluttered environment. An indoor experiment over 10–13 GHz is presented leveraging a VNA as an FMCW radar, and two sensor tags. After compensating for tag and radar antenna phase center offset, the reconstructed image of scattering objects is obtained and shown to exhibit a range resolution of 4.7 cm and a crossrange resolution of 9.1 cm at a range of 4.7 m. The SAR code words allow separation of multiple tags as well as enhancing SNR by 6 dB due to noise averaging. The localization results agree well with ground-truth tag positions to an error of approximately 1 cm.

FU et al.: SIMULTANEOUS IMAGING, SENSOR TAG LOCALIZATION, AND BACKSCATTER UPLINK VIA SAR

R EFERENCES [1] S. J. Thomas, R. R. Harrison, A. Leonardo, and M. S. Reynolds, “A battery-free multichannel digital neural/EMG telemetry system for flying insects,” IEEE Trans. Biomed. Circuits Syst., vol. 6, no. 5, pp. 424–436, Oct. 2012. [2] D. Yeager, F. Zhang, A. Zarrasvand, N. T. George, T. Daniel, and B. P. Otis, “A 9 μA, addressable Gen2 sensor tag for biosignal acquisition,” IEEE J. Solid-State Circuits, vol. 45, no. 10, pp. 2198–2209, Oct. 2010. [3] G. Li, D. Arnitz, R. Ebelt, U. Muehlmann, K. Witrisal, and M. Vossiek, “Bandwidth dependence of CW ranging to UHF RFID tags in severe multipath environments,” in Proc. IEEE Int. Conf. RFID, Apr. 2011, pp. 19–25. [4] E. Kampianakis, J. Kimionis, K. Tountas, C. Konstantopoulos, E. Koutroulis, and A. Bletsas, “Wireless environmental sensor networking with analog scatter radio and timer principles,” IEEE Sensors J., vol. 14, no. 10, pp. 3365–3376, Oct. 2014. [5] K. Yedavalli and B. Krishnamachari, “Sequence-based localization in wireless sensor networks,” IEEE Trans. Mobile Comput., vol. 7, no. 1, pp. 81–94, Jan. 2008. [6] S.-H. Fang and T. N. Lin, “A dynamic system approach for radio location fingerprinting in wireless local area networks,” IEEE Trans. Commun., vol. 58, no. 4, pp. 1020–1025, Apr. 2010. [7] J. Shirahama and T. Ohtsuki, “RSS-based localization in environments with different path loss exponent for each link,” in Proc. IEEE Veh. Technol. Conf., May 2008, pp. 1509–1513. [8] P. V. Nikitin, R. Martinez, S. Ramamurthy, H. Leland, G. Spiess, and K. V. S. Rao, “Phase based spatial identification of UHF RFID tags,” in Proc. IEEE Int. Conf. RFID, Apr. 2010, pp. 102–109. [9] R. Klukas and M. Fattouche, “Line-of-sight angle of arrival estimation in the outdoor multipath environment,” IEEE Trans. Veh. Technol., vol. 47, no. 1, pp. 342–351, Feb. 1998. [10] Y. T. Chan and K. C. Ho, “A simple and efficient estimator for hyperbolic location,” IEEE Trans. Signal Process., vol. 42, no. 8, pp. 1905–1915, Aug. 1994. [11] L. Cong and W. Zhuang, “Hybrid TDOA/AOA mobile user location for wideband CDMA cellular systems,” IEEE Trans. Wireless Commun., vol. 1, no. 3, pp. 439–447, Jul. 2002. [12] A. Moreira, P. Prats-Iraola, M. Younis, G. Krieger, I. Hajnsek, and K. P. Papathanassiou, “A tutorial on synthetic aperture radar,” IEEE Geosci. Remote Sens. Mag., vol. 1, no. 1, pp. 6–43, Mar. 2013. [13] I. G. Cumming and F. H. Wong, Digital Processing of Synthetic Aperture Radar Data: Algorithms and Implementation. Norwood, MA, USA: Artech House, 2005. [14] S. Hantscher et al., “Security pre-screening of moving persons using a rotating multichannel W -band radar,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 870–880, Mar. 2012. [15] C. M. Watts, P. Lancaster, A. Pedross-Engel, J. R. Smith, and M. S. Reynolds, “2D and 3D millimeter-wave synthetic aperture radar imaging on a PR2 platform,” in Proc. IEEE/RSJ Int. Conf. Intell. Robots Syst., Oct. 2016, pp. 4304–4310. [16] S. Park, Y. Kim, E. T. Matson, and A. H. Smith, “Accessible synthetic aperture radar system for autonomous vehicle sensing,” in Proc. IEEE Sensors Appl. Symp., Apr. 2016, pp. 1–6. [17] R. Miesen, F. Kirsch, and M. Vossiek, “UHF RFID localization based on synthetic apertures,” IEEE Trans. Autom. Sci. Eng., vol. 10, no. 3, pp. 807–815, Jul. 2013. [18] L. Qiu, Z. Huang, N. Wirström, and T. Voigt, “3DinSAR: Object 3D localization for indoor RFID applications,” in Proc. IEEE Int. Conf. RFID, Orlando, FL, USA, May 2016, pp. 1–8. [19] X. Fu, A. Pedross-Engel, D. Arnitz, and M. S. Reynolds, “Simultaneous sensor localization via synthetic aperture radar (SAR) imaging,” in Proc. IEEE Int. Conf. Sensors, Orlando, FL, USA, Oct. 2016, pp. 1–3. [20] A. Pedross-Engel, C. M. Watts, D. R. Smith, and M. S. Reynolds, “Enhanced resolution stripmap mode using dynamic metasurface antennas,” IEEE Trans. Geosci. Remote Sens., vol. 55, no. 7, pp. 3764–3772, Jul. 2017. [21] X. Fu et al., “A low cost 10.0–11.1 GHz X-band microwave backscatter communication testbed with integrated planar wideband antennas,” in Proc. IEEE Int. Conf. RFID, Orlando, FL, USA, May 2016, pp. 1–4.

1577

Xiaojie Fu (S’15) received the B.S. degree in electrical engineering from Southwest Jiaotong University, Chengdu, China, in 2011, and the M.S. degree in electrical engineering from Southeast University, Nanjing, China, in 2014. She is currently pursuing the Ph.D. degree at the University of Washington, Seattle, WA, USA. Since 2015, she has been with the Department of Electrical Engineering, University of Washington, where she is currently a Research Assistant. Her current research interests include microwave and millimeter wave imaging, microwave passive circuit components, and lowcost backscatter sensor networks and radio frequency identification.

Andreas Pedross-Engel (S’10–M’14–SM’17) received the Dipl.-Ing. degree in electrical engineering and Ph.D. degree in information and communications engineering from the Graz University of Technology, Graz, Austria, in 2009 and 2014, respectively. From 2008 to 2009, he was with Infineon Technologies Austria AG, were he was a Concept Engineer with a focus on signal processing for low-power receiver designs. From 2009 to 2010, he was with TeleConsult Austria GmbH, Graz, as a Research and Development Engineer with a focus on signal processing for global navigation satellite systems. From 2010 to 2014, he was with the Signal Processing and Speech Communication Laboratory, Graz University of Technology, as a Research Associate with a focus on nonlinear and mixed-signal processing, design, and modeling of noncoherent ultrawideband receivers. Since 2014, he has been with the Department of Electrical Engineering, University of Washington, Seattle, WA, USA, as Post-Doctoral Research Associate in the area of microwave and mm-wave imaging systems. His current research interests include wireless communication and imaging systems, nonlinear- and mixed-signal processing, and system characterization and modeling.

Daniel Arnitz (M’11) received the master’s [Dipl.-Ing. (FH)] degree from FH Joanneum at Kapfenberg, Kapfenberg, Austria, in 2005, and the Ph.D. (Dr. techn.) degree from the Graz University of Technology, Graz, Austria, in 2011. He held a post-doctoral position with the University of Washington, Seattle, WA, USA. He is currently with the Intellectual Ventures Laboratory, Bellevue, WA, USA. His current research interests include backscatter channels, microwave and millimeter-wave imaging, wireless power transfer, and RFID localization. Dr. Arnitz served as the General Chair of the IEEE International Conference on RFID (IEEE RFID) in 2016 and as the Technical Program Chair of the IEEE RFID Conference in 2012 and 2013.

Claire M. Watts (M’16) received the B.A.S. degree in physics from Colgate University, Hamilton, NY, USA, in 2010, and the Ph.D. degree in physics from Boston College, Chestnut Hill, MA, USA, in 2015. Since 2015, she has been with the Department of Electrical Engineering, University of Washington, Seattle, WA, USA, as a Post-Doctoral Research Associate involved in the area of microwave and millimeter-wave imaging with metasurfaces. Her current research interests include metasurfaces, imaging across the electromagnetic spectrum from microwave to infrared frequencies and novel imaging techniques.

1578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Apoorva Sharma (S’15) received the B.Tech. degree in electronics and communication engineering from the Galgotias College of Engineering and Technology, Greater Noida, India, in 2009, and the M.Tech. degree from the International Institute of Information Technology at Hyderabad, Hyderabad, India, in 2011. She is currently pursuing the Ph.D. degree at the Department of Electrical Engineering, University of Washington, Seattle, WA, USA. From 2011 to 2013, she was with Computer Simulation Technology India, where she was an Application Engineer responsible for technical support and benchmarks for CST Microwave Studio, Cable Studio, Particle Studio, and Design Studio software. She also helped in improving the database of Antenna Magus. Her research interests include modeling and optimization techniques of radio frequency devices, electromagnetics, antenna designs, and implantable devices.

Matthew S. Reynolds (S’01–M’02–SM’10) received the S.B., M.Eng., and Ph.D. degrees from the Massachusetts Institute of Technology, Cambridge, MA, USA, in 1998, 1999, and 2003, respectively. He is currently an Associate Professor of electrical engineering and computer science engineering with the University of Washington, Seattle, WA, USA. He is a co-founder of the RFID systems firm ThingMagic Inc., the demand-side energy conservation technology firm Zensi, and the home sensing company SNUPI Inc. His current research interests include the physics of sensors and actuators, radio frequency identification (RFID), microwave and millimeter wave imaging, and sensor signal processing. Dr. Reynolds served as the General Chair of the IEEE International Conference on RFID in 2013 and 2015.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

Integrated Quasi-Circulator With RF Leakage Cancellation for Full-Duplex Wireless Transceivers Seyyed Amir Ayati , Debashis Mandal, Member, IEEE, Bertan Bakkaloglu, Senior Member, IEEE, and Sayfe Kiaei, Fellow, IEEE

Abstract— An integrated reconfigurable CMOS quasicirculator operating at 2.4 GHz is presented. A passive structure delivers transmit power amplifier (PA) output signal to the differential low-noise amplifier (LNA) input as a commonmode signal and simultaneously delivers received signal as a differential-mode signal at the LNA input. The leakage of the PA output signal at the LNA input is reduced in two steps. First, the use of a reconfigurable impedance matching circuit, instead of a fixed 50- resistance reduces the leakage by compensating the antenna impedance mismatch, and improves transmitter– receiver isolation. Second, a reconfigurable summing stage adds amplitude and phase adjusted PA output signal to LNA output to cancel the residual PA output leakage. Measurement results show that the receiver achieves a reduction of 90 dB for a single tone and more than 50 dB for a QPSK modulated 40-MHz bandwidth transmit signal. The receiver gain is more than 10 dB and the noise figure in the receiver path is 4.5 dB. The reconfigurable quasi-circulator along with the receiver LNA is designed and fabricated on a 130-nm CMOS technology. The cancellation circuitry occupies 0.27 mm2 and consumes 30-mW quiescent power, while the total active area of the chip is 1 mm2 , and it consumes 65-mW power. Index Terms— Full-duplex wireless, impedance tuner, on-chip circulator, reconfigurable circuit, self-interference cancellation, transmit leakage cancellation.

I. I NTRODUCTION ONVENTIONAL three port circulators are based on the Faraday effect and use strongly biased magnetic materials that respond differently to waves propagating in opposite directions. Use of specialized materials and bulky discrete components prohibit the application of these circulators for system-on-chip applications. Integrated CMOS circulators offer smaller size, minimal external components, and allow integration with the RF and baseband modules. Integrated monolithic circulators can improve the performance of a wide range of applications such as integrated RADAR [1]–[3], automobile collision avoidance systems [4], [5], full-duplex simultaneous transmit and receive

C

Manuscript received June 25, 2017; revised September 5, 2017; accepted October 19, 2017. This paper is an expanded version from the 2016 IEEE Radio Frequency Integrated Circuits Symposium, San Francisco, CA, USA, May 22–24, 2016. (Corresponding author: Seyyed Amir Ayati.) The authors are with the School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ 85287 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2017.2773485

(STAR) transceivers [6], [7], and optical transceivers [8], [9]. RF circulators can also be used to eliminate front-end RF filters such as bulk acoustic wave filters and block the transmitter signal leakage [10], [11]. First active bipolar junction transistor circulator was presented in 1965 [12], followed by a GaAs FET implementation [13]. A CMOS circulator at 2.4 GHz was presented in [14], but only had 10 dB of isolation. The active quasi-circulators are proposed in recent years [15]–[22]; however, the performance in each port is highly variable. In [23] and [24], a time varying resonator is proposed. An N-path filter using nonreciprocal path, achieving insertion loss (IL) less than 1.8 dB is presented in [25]–[28]. Methods presented in [23]–[28] are based on time varying circuits, and have minimal IL and noise figure (NF). Time-invariant circuits using the nonreciprocity property of the transistors [29] or loss mechanism of a passive circuit [30], [31] are presented to provide isolation between the transmitter and receiver. However, as mentioned in [27] and [28], to compensate for the antenna return loss (RL) and circuit mismatch, an antenna impedance tuner is needed between the circulator and the antenna. In [28], balance network and fixed antenna impedance tuner are proposed to compensate the antenna impedance errors. In [31], a reconfigurable duplexer based on loss mechanism is proposed which compensates the antenna RL and circuit mismatch to improve the isolation between transmitter and receiver without using an additional antenna tuner. Limitations of the integrated CMOS circulators and quasicirculators are IL [18], RL [16], NF [16], leakage between transmitter and receiver [15], maximum transmit power [22], and limited isolation bandwidth. In the transceiver, the circulator noise and the transmitter PA noise floor can impact the receiver NF and dynamic range. Limited linearity and nonlinear products of the transmitted signal further degrade the receiver performance. In addition, antenna impedance mismatch limits the isolation between transmitter and receiver, and an antenna impedance tuner is necessary to improve the leakage cancellation in the circulator. To improve the leakage cancellation after the circulator, an additional leakage cancellation block [32]–[34] can be added to the circulator [35]. In this paper, an on-chip reconfigurable quasi-circulator operating at 2.4 GHz and implemented in the 130-nm CMOS technology is presented. At differential LNA input

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 2

Fig. 1.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Proposed on-chip reconfigurable quasi-circulator.

of the proposed architecture, transmit PA output signal is a common-mode signal and the received signal is a differentialmode signal. The PA output signal leakage (echo) in the receiver is canceled in two steps. First, a reconfigurable impedance matching circuit is used to compensate the antenna impedance mismatch, and reduce the leakage of PA output signal at LNA input. Second, a reconfigurable block adds PA output signal at the LNA output after tuning amplitude and phase of the PA output signal to further cancel the residual leakage. The rest of this paper is organized as follows. Section II describes the proposed reconfigurable quasi-circulator architecture, the full-duplex link budget, and the analysis of PA output power leakage in receiver. Section III discusses the transistor-level implementation. Section IV presents the measurement results for the test chip implemented with conclusions in Section V. II. S YSTEM A RCHITECTURE A. Architecture Fig. 1 shows the block diagram of the proposed on-chip quasi-circulator. Three ports are defined and shown in Fig. 1 which shows the reference planes of the proposed structure and the paths ANT–RX, TX–ANT, and TX–RX are considered which are the paths between the reference planes. The proposed topology consists of a power divider, an LNA, a reconfigurable impedance Z V , an output transmit leakage cancellation, and an output buffer. (Details of the on-chip circuit are shown in Fig. 5 and discussed in Section III.) The transmit signal s( f ) is fed to divider Z 1 and Z 2 , and then to the antenna as s1 ( f ), to the reconfigurable impedance as s2 ( f ), and to the LNA as a common-mode signal scm ( f ) = (s1 ( f ) + s2 ( f ))/2. Any mismatch from the transmit signal path to the LNA will generate a differential-mode error signal se ( f ) = s1 ( f ) − s2 ( f ). The reconfigurable impedance block Z V is a common-source active circuit with inductive source degeneration to compensate for any mismatches in the signal path to the LNA inputs.

The LNA is a common-source differential gain stage with LC resonant load tuning. The received signal x( f ) goes directly from the antenna to the LNA as x + ( f ), and after 180° phase shift via the divider blocks as x − ( f ) where the LNA differential input signals is defined as x d ( f ) = x + ( f ) − x − ( f ). The LNA differential output signal i o ( f ) consists of the amplified desired received signal gmd x d ( f ) and the residual leakage of the transmit signal i res ( f ) which is minimized by the cancellation circuit. The cancellation circuit HC ( f ) and a transconductance gain stage (G m ) generate the correction signal i C ( f ) by sampling and changing the phase and amplitude of the transmitted signal. The correction signal i C ( f ) cannot be injected at the LNA input since it may cancel the transmit signal physically connected to the same antenna port and may also increase the IL in the TX–ANT path. Consequently, the correction signal i C ( f ) is injected to the LNA output to cancel any residual leakage at the LNA output i res ( f ) where ideally i res ( f ) ≈ −i C ( f ). The final output after the correction is i R ( f ) = i o ( f ) − i C ( f ). This is followed by the output buffer conducting the i R ( f ) to the output load and it is matched to 100 . B. Full-Duplex Link Budget The quasi-circulator performance can be measured by the isolation between the transmitter input and receiver output. The metric used is the transmit leakage cancellation ratio (TLCR) which is the ratio of the transmit signal power PTX to the leakage power at the quasi-circulator receiver port Pleak expressed in decibels as TLCR = PTX − Pleak . If the receiver maximum allowable signal power is Pmax , with noise floor Pnoise (without distortion), and dynamic range margin M, the receiver dynamic range would be DRRx = Pmax − Pnoise + M where Pnoise = −174dBm/Hz+ 10 log10 (BW )+NF. The leakage power at the quasi-circulator output has to be smaller than the receiver maximum allowable signal power Pmax . By assuming that the leakage signal at the circulator output has the highest signal power in the receiver and the received signal power is significantly

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AYATI et al.: INTEGRATED QUASI-CIRCULATOR WITH RF LEAKAGE CANCELLATION

3

TABLE I S UMMARY OF THE D ESIGN TARGETS FOR THE Q UASI -C IRCULATOR

Fig. 2. Power of the transmitted, received, and IM3 distortions signals and noise floor inside the quasi-circulator.

smaller than the leakage signal power, the receiver dynamic range would be DRRx = Pleak − Pnoise + M. The required TLCR in the quasi-circulator can be calculated as follows: TLCR ≥ PTX − DRRX − Pnoise + M

(1)

where DRRX is the receiver dynamic range and PTX is the transmit signal power. Indeed, (1) shows the minimum of TLCR which is necessary to keep the leakage signal within the receiver dynamic range. In addition, the IM3 distortion signals at the quasi-circulator output have to be within the receiver dynamic range DRRX . Given this, the third-order interceptpoint between the quasi-circulator transmitter port and receive port, defined by IIP3TX−RX is calculated as follows: 3 1 PTX − (Pnoise + DRRX + TLCR − M). (2) 2 2 Fig. 2 shows the transmitted signal and IM3 distortion in the quasi-circulator. As it is shown, the transmitted signal is canceled at the LNA input by 25 dB and at the LNA output by another 25 dB, and the LNA provides 10-dB gain. Transmitted signal PTX and leakage signal Pleak are shown in Fig. 2. In addition, the received signal and the receiver noise floor are also shown in Fig. 2. For example, for a short-range wireless communication system, if the receiver bandwidth BW is 40 MHz with receiver NF = 5 dB, transmitter power PTX = 0 dBm, dynamic range margin M = 5 dB, and required DRRx = 50 dB with noise floor at Pnoise = −93 dBm, the required TLCR achieves approximately 50 dB of rejection by the quasi-circulator and IIP3TX−RX would be −1 dBm. Besides, the LNA input port and reconfigurable impedance block Z v are the main sources of the distortion in the quasi-circulator [31]. This puts a stringent requirement at the LNA input and reconfigurable impedance Z v to achieve IIP3TX−RX of more than −1 dBm. IIP3TX−RX =

Fig. 3.

Transmit and receive signals at the LNA.

The transmit signal is a common-mode signal scm (t) at the LNA input which should be tolerated by the LNA input stage. In addition, achieving TLCR more than 25 dB at LNA output by the leakage cancellation block is difficult in the operation bandwidth; therefore, the required minimum TLCR at the LNA input is 25 dB. The minimum IIP3 of the LNA can be calculated based on the minimum TLCR at the LNA input and transmit signal power. For this design, the IIP3 of the LNA should be more than −15 dBm to not generate the IM3 signal with power more than −50 dBm (maximum allowable leakage power at the receiver in Table I). Another point, which should be considered is the jammer signal in the ANT–RX path which sets another limit for the LNA linearity. Depends on the standard, we should calculate the required IIP3 for the ANT–RX path. The linearity of the LNA should be designed based on the maximum calculated IIP3 of the LNA for the leakage and jammer signals. The LNA is optimized to achieve this required IIP3. Devices with longer channel length and larger voltage headroom can provide better linearity, but they can degrade the noise performance of the reconfigurable impedance and the LNA because of their smaller unity gain frequency. Table I summarizes the design targets for the quasicirculator for a short-range full-duplex wireless system.

C. System Analysis Fig. 3 shows the quasi-circulator with the divider block, antenna port impedance, reconfigurable impedance Z v , and the LNA. The LNA output i o ( f ) contains the desired signal

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fig. 4. TLCR in dB versus normalized impedance mismatch error |(z i+ − z i− )z i+ | at LNA input, and amplitude and phase error at leakage cancellation.

gmd x d ( f ) and the residue of the transmit signal i res ( f ) i o ( f ) = gmd x d ( f ) + i res ( f ) i res ( f ) = gmdse ( f ) + gmc scm ( f )

(3)

Fig. 5. Schematic of LNA, leakage cancellation Gm -stage, output buffer, and active reconfigurable impedance block.

where gmd and gmc are the differential mode and commonmode transconductance of the LNA. The overall transfer function of the residual current i res ( f ) at the output of the LNA relative to the transmit signal at the output of the PA s( f ) is z+ i res ( f ) = gmd T ( f ) + i gmc  (−90°) = A0 ( f ) φ0 ( f ) (4) s( f ) Z 01 where T ( f ) = se ( f )/s( f ) is the transfer function of the mismatch in the transmit signal path, z i+ is the impedance at the antenna port, Z 01 is the characteristic impedance of the transmission line in Z 1 block, and A0 ( f ) and ϕ0 ( f ) are the magnitude and phase of i res ( f )/s( f ). In (4), to calculate the common-mode signal at the LNA input, it is assumed that the top and bottom arms of the divider have the same characteristic impedance and electrical length. The main objective of this architecture is to minimize the residual current i res ( f ) at the LNA output which depends on the mismatch error in the divider, LNA input, antenna impedance, and the reconfigurable impedance Z v . To reduce the residual current i res ( f ), the common-mode transconductance gmc and the error signal se ( f ) have to be minimized. The transfer function ratio of T ( f ) = se ( f )/s( f ) is calculated by using ABCD matrix of the transmission line [36] as Fig. 6.

z i+ se ( f ) = T( f ) = + s( f ) z i cos θ1 ( f ) + j Z 01 sin θ1 ( f ) −

z i−

z i− cos θ2 ( f ) + j Z 02 sin θ2 ( f )

(5)

where θi ( f ) and Z 0i (i = 1 for the top arm and 2 for the bottom arm) are electrical length and characteristic impedance of divider and z i+ and z i− are the impedances at the antenna

(a) Die micrograph. (b) Board photograph.

port and impedance block Z v . As shown in (5), not only the reconfigurable impedance can compensate for the antenna impedance error, but it can also compensate the errors in the power divider. Fig. 4 shows the simulation results for the TLCR versus the normalized impedance error |(z i+ − z i− )/z i+ | at the LNA input. For the example above, the goal is to achieve

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AYATI et al.: INTEGRATED QUASI-CIRCULATOR WITH RF LEAKAGE CANCELLATION

5

Fig. 7. ANT–RX path measured and simulated results. (a) Gain and RL. (b) Gain versus received signal power with and without transmitted signal. (c) IM3 and IIP3. (d) NF with and without leakage cancellation.

a TLCR more than 25 dB at the LNA input. In this case, normalized impedance error should be less than 3% and the common-mode transconductance gmc should be at least 25 dB less than the differential-mode transconductance gmd . The correction signal i C ( f ) is injected at the LNA output to minimize the transmit signal leakage i leak ( f ) = i res ( f ) − i C ( f ). By assuming that the gain error and phase error in radian between residual of the transmit signal i res ( f ) and the correction signal i C ( f ) are A and ϕ, respectively, the transmit signal leakage is i leak ( f ) = A0 ( f ) ϕ0 ( f ) − (A0 ( f ) + A) (ϕ0 ( f ) + ϕ). By using the Taylor series and assuming small amplitude and phase errors, the amplitude of the leakage current i leak ( f ) versus the residual current i res ( f ) can be modeled as     1/2   i leak ( f )  A 2 2 ∼  . (6)  i res ( f )  = φ + A0 Fig. 4 also shows the simulation results for the leakage cancellation block amplitude A and phase errors ϕ versus the TLCR after the leakage cancellation. To achieve more than 25 dB of rejection, the phase and amplitude errors at the leakage cancellation block should be A < 4% and ϕ < 2°. The TLCR in the proposed quasi-circulator can be expressed as   Z 01 2 2 2 1/2 . (7) TLCR = 20 log10 + (A + |A0 ( f )| φ ) z i gmd

In addition to TLCR, IL between PA and antenna is important in the quasi-circulator. Assuming an ideal power divider, the transmitted signal power is divided between the antenna and the reconfigurable impedance. Therefore, the minimum IL between transmitter and antenna in the proposed architecture is 3 dB. The transmit signal at the antenna is s( f )(z i+ /Z 01 ) (−90°). III. C IRCUIT I MPLEMENTATION The active CMOS quasi-circulator is fabricated in IBM 130-nm CMOS technology. A. Low-Noise Amplifier The LNA is a common-source differential amplifier with LC resonant load tuned at 2.4 GHz, as shown in Fig. 5. The goal in designing the LNA is reducing the NF below 5 dB, reducing the common-mode transconductance gmc by 25 dB less than the differential-mode transconductance gmd , and large common-mode voltage range at LNA input to tolerate the high-power transmit signal without generating distortions. Gate inductor L 1 is used for improving the equivalent transconductance of the LNA and impedance matching. The output has a resonance LC tank to provide resonance at 2.4 GHz, dc biasing, and high impedance at the operating frequency. The proper biasing of the differential amplifier maximizes the common-mode voltage range at the LNA input which is necessary to improve the linearity of the LNA in

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

presence of transmitter signal. In this design, the commonmode voltage range at LNA input is 2.5 V which is enough for 18-dBm transmitter signal power. To reduce the commonmode transconductance gmc , all the well-known layout techniques have been used. In this design, the gmd is 20 mS, and the gmc (from the post layout simulation) is 0.15 mS (42 dB smaller than gmd ). In addition, assuming 25-dB TLCR at the LNA input, the power of the differential error signal is −25 dBm at the LNA input. To keep the IM3 distortion signals below −50 dBm (maximum leakage power), the LNA IIP3 should be more than −15 dBm. To achieve this IIP3, the devices in the LNA are sized and the power consumption of the LNA is optimized. B. Reconfigurable Impedance Block ZV The reconfigurable impedance block is a common-source NMOS transistor with inductive source degeneration, as shown in Fig. 5. This block provides a variable impedance matching to compensate for the antenna impedance errors and improve the TLCR. The proposed active impedance matching block generates less noise compared to the passive impedance [15], [37]. The input impedance (Z V ) of the reconfigurable impedance block is   gmL LLω 1 ZV = Ls + j − + ωL (8) s CgsL 1 − L L C L ω2 CgsL ω where gmL is the transconductance of transistor N1 , CgsL is the gate-to-source capacitance of N1 , L L is the inductance at the gate of N1 , L S is the source degeneration inductance of N1 , and C L is the variable capacitance of a varactor diode placed at the gate of N1 . The input impedance of this circuit is controlled by a dc bias voltage Vctrl which controls gmL , and by the variable capacitor C L . The reconfigurable impedance is tuned for 50  at 2.4 GHz which can track the RLs lower than 13 dB and its IM3 distortion is below −50 dBm for 0-dBm transmit signal power. C. LNA Output Leakage Cancellation Path The LNA output leakage cancellation path consists of an amplitude and a phase adjustment block HC ( f ) followed by a transconductance amplifier (G m ). The goal of this reconfigurable block is to cancel any residual transmit signal at the output of the LNA. This is accomplished by generating the cancellation current i C ( f ) by the phase and amplitude adjustment of the transmit signal. As discussed in the previous section, the required amplitude resolution is 0.25 dB with the phase resolution of 2°. The leakage cancellation block HC ( f ) is implemented by off the shelf components which its amplitude and phase tuning steps are 0.25 dB and 1°, respectively. A variable attenuator designed by Analog Device/Hittite, HMC1119 an LSB gain step size of 0.25 dB is used. A variable phase shifter designed by Analog Device/Hittite, HMC928LP5E is also used in the leakage cancellation path. This phase shifter is controlled by a digital potentiometer with 9-b ENOB and provides accuracy better than 1° phase shift.

Fig. 8. TX–ANT path measured and simulated. (a) IL and RL at transmitter port. (b) IL versus transmitter power PTX .

D. Output Buffer The goal in the output buffer is providing low impedance at its input and impedance matching at its output. The Output buffer is a differential common-gate amplifier with LC resonant load tuned at 2.4 GHz, as shown in Fig. 5. The buffer provides low input impedance, and its output is matched to 100 . P3 and P4 are common-gate input transistors, and transistors P1 and P2 form current sources. The LC tank consisting of a symmetric inductor L 3 in parallel with a variable capacitor C3 , and series capacitors C4 form output matching network. E. Power Divider An on-board power divider using transmission lines has been designed. The divider is a reciprocal component which its IL is ideally 3 dB. This IL cannot be avoided since it is a physical limit due to the divider being reciprocal. The characteristic impedance and electrical length of the transmission lines are 70.7  and 90°, respectively. Compared to an on-chip power divider, the on-board power divider has lower loss at 2.4 GHz due to low-loss on-board transmission lines. IV. M EASUREMENT AND S IMULATION R ESULTS The overall chip area is 1 × 1 mm2 as shown in Fig. 6. The key parameters of the three port quasi-circulator include forward path gain, TLCR, impedance matching, linearity, and noise.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AYATI et al.: INTEGRATED QUASI-CIRCULATOR WITH RF LEAKAGE CANCELLATION

7

Fig. 9. (a) Simulation result for the impedance range that can be generated by the reconfigurable impedance. (b) TLCR for different Z A and 50  Z V. (c) Different Z A , with tuned Z V for the best TLCR.

Fig. 10. TX-RX path measured and simulated results. (a) TLCR with and without leakage cancellation. (b) IM3 versus TX power. (c) TLCR versus transmit signal power with and without leakage cancellation. (d) PSD of the 40-MHz QPSK modulated transmit signal and the leakage signal.

A. ANT–RX Path The desired ANT–RX (path between ANT port and RX port) parameters are gain >10 dB, RL >10 dB, and NF 12 dB and IL < 3.8 dB, as shown in Fig. 8(a). Fig. 8(b) shows the variation of measured IL of TX–ANT path as a

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

TABLE II C OMPARISON TABLE AND P ERFORMANCE S UMMARY

function of the transmitter power PTX . Fig. 8(b) shows 0.2-dB variation of IL when PTX is increased up to 6 dBm. The P1dB between transmitter and antenna ports cannot be measured because the large transmitter power can cause damage at quasicirculator but the simulation results show the P1dB in the TX–ANT path is 18 dBm. C. TX–RX Path The goal is to provide at least 50 dB of isolation for the transmit signal echoing back in the receiver path with IIP3 = 0 dBm. Fig. 9(a) shows the simulation results for the impedance range that can be generated by the reconfigurable impedance. The circle of the 13-dB RL is also shown in Fig. 9(a) which shows that the reconfigurable impedance can provide all the impedances with a RL better than 13 dB. Fig. 9(b) and (c) shows the TLCR as a function of the antenna impedance matching for Z A = 30, 50, and 80 . Without the reconfigurable impedance matching for Z A = 30 and 80 , the TLCR is 0 dB. The TLCR is above 30 dB with the tuned reconfigurable impedance Z V . The reconfigurable impedance Z V compensates the antenna impedance errors and improved the TLCR to over 30 dB with RL > 13 dB.

To maximize the TLCR (minimize leakage) the reconfigurable impedance block and the leakage cancellation block have to be tuned. In the reconfigurable impedance block, the variables cap and the dc current control the real and the imaginary components of the reconfigurable impedance. The leakage cancellation block has a variable attenuator and a phase shifter that change the phase and amplitude of the leakage cancellation block. The reconfigurable impedance block and the leakage cancellation blocks are calibrated by using two tones in order to maximize TLCR in the desired frequency. The steps are as follows: first, the leakage cancellation block is turned OFF and the reconfigurable impedance control signals vary the capacitance and the dc current. TLCR is measured to find the optimal values. Then, with the optimized reconfigurable impedance block, the phase and amplitude of the leakage cancellation block are fine tuned to maximize the TLCR. The overall measured TLCR in the receiver path including the output leakage cancellation block is shown in Fig. 10(a). The solid line shows the TLCR with the tuned reconfigurable impedance block and leakage cancellation block. For a single-tone transmitted signal at 2.4 GHz with 0-dBm power, the TLCR is 90 dB.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. AYATI et al.: INTEGRATED QUASI-CIRCULATOR WITH RF LEAKAGE CANCELLATION

The measured third-order intermodulation distortion (IM3) for different transmitter signal powers is shown in Fig. 10(b) with all the signals referred to the antenna port. The measured TX–RX IIP3 is 0 dBm. The measurement results show that the IM3 distortion signals generated by the Gm-stage output are below −50 dBm when the transmit leakage signal is canceled at the LNA output. The measured TLCR of the quasi-circulator versus transmitted signal power PTX is shown in Fig. 10(c). Fig. 10(c) shows that the quasi-circulator can provide large TLCR for a wide range of transmit signal power. The measured power spectral density (PSD) for a wideband QPSK signal with BW = 40 MHz is shown in Fig. 10(d). With the reconfigurable impedance block and leakage cancellation block, there is over 50 dB of cancellation. The measurement results are summarized and compared to the state-of-the-art literature in Table II. V. C ONCLUSION An on-chip CMOS reconfigurable quasi-circulator, designed and fabricated in a 130-nm CMOS technology is presented. Transmit leakage signal is canceled at the LNA input as a common-mode signal and the received signal is amplified as a differential-mode signal. Reconfigurable impedance is used to compensate the antenna impedance errors to improve the TLCR in the quasi-circulator. Furthermore, a leakage cancellation block is added to cancel the residual transmit leakage signal at the LNA output. The quasi-circulator has more than 90-dB TLCR for a single-tone transmit signal and more than 50-dB TLCR for a 40-MHz modulated transmitted signal. The proposed quasi-circulator is shown to have better TLCR, wider signal bandwidth, and better NF in comparison to state-of-the-art integrated circulators, enabling full-duplex STAR telecommunication by canceling the high-power transmitted signal, and relaxing the receiver from compression point caused by the transmit leakage. R EFERENCES [1] M. Bassi, M. Caruso, M. S. Khan, A. Bevilacqua, A.-D. Capobianco, and A. Neviani, “An integrated microwave imaging radar with planar antennas for breast cancer detection,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 5, pp. 2108–2118, May 2013. [2] H.-C. Kuo et al., “A fully integrated 60-GHz CMOS direct-conversion Doppler radar RF sensor with clutter canceller for single-antenna noncontact human vital-signs detection,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 4, pp. 1018–1028, Apr. 2016. [3] A. Arbabian, S. Callender, S. Kang, M. Rangwala, and A. M. Niknejad, “A 94 GHz mm-wave-to-baseband pulsed-radar transceiver with applications in imaging and gesture recognition,” IEEE J. Solid-State Circuits, vol. 48, no. 4, pp. 1055–1071, Apr. 2013. [4] J. Lee, Y.-A. Li, M.-H. Hung, and S.-J. Huang, “A fully-integrated 77-GHz FMCW radar transceiver in 65-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 45, no. 12, pp. 2746–2756, Dec. 2010. [5] J. Hasch, E. Topak, R. Schnabel, T. Zwick, R. Weigel, and C. Waldschmidt, “Millimeter-wave technology for automotive radar sensors in the 77 GHz frequency band,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 3, pp. 845–860, Mar. 2012. [6] D. Bharadia, E. McMilin, and S. Katti, “Full duplex radios,” ACM SIGCOMM Comput. Commun. Rev., vol. 43, no. 4, pp. 375–386, 2013. [7] A. Sabharwal, P. Schniter, D. Guo, D. W. Bliss, S. Rangarajan, and R. Wichman, “In-band full-duplex wireless: Challenges and opportunities,” IEEE J. Sel. Areas Commun., vol. 32, no. 9, pp. 1637–1652, Sep. 2014.

9

[8] Z. Tang and S. Pan, “A full-duplex radio-over-fiber link based on a dualpolarization Mach–Zehnder modulator,” IEEE Photon. Technol. Lett., vol. 28, no. 8, pp. 852–855, Apr. 15, 2016. [9] K. Wang, A. Nirmalathas, C. Lim, and E. Skafidas, “Experimental demonstration of a full-duplex indoor optical wireless communication system,” IEEE Photon. Technol. Lett., vol. 24, no. 3, pp. 188–190, Feb. 1, 2012. [10] D. Ruffieux et al., “A narrowband multi-channel 2.4 GHz MEMS-based transceiver,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 228–239, Jan. 2009. [11] M. Contaldo, B. Banerjee, D. Ruffieux, J. Chabloz, E. L. Roux, and C. C. Enz, “A 2.4-GHz BAW-based transceiver for wireless body area networks,” IEEE Trans. Biomed. Circuits Syst., vol. 4, no. 6, pp. 391–399, Dec. 2010. [12] S. Tanaka, N. Shimomura, and K. Ohtake, “Active circulators—The realization of circulators using transistors,” Proc. IEEE, vol. 53, no. 3, pp. 260–267, Mar. 1965. [13] M. A. Smith, “GaAs monolithic implementation of active circulators,” in IEEE MTT-S Int. Microw Symp. Dig., vol. 2. New York, NY, USA, May 1988, pp. 1015–1016. [14] Y. Zheng and C. E. Saavedra, “An ultra-compact CMOS variable phase shifter for 2.4-GHz ISM applications,” IEEE Trans. Microw. Theory Techn., vol. 56, no. 6, pp. 1349–1354, Jun. 2008. [15] A. Gasmi, B. Huyart, E. Bergeault, and L. Jallet, “Noise and power optimization of a MMIC quasi-circulator,” IEEE Trans. Microw. Theory Techn., vol. 45, no. 9, pp. 1572–1577, Sep. 1997. [16] S.-C. Shin, J.-Y. Huang, K.-Y. Lin, and H. Wang, “A 1.5-9.6 GHz monolithic active quasi-circulator in 0.18 μm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 797–799, Dec. 2008. [17] H.-S. Wu, C.-W. Wang, and C.-K. C. Tzuang, “CMOS active quasicirculator with dual transmission gains incorporating feedforward technique at K -band,” IEEE Trans. Microw. Theory Techn., vol. 58, no. 8, pp. 2084–2091, Aug. 2010. [18] S.-H. Hung, Y.-C. Lee, C.-C. Su, and Y.-H. Wang, “High-isolation millimeter-wave subharmonic monolithic mixer with modified quasicirculator,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 3, pp. 1140–1149, Mar. 2013. [19] S.-H. Hung, K.-W. Cheng, and Y.-H. Wang, “An ultra wideband quasicirculator with distributed amplifiers using 90 nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 12, pp. 656–658, Dec. 2013. [20] T. Kijsanayotin and J. F. Buckwalter, “Millimeter-wave dual-band, bidirectional amplifier and active circulator in a CMOS SOI process,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 12, pp. 3028–3040, Dec. 2014. [21] J.-F. Chang, J.-C. Kao, Y.-H. Lin, and H. Wang, “Design and analysis of 24-GHz active isolator and quasi-circulator,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 8, pp. 2638–2649, Aug. 2015. [22] C.-H. Chang, Y.-T. Lo, and J.-F. Kiang, “A 30 GHz active quasicirculator with current-reuse technique in 0.18 μm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 12, pp. 693–695, Dec. 2010. [23] N. A. Estep, D. L. Sounas, J. Soric, and A. Alù, “Magnetic-free nonreciprocity and isolation based on parametrically modulated coupledresonator loops,” Nature Phys., vol. 10, pp. 923–927, Nov. 2014, doi: 10.1038/nphys3134. [24] N. A. Estep, D. L. Sounas, and A. Alù, “Magnetless microwave circulators based on spatiotemporally modulated rings of coupled resonators,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 2, pp. 502–518, Feb. 2016. [25] J. Zhou, N. Reiskarimian, and H. Krishnaswamy, “Receiver with integrated magnetic-free N-path-filter-based non-reciprocal circulator and baseband self-interference cancellation for full-duplex wireless,” in Proc. IEEE Int. Conf. Solid-State Circuits (ISSCC), Feb. 2016, pp. 178–180. [26] N. Reiskarimian and H. Krishnaswamy, “Magnetic-free non-reciprocity based on staggered commutation,” Nature Commun., vol. 7, Mar. 2016, Art. no. 11217, doi: 10.1038/ncomms11217. [27] N. Reiskarimian, J. Zhou, and H. Krishnaswamy, “A CMOS passive LPTV nonmagnetic circulator and its application in a full-duplex receiver,” IEEE J. Solid-State Circuits, vol. 52, no. 5, pp. 1358–1372, May 2017. [28] N. Reiskarimian, M. B. Dastjerdi, J. Zhou, and H. Krishnaswamy, “Highly-linear integrated magnetic-free circulator-receiver for fullduplex wireless,” in Proc. IEEE Int. Conf. Solid-State Circuits (ISSCC), Feb. 2017, pp. 316–317.

This article has been accepted for inclusion in a future issue of this journal. Content is final as presented, with the exception of pagination. 10

[29] S. Wang, C.-H. Lee, and Y.-B. Wu, “Fully integrated 10-GHz active circulator and quasi-circulator using bridged-T networks in standard CMOS,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 24, no. 10, pp. 3184–3192, Oct. 2016. [30] M. Mikhemar, H. Darabi, and A. Abidi, “An on-chip wideband and lowloss duplexer for 3G/4G CMOS radios,” in Proc. Symp. VLSI Circuits, Jun. 2010, pp. 129–130. [31] S. H. Abdelhalem, P. S. Gudem, and L. E. Larson, “Tunable CMOS integrated duplexer with antenna impedance tracking and high isolation in the transmit and receive bands,” IEEE Trans. Microw. Theory Techn., vol. 62, no. 9, pp. 2092–2104, Sep. 2014. [32] J. Zhou, A. Chakrabarti, P. R. Kinget, and H. Krishnaswamy, “Lownoise active cancellation of transmitter leakage and transmitter noise in broadband wireless receivers for FDD/co-existence,” IEEE J. Solid-State Circuits, vol. 49, no. 12, pp. 3046–3062, Dec. 2014. [33] J. Zhou, T.-H. Chuang, T. Dinc, and H. Krishnaswamy, “Integrated wideband self-interference cancellation in the RF domain for FDD and full-duplex wireless,” IEEE J. Solid-State Circuits, vol. 50, no. 12, pp. 3015–3031, Dec. 2015. [34] D.-J. V. D. Broek, E. A. M. Klumperink, and B. Nauta, “An in-band full-duplex radio receiver with a passive vector modulator downmixer for self-interference cancellation,” IEEE J. Solid-State Circuits, vol. 50, no. 12, pp. 3003–3014, Dec. 2015. [35] S. A. Ayati, D. Mandal, B. Bakkaloglu, and S. Kiaei, “Adaptive integrated CMOS circulator,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. (RFIC), May 2016, pp. 146–149. [36] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ, USA: Wiley, 2005. [37] R. H. Frater and D. R. Williams, “An active ‘cold’ noise source,” IEEE Trans. Microw. Theory Techn., vol. MTT-29, no. 4, pp. 344–347, Apr. 1981. [38] D. Yang, H. Yüksel, and A. Molnar, “A wideband highly integrated and widely tunable transceiver for in-band full-duplex communication,” IEEE J. Solid-State Circuits, vol. 50, no. 5, pp. 1189–1202, May 2015.

Seyyed Amir Ayati received the B.S. degree in electrical engineering from Tabriz University, Tabriz, Iran, in 2008, and the M.S. degree from the Iran University of Science and Technology, Tehran, Iran, in 2011. Since 2014, he has been pursuing the Ph.D. degree at the Mixed Signal Group, Arizona State University, Tempe, AZ, USA, with a focus on full-duplex CMOS transceiver and self-interference cancellation. He was a Design Engineer with RF/microwave industries. He joined Qualcomm Inc., Tempe, AZ, USA, in 2017, where he is currently a Senior Engineer involved in RFIC design. His current research interests include RFIC, analog circuits, electromagnetics, microwave circuit, and antenna design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Debashis Mandal (S’06–M’14) received the B.E. degree from Bengal Engineering College, Shibpur, India, in 2002, and the M.S. and Ph.D. degrees from IIT Kharagpur, Kharagpur, India, in 2008 and 2013, respectively. From 2002 to 2004, he was with Alliance Semiconductor Pvt. Ltd., Bangalore, India, where he was involved in analog and mixed-signal circuit design. He is currently a Post-Doctoral Research Scholar with the School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ, USA. His current research interests include power management integrated circuits, RF integrated circuits, frequency synthesizers, low-power analog and mixed-signal circuits, and circuits for biomedical applications. Bertan Bakkaloglu (M’94–SM’08) received the Ph.D. degree from Oregon State University, Corvallis, OR, USA, in 1995. He was with the Mixed Signal Wireless Design Group, Texas Instruments Incorporated, Dallas, TX, USA, where he was involved in analog, RF, and mixed signal front ends for wireless and wireline communication ICs. In 2004, he joined the Electrical Engineering Department, Arizona State University, Tempe, AZ, USA, as an Associate Professor. His current research interests include RF and PA supply regulators, RF synthesizers, biomedical and instrumentation circuits and systems, high-speed RF data converters, and RF built-in-self-test circuits for communication ICs. Dr. Bakkaloglu has been a Steering Committee member and the Technical Program Chair for the IEEE RFIC Conference and an Associate Editor of the IEEE T RANSACTIONS ON C IRCUITS AND S YSTEMS and the IEEE T RANSACTIONS ON M ICROWAVE T HEORY AND T ECHNIQUES . Sayfe Kiaei (F’12) received the Ph.D. degree in electrical and computer engineering from Washington State University, Pullman, WA, USA, in 1987. He was an Associate Professor with Oregon State University, Corvallis, OR, USA, from 1987 to 1993, where he taught courses and performed research in digital communications, VLSI system design, advanced CMOS IC design, and wireless systems. He was a Senior Member of Technical Staff with the Wireless Technology Center and Broadband Operations, Motorola, Austin, TX, USA, from 1993 to 2001, where he was responsible for the development of wireless transceiver ICs, and digital subscriber lines transceivers. He is currently a Professor and the Director with the Connection One Center (NSF I/UCRC Center), Arizona State University, Tempe, AZ, USA. He has authored over 150 journal and conference papers and holds several patents. His current research interests include wireless transceiver design, RF and mixed-signal IC’s in CMOS and SiGe. Dr. Kiaei is a member of the IEEE Circuits and Systems Society, the IEEE Solid-State Circuits Society, and the IEEE Communication Society. He has been on the Technical Program Committee and/or has been the Chair of many conferences, including RFIC, MTT, ISCAS, and other international conferences.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

1411

Analytical Approach for SiGe HBT Static Frequency Divider Design for Millimeter-Wave Frequency Operation Aleksey Dyskin

Abstract— This paper presents a new analytic approach for static frequency divider design. It consists of derivations of analytic expressions for the self-oscillation frequency and frequency divider oscillation condition, based on the device-level circuit parameters only. Additionally, an analytic expression for frequency divider sensitivity is obtained based on injectionlocking theory. The proposed approach is verified against measurement results of a static frequency divider, fabricated in SiGe BiCMOS 0.13-µm process. This approach enables fast preliminary frequency divider design based on time- and resourceefficient simulations. Index Terms— Analytical models, E-band, frequency divider, heterojunction bipolar transistors (HBTs), injection-locked oscillators, millimeter-wave (mmw) integrated circuits, silicon germanium (SiGe).

I. I NTRODUCTION

F

REQUENCY dividers are widely used in different applications such as phase-locked loop implementation [1]–[3], quadrature signal generation [4]–[6], and carrier recovery techniques [7]. Since modern millimeter-wave (mmw) radio links require complicated integrated receivers capable of demodulating high frequency and wide bandwidth modulated signals, divider specifications become critical, and their analytical estimation is crucial for the communication system design. The frequency range of operation, input sensitivity, and power consumption are three key design parameters of a frequency divider. The regenerative (analog) dividers suggest a high frequency of operation [8], but the input sensitivity of these dividers is relatively poor, since a divider should preserve a certain input voltage amplitude to lock [9]. On the other hand, static (digital) dividers sport a self-resonance frequency ( f osc ) and thus their sensitivity is better. In addition, static dividers provide a wider bandwidth. However, static dividers employ a latching mechanism based on master–slave latches to achieve frequency division. This mechanism sharply fails with frequency increase [9]. Common techniques to increase the

Manuscript received June 8, 2017; revised August 31, 2017; accepted October 16, 2017. Date of publication December 4, 2017; date of current version March 5, 2018. (Corresponding author: Aleksey Dyskin.) A. Dyskin is with the Department of Electrical Engineering, Technion–Israel Institute of Technology, Haifa 3200003, Israel (e-mail: [email protected]). I. Kallfass is with the Institute of Robust Power Semiconductor Systems, University of Stuttgart, 70174 Stuttgart, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2017.2775244

and Ingmar Kallfass

frequency of operation include higher dc current biasing [10], inductive peaking [9]–[12], and split-resistor loading [13]. The first technique recently became unacceptable due to the stringent power specifications of modern transceivers. The main drawbacks of the second technique are its large chip area requirement and its high sensitivity to package parasitics due to passive inductors usage. The third technique can alleviate the drawbacks of the two previous techniques with proper circuit and layout design. II. P REVIOUS R ESEARCH ON F REQUENCY D IVIDERS Static frequency divider topology studies received little attention due to the complicated latching and delay mechanisms, as well as inaccurate transistor modeling at high frequencies. Nevertheless, a number of systematic studies were conducted in an attempt to develop analytical approaches for static frequency divider design. In [14] and [15], a design methodology based on conventional resistance–capacitance (RC) delay is presented. Work reported in [16] employs an injection-locking concept and proposes an interesting, though abstract, method of CMOS-based static frequency dividers. Gui et al. [17] proposed a methodology based on injectionlocking for analytical prediction of f osc , divider bandwidth, and oscillation condition in CMOS static dividers. However, their approach is based on a simplified RC model of the divider, which was found less accurate in mmw applications. Another interesting study on injection-locked ring oscillators, which are the core of frequency dividers, can be found in [18]. The proposed methodology in [18] is based on the propagation delay of inverters; it is accurate for low frequency operation, yet it is insufficient for analytic prediction of divider behavior in the mmw regime. The work reported in [19] suggests a method to derive f osc in CMOS ring oscillators. This method relies on the voltage–current square-law of CMOS transistors; it is less accurate for submicrometer technologies and mmw applications. Locking range prediction in ring oscillators based on the perturbation projection vector is reported in [20]. However, this method assumes an ideal ring oscillator, missing high-order circuit effects as well as circuit parasitics. In this paper, we present an analytic methodology of static divider design, based on the split-resistor load technique, to enhance the frequency of operation. The divider was implemented in commercially available SiGe BiCMOS heterojunction bipolar transistor (HBT) 0.13-μm process, and the

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

1412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 3. (a) Differential two-stage ring oscillator. (b) Schematic representation.

Fig. 1. Frequency divider schematic. The D-latch schematic is given in Fig. 2.

Fig. 2.

D-latch, used in a static frequency divider.

methodology was verified against measurements. The divider makes use of an input buffer with 50- termination and output buffer to facilitate measurements (Fig. 1). This paper is organized as follows. In Sections III and IV, we analyze static dividers as ring oscillators, deriving analytic expressions for f osc and the oscillation condition, utilizing device-level circuit parameters solely. In Section V, we suggest to model a ring oscillator as an equivalent resistance– capacitance–inductance (RLC) network and find an equivalent quality factor of the oscillator. Building on the injectionlocking approach, we derive an analytical model for divider sensitivity. In Section VI, the experimental results and the model verification are presented. Section VII concludes this paper. III. S ELF -R ESONANCE F REQUENCY E XPRESSION The frequency operation of static dividers based on master– slave D-flip flops (Fig. 2) can be separated into two areas: digital (low frequency) and analog (high frequency). During digital operation, the clock swing is constant and has a square waveform, and transistors act almost as ideal switches. The output swing that is fed back is square and constant as well.

At high frequencies, the internal transistor constants start to manifest themselves and the clock is no longer a square wave; it can be characterized as a sine wave with limited amplitude. The self-oscillation frequency ( f osc ) can serve as a coarse predictor of a divider’s highest operation frequency. The divider operates properly only if it enables self-oscillation. Otherwise, it fails to divide the input signal. The minimum input power (divider sensitivity) needed for proper divider operation is not constant in the vicinity of f osc . It rises when the frequency of operation is different from f osc . At low frequencies, the divider enters digital operation, at which its sensitivity curve becomes constant after reaching a certain power level. At high frequencies, the divider fails to operate correctly. The frequency range between these frequencies, which characterizes the analog divider operation, can be analytically determined using injection-locking theory [16], [17]. At frequency f osc , zero clock swing results in half-rate frequency at the output. With zero clock swing, the divider can be seen as a two-stage differential ring oscillator [9]. This ring oscillator can be presented schematically as having three stages, with the third-stage modeling a wire-crossing [19], [21] (Fig. 3). According to the Barkhausen criteria, the phase shift around the loop must be zero. Hence, each D-latch should introduce a phase shift of 90° at fosc . This is possible only if the D-latch can be characterized by at least two poles [22], i.e., the openloop transfer function is H (s) =  1+

H02 2  s 1+ ω p1

s ω p2

2

(1)

where ω p1 and ω p2 are the two poles and H0 is the low frequency voltage gain of the D-latch. The overall phase shift by one D-latch can be expressed by   ωosc (ω p1 +ω p2 ) ωosc ωosc +arctan = arctan 90° = arctan . 2 ω p1 ω p2 ω p1 ω p2 − ωosc (2) The angular frequency ωosc can be obtained from (2) √ ωosc = ω p1 ω p2 .

(3)

To design the frequency divider for a certain f osc , one requires explicit knowledge of the D-latch poles. Since the D-latch in Fig. 2 can be viewed as a common-emitter differential stage followed by a common-collector (CC) buffer, its frequency response can be presented by means of the dominant pole and a number of nondominant poles and zeros [23]. The low frequency (dominant) pole ω p1 of the D-latch is associated with its delay time. Since R2 in Fig. 2 is quite low,

DYSKIN AND KALLFASS: ANALYTICAL APPROACH FOR SiGe HBT STATIC FREQUENCY DIVIDER DESIGN

Fig. 4.

1413

Small signal equivalent scheme for dominant pole calculation.

the parasitic capacitances of Q 3,3 and Q 3,4 do not contribute much to the delay. Note that this condition is explained as a fast latching pair settling time in [24] and can be understood on a circuit level in this approach. As a result, the D-latch delay is set only by the tracking pair circuit, loaded with a splitresistor, and a CC buffer. The equivalent resistance Reff seen by the collector of Q 3,1 or Q 3,2 is found by a straightforward calculation of the resistance seen into the split load and is given by Reff

R1 + R2 ≈ 1 − gm 34 R2

(4)

where gm 34 is the transconductance of one of the crosscoupled transistors (Q 3,3 or Q 3,4 ). The pole ω p1 can be found according to the method in [25]. A small signal model from node D to the base of Q 4 , based on [26], is given in Fig. 4. This method relies on zero-value time constant calculations for each parasitic capacitance and bandwidth estimation (dominant pole) according to [27, eq. (37)]. The pole is given by  re + rbi + rbx Cπ ω p1 ≈ 1 + gm 12 re   gm (rc + Reff )(rbi +rbx ) + rbi +rbx + 12 +rc + Reff Cμ 1+gm 12 re   gm 12 (rc + Reff )rbx + rbx + + rc + Reff Cbcx 1 + gm 12 re −1 + (rc + Reff )Csub + Reff (Cμ,Q 4 + Cbcp ) (5) where Cbcx is an extrinsic base–collector capacitance, Cbcp is a parasitic base–collector capacitance, Cμ is an intrinsic base–collector capacitance, Cπ is an intrinsic base–emitter capacitance, Csub is a substrate capacitance, and rbi , rbx are an intrinsic and extrinsic base resistances, respectively, rc is a parasitic collector resistance, re is a parasitic emitter resistance, and gm 12 is the transconductance of the tracking pair transistors (Q 3,1 or Q 3,2 ). In ω p1 calculation, it is assumed that rπ  re , rbx , rbi , β + 1 ≈ β and thus (β/rπ ) = gm . The first nondominant pole ω p2 can be predicted according to [23], assuming that ω p1 is at least two decades lower than ω p2 . The inaccuracy in the prediction of ω p2 is due to: 1) the small signal transfer function having only two poles and 2) the simplified circuit model in [23] facilitating the calculation. However, simulations show that this prediction

Fig. 5. Root locus chart of the pole location for startup and steady state oscillations.

is satisfactory. With the addition of the transistor parasitic resistances and capacitances, the pole is given by  1 1 + ω p2 ≈ (rc + Reff )(Cμ + Cbcx + Csub ) (rc + Reff )Cπ  1 gm 12 + + . (6) (1 + gm 12 re )Cπ ((rbi + rbx )||rπ ) Cπ Additional nondominant poles and transmission zeros, contributed by the CC stage and the tracking pair, occur at higher frequencies and have little impact on the circuit phase shift at the frequencies of interest. IV. O SCILLATION C ONDITION The closed-loop poles can be found by solving the characteristic equation     s 2 s 2 + H02 = 0 (7) 1+ 1+ ω p1 ω p2 yielding that the four closed-loop poles are given by  2 ωcl1,2 = −0.5(ω p1 + ω p2 ) + 0.5 (ω p1 − ω p2 )2 ± j 4H0ωosc ωcl3,4

= −0.5(ω p1 + ω p2 ) + γ ± j δ = −α ± j δ (8)  2 2 = −0.5(ω p1 + ω p2 ) − 0.5 (ω p1 − ω p2 ) ± j 4H0ωosc = −0.5(ω p1 + ω p2 ) − γ ± j δ = −β ± j δ

(9)

where γ and δ are the respective real and imaginary parts of 2 )1/2 and are given by ((ω p1 − ω p2 )2 ± j 4H0ωosc

4 + (ω − ω )4 0.5 + (ω − ω )2 16H02ωosc p1 p2 p1 p2 γ = 8 √ 2 2H0 ωosc δ=  . (10) 4 + (ω − ω )4 )0.5 + (ω − ω )2 16H02ωosc p1 p2 p1 p2 The root locus chart of the closed-loop system is shown in Fig. 5. It can be seen that, starting from some H0∗ , the low

1414

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Equivalent scheme of the two-stage differential ring oscillator.

frequency poles cross the j ω axis and are located in the right half of the Laplace plane. This condition can be analytically found by equating the real part of the low frequency closedloop poles to zero, or alternatively the imaginary part to ωosc , yielding |H0∗|

ω p1 + ω p2 = . ωosc

Fig. 7.

(11)

V. I NJECTION L OCKING IN S TATIC D IVIDER The poles ωcl1,2 model an equivalent RLC circuit that, depending on H0 , will have a negative resistance, positive resistance, or present a pure equivalent LC circuit (Fig. 6). By neglecting ωcl3,4 , the two always-stable poles of the ring oscillator, the circuit’s characteristic equation can be presented by multiplying the potentially unstable poles, that is, (s − ωcl1 )(s − ωcl2 ) = s 2 + 2αs + (α 2 + δ 2 )

(12)

where α and δ are the real and imaginary parts, respectively, of the poles ωcl1,2 , given in (8). The transient dynamics of the circuit, based on Fig. 6, are described by the following differential equation: v osc d 2 v osc G rm − G r dv osc 1 di inj + + = 2 dt Cr dt L r Cr Cr dt

(13)

where by (12) G rm − G r = 2α Cr 1 = α2 + δ2 . L r Cr

(14) (15)

Cr ωosc ωosc = = |H0∗|−1 . G rm ω p1 + ω p2

do not leave the active region so their large-signal collector current is given by 

2 V B −V E (V V − V − V ) B E B E IC = I S e V T ≈ I S 1 + + ... VT 2VT2 (18) where I S is the HBT scale current, V B and VE are the base and emitter voltages, respectively, and VT is the thermal voltage, given by VT = (kT /q). To account for nonlinearities in the oscillator, we present the oscillation voltage v osc in Fig. 6 according to [29] v osc (t) = v nl (t) cos(ωinj t + θ (t)).

(16)

This result matches the open-loop quality factor definition from [28] ωosc d ωosc = . (17) QT = 2 dω ω=ωosc ω p1 + ω p2 To define the injection-locking range according to [29], one should first find the injection conversion coefficient from the base of Q 2 to the collector of Q 3,1−4 . This conversion coefficient comprises three subconversions: the power to voltage conversion at the base of Q 2 at the frequency of 2ωosc , the voltage gain from the base of Q 2 to the emitter of Q 3 at the frequency of 2ωosc , and the mixing of the voltage at the emitter of Q 3 with the signal at the frequency of ωosc at the base of Q 3 . To facilitate the analysis, we assume that Q 3,1−4

(19)

Since the divider operates only if it is injection-locked (i.e., (dθ/dt) = (dv nl /dt) = 0), we rewrite (19) by v osc (t) = v nl cos(ωinj t + θ ).

(20)

In addition, the CLK signals are fed into the divider by means of the input buffer (Fig. 7). The voltage transfer function of the buffer is ≈ 1, so it is neglected in the calculation. As a result, the injection input power to injection input voltage conversion at the base of Q 2 is given by v Q 2 ,b (2ωosc ) = (Pin (2ωosc ) · 50)0.5 .

Thus, the quality factor of the modeled tank is given by QT =

Simplified clock signal input buffer.

(21)

The voltage conversion from the base of Q 2 to the emitter of Q 3 is obtained by gm2 · v Q 2,b (2ωosc ) ≈ v Q 2,b (2ωosc ). (22) v Q 3 ,e (2ωosc ) = 0.5 gm3 To derive the injection current, we use the quadrature term of (18), substituting the emitter voltage of Q 3 from (22) for VE and the oscillations voltage from (20) of the ring oscillator for V B . Taking only the self-mixing term into consideration results in I S · v nl · v Q 3 ,e I S · i osc · v Q 3 ,e i inj (ωosc ) = = (23) 2 2VT G rm · 2VT2 where as stated in [29], v nl = (i osc/G rm ). Moreover, we substitute gm2 = 2gm3 . In (23), we assume that the divider is injection-locked for proper frequency division operation. Assuming that while the oscillator is locked the voltage swings at the nodes D, D and Q, Q are identical, and given

DYSKIN AND KALLFASS: ANALYTICAL APPROACH FOR SiGe HBT STATIC FREQUENCY DIVIDER DESIGN

1415

that Q 3,1−4 are of the same size, it can be shown that i inj,1 = i inj,2 (Fig. 2), meaning that the current in (23) should be doubled. The phase shift, introduced by L r , Cr , and G rm (Fig. 6) is given by   2 1 ωosc π (24) φT = − arctan 2 − ω2 2 Q T ωosc or in a more simple form tan(φT ) = Q T

2 − ω2 ωosc . 2 ωosc

(25)

On the other hand, the maximum injection phase shift is, according to [30], given by

 −0.5  i osc 2 tan(φT ,max ) = −1 . (26) i inj Thus, the locking range of the ring oscillator without a small locking range assumption can be found by equating (25) to (26) and substituting (17) and (21)–(23) in it ⎛ ⎛ ⎞0.5 ⎞ ⎜ ⎜ ⎜ ⎜ ⎜ ⎜ ω = ωosc ⎜1− ⎜1− ⎜ ⎜ ⎝ ⎝

ω p1 + ω p2

 2 ωosc

G rm VT2 I S ( Pin·50)0.5

−1

⎟ ⎟ ⎟ 0.5⎟ ⎟ ⎠

Fig. 8.

Divider by two die photograph (0.18 × 0.15 mm2 excluding pads).

⎟ ⎟ ⎟ ⎟. ⎟ ⎠ (27)

The input power Pin in (27) defines the sensitivity of the divider. It can be seen that (27) depends on the injection power Pin and circuit parameters, which express the conversion of injection power into injection current instead of the oscillation to injection current relation in [29]. VI. E XPERIMENTAL R ESULTS The divider was fabricated using the SiGe BiCMOS 0.13-μm HBT process by IHP (Fig. 8). To enhance the f osc , and hence, the operation frequency, the divider was implemented employing a split-resistor load technique. The divider was tuned to obtain f osc at the frequency of 38.5 GHz, i.e., 77-GHz full rate frequency at the input. To fulfill the oscillation condition and to set the dominant pole frequency (5), the small signal gain (≈ gm 12 Reff ) was chosen well above H0∗. The two modeled poles were found according to (5) and (6) by dc simulating the D-latch and extracting the small signal parameters of the transistors. The modeled poles are ω p1 ≈ 2π · 16 GHz and ω p2 ≈ 2π · 93 GHz, so the ωosc ≈ 2π · 38.57 GHz. As evident from Fig. 9, the two-poles model and the ac simulation of the D-latch are in very good agreement. Moreover, the phase equals 90° at the frequency of ≈39 GHz for the simulation and ≈38.48 GHz for the model. The chip was measured on a probe station. To measure f osc , the chip was biased with VCC of 4 V. The “Vbias” pad was used to calibrate the quiescent point of the divider to adjust f osc and to compare the measured value to the value predicted by the model. With no signal at the input, the output was fed to

Fig. 9. Modeled and the simulated voltage gain and phase shift of the D-latch.

the Spectrum Analyzer N9030A. Fig. 10 shows the measured and predicted values of f osc for different dc current conditions. The sensitivity was measured by generating the input signal by means of Analog Signal Generator E8257D, multiplying the signal by five, and attenuating it using the 10201G6S Motorized Attenuator. Using (27), the sensitivity curve was predicted. The comparison between the measured and the predicted sensitivity curves are shown in Fig. 11. As is evident from the graph, the maximum model deviation is about 3 dB at the edges of the measured range of the divider with correlation coefficient 0.98. This deviation can be explained by the very high sensitivity of the measurement setup at these frequencies. Table I summarizes the works reported on static frequency dividers and ring oscillators. As is evident, the works so far

1416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

TABLE I M ETHODOLOGY C OMPARISON

VII. C ONCLUSION

Fig. 10. Comparison of measured and modeled f osc for different bias conditions.

Fig. 11.

Modeled simulated and measured sensitivity of the divider.

gave, in general, numerical expressions for both f osc and the sensitivity curve. They are less accurate for mmw frequencies, since they rely on idealized transistor models (valid at low frequencies) and do not include all of the divider’s parasitic effects (valid at intermediate frequencies).

The proposed analytical method of split-resistor load-based static divider analysis can be extended to all static divider topologies listed in Section I. Moreover, the injection-locking technique is useful also for analysis of differential ring oscillators with an even number of stages. The method is based solely on the circuit parameters of the divider and is easy to use. The proposed method gives valuable insights on frequency divider performance and on the interplay between circuit parameters. It may be used in parameter optimization for high frequency and low power performance of the divider. The analytical modeling allows for fast and exact primary divider design, based on dc and ac simulations only, which are time and resource efficient compared to the transient simulations of the full design cycle. The method relies on the accuracy of the transistor parameter extraction and modeling by the technology vendor. The good agreement between the measured and predicted results confirms the validity of the proposed method and the accuracy of the transistor model. In addition, we suggest to model a ring oscillator as an equivalent RLC circuit. This approach enables an intuitive way of understanding of injection-locking mechanisms and quality factor derivation. Based on injection-locking theory, an analytical model of the sensitivity curve is obtained, using divider circuit parameters only. Fast and simple prediction of divider sensitivity can be useful in system design aspects. The measurements of fosc versus dc current and the sensitivity curve show good agreement of the model with the measured results. To the authors’ knowledge, this is the first reported analysis of the SiGe static divider, based on circuit parameters only. ACKNOWLEDGMENT The authors would like to thank P. Harati from the University of Stuttgart, Stuttgart, Germany, and A. Katz and B. Shuval from the Technion, Haifa, Israel, for technical discussions, support, and assistance. They would also like to thank S. Wagner and H. Massler from Fraunhofer IAF, Freiburg, Germany, for performing the E-band divider measurements.

DYSKIN AND KALLFASS: ANALYTICAL APPROACH FOR SiGe HBT STATIC FREQUENCY DIVIDER DESIGN

R EFERENCES [1] J. Zhang, G. Huang, and V. Fusco, “SiGe V band wide tuning-range VCO and frequency divider for phase locked loop,” in Proc. Workshop Integr. Non-linear Microw. Millim.-Wave Circuits, Sep. 2012, pp. 1–3. [2] G. Liu, A. Trasser, and H. Schumacher, “A 64–84-GHz PLL with low phase noise in an 80-GHz SiGe HBT technology,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 12, pp. 3739–3748, Dec. 2012. [3] T. Tired et al., “A 1.5 V 28 GHz beam steering SiGe PLL for an 81–86 GHz E-band transmitter,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 10, pp. 843–845, Oct. 2016. [4] Y. Park, S. Chakraborty, C.-H. Lee, S. Nuttinck, and J. Laskar, “Wide-band CMOS VCO and frequency divider design for quadrature signal generation,” in IEEE MTT-S Int. Microw. Symp. Dig., vol. 3. Jun. 2004, pp. 1493–1496. [5] M. K. Ali, A. Hamidian, R. Shu, A. Malignaggi, G. Boeck, and G. Boeck, “Utilizing static frequency divider for quadrature signal generation in a 90 nm CMOS technology,” in Proc. German Microw. Conf., Mar. 2014, pp. 1–4. [6] F. Herzel, J. Borngräber, and A. Ergintav, “A 60 GHz frequency divider with quadrature outputs in 130 nm SiGe BiCMOS technology for optical OFDM systems,” in Proc. 10th Eur. Microw. Integr. Circuits Conf., Sep. 2015, pp. 69–72. [7] T. Messinger, D. Müller, J. Antes, S. Wagner, A. Tessmann, and I. Kallfass, “Divide-by-8 phase detector MMIC for PLL-based carrier recovery in E-band communication,” in Proc. German Microw. Conf., Mar. 2015, pp. 237–240. [8] R. L. Miller, “Fractional-frequency generators utilizing regenerative modulation,” Proc. IRE, vol. 27, no. 7, pp. 446–457, Jul. 1939. [9] B. Razavi, RF Microelectronics (Prentice Hall communications engineering and emerging technologies series), 2nd ed. London, U.K.: Pearson, 2012. [10] H. Knapp, M. Wurzer, K. Aufinger, J. Bock, and T. F. Meister, “62-GHz 24-mW static SiGe frequency divider,” in Dig. Top. Meeting Silicon Monolithic Integr. Circuits RF Syst., Sep. 2004, pp. 5–8. [11] H. Jeong, J. Park, S. Ann, and N. Kim, “Integrated high speed currentmode frequency divider with inductive peaking structure,” in Proc. Eur. Modelling Symp., Oct. 2014, pp. 479–483. [12] B. Jiang, J. Feng, and W. Li, “A 3.9 mw 1–39 GHz static frequency divider employing series inductive peaking technique,” in Proc. IEEE Int. Symp. Radio-Freq. Integr. Technol., Aug. 2014, pp. 1–3. [13] K. Washio et al., “67-GHz static frequency divider using 0.2-μm selfaligned SiGe HBTs,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 1, pp. 3–8, Jan. 2001. [14] R. Nonis, E. Palumbo, P. Palestri, and L. Selmi, “A design methodology for MOS current-mode logic frequency dividers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 2, pp. 245–254, Feb. 2007. [15] W. Fang, A. Brunnschweiler, and P. Ashburn, “An analytical maximum toggle frequency expression and its application to optimizing high-speed ECL frequency dividers,” IEEE J. Solid-State Circuits, vol. 25, no. 4, pp. 920–931, Aug. 1990. [16] C. Zhou, L. Zhang, L. Zhang, Y. Wang, Z. Yu, and H. Qian, “Injectionlocking-based power and speed optimization of CML dividers,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 58, no. 9, pp. 565–569, Sep. 2011. [17] X. Gui, Z. Chen, and M. M. Green, “Analysis of nonlinearities in injection-locked frequency dividers,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 3, pp. 945–953, Mar. 2015. [18] B. Mesgarzadeh and A. Alvandpour, “First-harmonic injection-locked ring oscillators,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2006, pp. 733–736. [19] S. Docking and M. Sachdev, “A method to derive an equation for the oscillation frequency of a ring oscillator,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 50, no. 2, pp. 259–264, Feb. 2003. [20] X. Lai and J. Roychowdhury, “Analytical equations for predicting injection locking in lc and ring oscillators,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2005, pp. 461–464.

1417

[21] A. Rezayee and K. Martin, “A coupled two-stage ring oscillator,” in Proc. 44th IEEE Midwest Symp. Circuits Syst., vol. 2. Aug. 2001, pp. 878–881. [22] B. Razavi, “A 2.5-Gb/s 15-mW clock recovery circuit,” IEEE J. Solid-State Circuits, vol. 31, no. 4, pp. 472–480, Apr. 1996. [23] P. R. Gray, Frequency Response of Integrated Circuits. Hoboken, NJ, USA: Wiley, 2010. [24] A. Rylyakov and T. Zwick, “96-GHz static frequency divider in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 39, no. 10, pp. 1712–1715, Oct. 2004. [25] M. Alioto and G. Palumbo, “Highly accurate and simple models for CML and ECL gates,” IEEE Trans. Comput.-Aided Design Integr., vol. 18, no. 9, pp. 1369–1375, Sep. 1999. [26] H. Y. Chen, K. M. Chen, G. W. Huang, and C. Y. Chang, “Small-signal modeling of SiGe HBTs using direct parameter-extraction method,” IEEE Trans. Electron Devices, vol. 53, no. 9, pp. 2287–2295, Sep. 2006. [27] A. Hajimiri, “Generalized time- and transfer-constant circuit analysis,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 6, pp. 1105–1121, Jun. 2010. [28] B. Razavi, “A study of phase noise in CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 31, no. 3, pp. 331–343, Mar. 1996. [29] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [30] A. Katz, O. Degani, and E. Socher, “Modeling and design of a lowpower injection-locked frequency divider in 90 nm CMOS for 60 GHz applications,” in Proc. IEEE 11th Top. Meeting Silicon Monolithic Integr. Circuits RF Syst., Jan. 2011, pp. 61–64.

Aleksey Dyskin was born in Tashkent, Uzbekistan, in 1983. He received the B.Sc. and M.Sc. degrees in electrical engineering from the Technion–Israel Institute of Technology, Haifa, Israel, in 2008 and 2013, respectively. He is currently pursuing the Ph.D. degree at the Technion and the University of Stuttgart, Stuttgart, Germany. From 2007 to 2010, he was with Intel, Haifa, Israel, as an RFIC Engineer. From 2010 to 2014, he was with Rafael, Haifa, as a Senior RFIC Engineer. He has been teaching various IC courses with the Electrical Engineering Department, Technion, for over a decade. His current research interests include RF and millimeter-wave integrated circuits, broadband communications systems, and circuit theory.

Ingmar Kallfass received the Dipl.-Ing. degree in electrical engineering from the University of Stuttgart, Stuttgart, Germany, in 2000, and the Dr.-Ing. degree from the University of Ulm, Ulm, Germany, in 2005. In 2001, he was a Visiting Researcher with the National University of Ireland, Dublin, Ireland. In 2002, he joined the Department of Electron Devices and Circuits, University of Ulm, as a Teaching and Research Assistant. In 2005, he joined the Fraunhofer Institute for Applied Solid-State Physics, Freiburg, Germany, where he was involved in nonlinear millimeter-wave integrated circuit design. From 2009 to 2012, he was a Professor with the Karlsruhe Institute of Technology, Karlsruhe, Germany, in the frame of the German Excellence Initiative. Since 2013, he has been the Chair of the Institute of Robust Power Semiconductor Systems, University of Stuttgart as a part of the Robert Bosch Center for Power Electronics. His current research interests include compound semiconductor-based circuits and systems for microwave and power electronics.

1374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

An Injection- and Frequency-Locked Loop for Reducing Phase Noise of Wideband Oscillators Kang-Chun Peng , Member, IEEE, Chan-Hung Lee, Student Member, IEEE, De-Guei Wong, Fu-Kang Wang, Member, IEEE, and Tzyy-Sheng Horng, Fellow, IEEE Abstract— High-performance microwave oscillators often exploit feedback loop methods such as those that involve a frequency-locked loop (FLL) or a self-injection-locked loop to improve phase noise. This paper proposes a novel injectionand frequency-locked loop (IFLL) for reducing phase noise of wideband oscillators, which uses an injection-locked oscillator instead of a high-gain amplifier and a variable phase shifter in the FLL to amplify and phase-shift the feedback signal. In this paper, the frequency domain analysis is presented to characterize the proposed IFLL and compared with the experimental results. Consequently, the oscillators that use the IFLL exhibit remarkable phase-noise reduction, which is 5.5–9.3 dB better than that achieved using conventional FLLs at 1-MHz offset frequency, over a wide tuning range of about 53%. Index Terms— Frequency-locked loop (FLL), injection-locked oscillator (ILO), phase noise, self-injection-locked loop (SILL), wideband oscillator.

I. I NTRODUCTION

T

HE phase noise of oscillators is crucial to the performance of a microwave system. For radars, better oscillator phase noise performance contributes to a higher sensing sensitivity and resolution [1]–[3]. For communication instruments, a lower oscillator phase noise results in a more pure carrier signal [4]. To reduce phase noise, additional phase-locked loops (PLLs) are commonly used with oscillators. PLLs can stabilize both the frequency and phase of oscillators within the loop bandwidth of the PLL. Since the loop bandwidth of PLL is inversely proportional to the root of feedback division (divide-by-N) value N [5], [6], the large value of N needed by practical PLLs often limits the phase-noise reduction bandwidth of oscillators. According to the well-known Leeson’s model and PLL theory, the simplest way to improve phase noise of oscillators outside the PLLs loop bandwidth is to increase the quality factor (Q-factor) of the tank resonators [4], [7]–[9]. Several Manuscript received April 10, 2017; revised August 28, 2017; accepted November 14, 2017. Date of publication December 15, 2017; date of current version March 5, 2018. This work was supported by the Ministry of Science and Technology, Taiwan, under Grant 103-2221-E-327-006 and Grant 104-2221-E-327-020-MY2. (Corresponding author: Kang-Chun Peng.) K.-C. Peng, C.-H. Lee, and D.-G. Wong are with the Department of Computer and Communication Engineering, National Kaohsiung First University of Science and Technology, Kaohsiung 811, Taiwan (e-mail: [email protected]). F.-K. Wang and T.-S. Horng are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 804, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2779132

works on oscillators utilize dielectric resonators and metallic air cavities with Q-factors up to several thousands to greatly improve the phase noise performance of oscillators [10]. However, the high-Q resonators inevitably limit the tuning range of oscillators. Tseng and Chang [11] presented a wideband low noise oscillator that uses a microstrip combline bandpass filter in the feedback loop. Since the Q-factor of this microstrip filter is highly frequency dependent, the resultant phase noise varies significantly over the entire tuning range. Another phase-noise reduction technique is the use of the self-injection-locked loop (SILL) [12]–[16]. The SILL feeds back the output signal of an oscillator via a delay line and then reinjects it into the oscillator. The delay line serves as a high-Q resonator in the feedback loop to reduce phase noise of the oscillator. However, the resonant characteristic of the delay line causes the SILL to operate only at several frequencies which are the multiples of the fundamental resonant frequency of the delay line. Therefore, an adaptive phase controller can be used in the SILL to change the phase of the feedback loop based on a detected phase difference between the input and output signals of the oscillator [16]. When the phase difference reaches zero, the oscillator with the feedback loop can operate with low phase noise. Nevertheless, the tuning range of the SILL-based oscillator is just several percentages. Moreover, since the considerable attenuation of the delay line degrades the sensitivity of phase detection in the SILL, a high-gain amplifier is usually needed to compensate the loss of the delay line. Recently, injection-locked PLLs (ILPLLs) were popularly applied to microwave and millimeter-wave oscillators that were injection locked by a high harmonic component, say the Nth harmonic, of a pure reference signal for suppressing phase noise [17]–[22]. Lee and Wang [17] discovered that the phase noise of these subharmonically injection-locked oscillators (ILOs) is thereby reduced to the reference phase noise in dBc/Hz plus 20 log N dB, and hence the phasenoise reduction degrades as N increases. Moreover, the tuning range is limited by a large value of N. Lee and Wang [17] presented a cascade of two ILPLLs to overcome this limitation. Some other efforts were also made to improve the tuning range of ILPLLs. Musa et al. [18] used a quadrature injection method to enhance the locking range of the ILO. Deng et al. [19], Plessas et al. [20], and Huang and Liu [21] proposed a calibration scheme to compensate for the frequency difference between the oscillator output and injection signal. Shin et al. [22] developed a digital subharmonic injection-locking frequency-locked loop (ILFLL) to track the

0018-9480 © 2017 IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See http://www.ieee.org/publications_standards/publications/rights/index.html for more information.

PENG et al.: IFLL FOR REDUCING PHASE NOISE OF WIDEBAND OSCILLATORS

Fig. 1.

Traditional FLL.

frequency of the reference signal. Nevertheless, the maximum tuning ranges that are achieved in [17]–[22] are still limited, about 5%–11%. To simultaneously achieve a high phase-noise reduction and a wide tuning range, the wideband oscillators with the frequency-locked loops (FLLs) are presented in [13] and [23]–[25]. The FLLs extract the frequency variations of oscillators for use as a feedback signal to cancel the phase noise of oscillators, and essentially differ from the earlierdiscussed phase- or injection-locked loops. As shown in Fig. 1, the core of a traditional FLL is a frequency discriminator, which splits the output signal of a voltage-controlled oscillator (VCO) into two paths. These paths use a delay line and a variable phase shifter to delay and shift the phase of the output signal, respectively. Then, a phase detector mixes the delayed signal and the phase-shifted signal to extract frequency variations or phase noises. The phase difference between the two mixing signals is tuned to 90° to maximize the detection sensitivity. The extracted frequency variations or phase noises are then used to control the VCO to cancel out the fluctuation in output frequency or phase. Unlike the delay line in the SILL, which acts as a resonator, the delay line in the FLL works simply as a delay element. Therefore, the delay line in the FLL does not degrade the oscillator’s tuning range. On the other hand, unlike conventional PLLs, FLLs do not suffer from the divide-by-N frequency division in the feedback loop. Based on control theory, the bandwidth of the √ FLLs is thereby substantially extended, and is theoretically N times that of the PLL. This makes FLLs surpass PLLs in the oscillator’s phase-noise reduction bandwidth. Ávila-Ruiz et al. [26] presented another type of FLL using a six-port mixer for phase detection. A six-port mixer includes four 90° hybrids, four detectors, and one 90° phase shifter. It requires a much lower pumping power than conventional transistor-based mixers and thus can operate with a high dynamic range. Because of this advantage, six-port mixers are commonly used in millimeter-wave communication systems [27], [28]. Since the output signals of a six-port mixer are in the quadrature phase, an additional active I/Q-to-phase

1375

Fig. 2.

Proposed IFLL for reducing phase noises of the core VCO.

circuit is needed to obtain the phase noise information for use in the FLL. The main bottleneck of the conventional FLLs is that the losses of the variable phase shifter, delay line and mixing circuitry are considerable, making the extracted phase noise information too weak to control the VCO to cancel its phase noise. To overcome this problem, a high-gain amplifier can be used in the frequency discriminator. However, Ávila-Ruiz et al. [25] and [26] reveal that the FLL with a highgain property constrains the oscillator’s phase-noise reduction bandwidth. Moreover, the intrinsic noise of the amplifier is amplified and injected into the FLL, which weakens the ability to improve phase noise. This paper develops an injectionand frequency-locked loop (IFLL) to reduce phase noise in wideband oscillators. The developed IFLL utilizes an ILO to replace a variable phase shifter and a high-gain amplifier in the conventional FLL that often causes bottlenecks in improving the phase noise performance. Since both VCO and ILO operate at the same frequency, the operation of the proposed IFLL uses a fundamental injection rather than a subharmonic injection that is adopted in ILPLLs and ILFLLs. Therefore, the proposed IFLL operates with a wider tuning range than do the ILPLLs and ILFLLs because of a greater locking range of the ILO. This paper is organized as follows. Section II presents the proposed IFLL architecture and analyzes its mechanism of reducing phase noise in wideband oscillators. Section III presents and discusses the simulation and experimental results concerning the IFLL. Finally, conclusions are drawn in Section IV. II. S YSTEM A RCHITECTURE AND A NALYSIS A. Architecture of IFLL Fig. 2 shows the proposed IFLL in which an injectionlocked frequency discriminator extracts the phase noise information from the core VCO. In this discriminator, an ILO, which is identical to the core VCO in circuitry, is used to phase-shift the input injection signal. Theoretically, the phase-shift can be tuned continuously from −90° to 90°

1376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

where φn,ilo (t) and φn,inj (t) denote the phase noise of the ILO and the injection signal, respectively. Based on the theory of resonant oscillators and the composition of phasors, displayed in Fig. 3, the instantaneous beat frequency can be derived as  2 − E 2 sin α(t) E ilo inj dα(t) = −ωLR + ωilo (t) (4) dt E ilo + E inj cos α(t) where

Fig. 3.

ωilo (t) = ωilo (t) − ωinj (t) dφn,ilo(t) dφn,inj (t) − (5) = ωilo + dt dt represents the instantaneous difference between the inherent oscillation frequency and the injection frequency, and

Phasor diagram of injection-locking mechanism.

by changing the inherent oscillation frequency of the ILO. However, a too weak injection signal may yield a smaller phase-shift range than the theoretical one. With the help of a circulator, the output phase-shifted signal of the ILO is isolated from the input injection signal and directed to the delay line. Notably, a well-designed ILO can be injection locked by the same-frequency signal whose power is several tens decibel less than the output power of the ILO, enabling the ILO to operate as an amplifier with a sufficient gain to compensate the loss of power splitter. This mechanism enhances the extracted phase noise information, and thus can eliminate the use of high-gain amplifier. Although the ILO also injects its phase noise, which is similar to that of the core VCO, into the IFLL, the injection-locking mechanism of the ILO suppresses its own phase noise. Therefore, the phase noise contributed from the frequency discriminator is minimized in the IFLL compared to that in other FLLs. Generally speaking, the injection-locking mechanism not only relaxes the constraints on the oscillator’s phase-noise reduction bandwidth but also enhances the oscillator’s phase-noise reduction level. B. Phase Noise Model of ILO Fig. 3 presents the composition of the phasors of injection locking in an ILO, where α(t) is the instantaneous phase difference between the output signal and the injection signal. E ilo , E inj , and E out represent the magnitude of the inherent oscillation signal, injection signal, and output signal, respectively. ωilo (t), ωinj (t), and ωout (t) are the instantaneous angular frequency of the inherent oscillation signal, injection signal, and output signal, respectively, of the ILO. According to Fig. 3, the instantaneous output angular frequency is given by dα(t) (1) ωout (t) = ωinj (t) + dt where dα(t)/dt represents the instantaneous beat frequency between the output signal and the injection signal [29]–[31]. With respect to the frequency fluctuation caused by phase noise, ωilo (t) and ωinj (t) can be further expressed as dφn,ilo (t) dt dφn,inj (t) ωinj (t) = ωinj + dt ωilo (t) = ωilo +

(2) (3)

ωLR =

E inj ωilo  2Q E 2 − E 2 ilo

(6)

inj

denotes the locking range of the ILO. Notably, ωilo in (5) equals ωilo − ωinj and Q in (6) is the Q-factor of the tank resonator of the ILO. As the injection-locking process enters a steady state, the instantaneous phase difference between the output signal and the injection signal becomes α(t) = α∞ + φn,out (t) where

(7)





α∞ = sin−1 ⎝

ωilo E ilo ⎠  2 − E2 ω L R E ilo in j

(8)

is a dc phase shift and φn,out (t) denotes the output phase noise of the ILO. Equation (8) reveals that the phase difference between the output signal and the injection signal can be shifted by varying ωilo . This mechanism makes the ILO function as a variable phase shifter within the locking range. Equation (4) can be rederived as dφn,ilo (t) dφn,inj (t) dφn,out(t) = −ωLR φn,out (t) cos α∞ + − . dt dt dt (9) Equation (9) can be solved using Laplace transfer to obtain the output phase noise in frequency domain as φn,out (s) = Hn,o (s)φn,ilo (s) + Hn,i (s)φn,inj (s)

(10)

where s (11) s + ωLR cos α∞ ωLR cos α∞ Hn,i (s) = = 1 − Hn,o (s). (12) s + ωLR cos α∞ Equation (11) reveals that a higher locking range ω L R reduces more phase noise of the ILO. Based on (10)–(12), the phase noise model of the ILO is established, as shown in Fig. 4(a), and the suppression of phase noise in the ILO is depicted in Fig. 4(b). Fig. 4(a) and (b) shows that the phase-noise reduction mechanism of the ILO is very similar to that of a first-order PLL. With respect to the inherent phase noise of the ILO, the ILO acts as a high-pass filter to suppress the phase noise inside the locking range. With respect to the phase Hn,o (s) =

PENG et al.: IFLL FOR REDUCING PHASE NOISE OF WIDEBAND OSCILLATORS

1377

Fig. 5.

Linear model of the IFLL.

where 2π K v K d Hn,o (s)F(s) 2π K v H f d (s)F(s) − s s Hn,c (s) = 2π K v H f d (s)F(s) − s

Hn,ilo(s) =

(16) (17)

and H f d (s) = K d [1 − Hn,i (s)e−sτ ]

Fig. 4. (a) Phase noise model of the ILO. (b) Suppression of phase noise in the ILO.

noise of the injection signal, the ILO functions as a low-pass filter to suppress the phase noise outside the locking range. As a result, the phase noise of the injection signal and that of the ILO dominates the phase noise of the output signal inside and outside the locking range, respectively. C. Phase-Noise Reduction To analyze the phase noise and the stability of the IFLL, a linear model is developed as shown in Fig. 5. The terms φn,c (s) and φn,ifll (s) denote the output phase noise of the core VCO and the IFLL, respectively. In Fig. 5, K d and K v represent the sensitivity of the phase detector and the core VCO, respectively. τ is the group delay of the delay line. The loop filter is designed with a bandpass frequency response that blocks the dc and spurious signals. The frequency response of the loop filter is given by (s + ωs )ωlpf s F(s) = Fdc (s)Flpf (s) = (s + ωdc ) (s + ωlpf )ωs

(13)

where ωdc = 1/R1 C1 , ωlpf = 1/(R2 C2 + R3 C2 ), and a second zero ωs = 1/R3 C2 is added to increase the stability of the system. Since the loop filter determines the bandwidth of the extracted phase noise information, the phase-noise reduction bandwidth of the IFLL can be found as ωifll = ωlpf − ωdc ≈ ωlpf , for ωlpf >> ωdc .

(14)

Based on (13) and Fig. 5, the output phase noise of the IFLL is derived as φn,ifll (s) = Hn,ilo(s)φn,ilo (s) − Hn,c (s)φn,c (s)

(15)

(18)

represents the transfer function of the injection-locked frequency discriminator. Based on these equations, the output noise power spectral density of the IFLL can be derived as Sn,ifll (s) = |Hn,ilo(s)|2 Sn,ilo (s) + |Hn,c (s)|2 Sn,c (s). (19) In this paper, the ILO and the core VCO are the same for reducing the design complexity. Therefore, both the ILO and the core VCO have the same noise power spectral density, i.e., Sn,ilo (s) = Sn,c (s). Equation (19) can thus be simplified as Sn,ifll (s) = [|Hn,ilo(s)|2 + |Hn,c (s)|2 ]Sn,c (s) = |Hn,ifll(s)|2 Sn,c (s) where |Hn,ifll(s)| =

(20)



|Hn,ilo(s)|2 + |Hn,c (s)|2  |s|2 + |2π K v K d [1 − Hn,i (s)]F(s)|2 . ≈ |2π K v H f d (s)F(s) − s|

(21)

Equation (21) represents the magnitude of system transfer function of the IFLL. To ensure that the ILO can work as a phase shifter in the IFLL, the locking range of the ILO must be larger than the phase-noise reduction bandwidth of the IFLL, i.e., ωLR> ωifll . To obtain a constant reduction in phase noise Rifll over a certain bandwidth ωb , the following conditional equation can be used: Rifll = −20 log |Hn,ifll(s < j ωb )| (dB) ≈ 20 log(2π K v K d τ − 1) (dB), for ωb VMAX , another current reduction term β must be adopted to avoid current clipping, being ⎧ VMAX ⎪ , |VM,back | > VMAX ⎪ ⎨β = |V M,back ( f )| (8) ⎪ ⎪ ⎩ β = 1, |VM,back | ≤ VMAX .

IM,OBO = i 1M VM,OBO

(12)

A first estimation of the bandwidth can be applied to guide the design of the Doherty PA by following these steps. 1) Choose a Doherty combiner topology. 2) Setup a linear simulation for the Z-parameters of the combiner, including the output equivalent circuit of the devices. 3) Use the equations of this section to evaluate the figures of merit (output power, IBO, and OBO) that determine FP and FL . 4) Evaluate FP and FL and use them as goals for optimization while tuning the combiner’s parameters. After this procedure, the topology can be applied in a full nonlinear simulation for the refining of the Doherty design. B. Design Strategy

The effective back-off current and voltage result as βσ IMAX γ βσ IMAX Z MM ( f ). = i 1M γ

σβ . γπ

1 π

(9)

The output power at saturation and back-off can be calculated, assuming lossless matching networks, as ⎧ 1 ⎪ ∗ ⎨ POUT,sat = {VM,sat I ∗ M,sat + VA,sat IA,sat } 2 (10) 1 ⎪P ∗ ⎩ OUT,OBO = {VM,OBO IM,OBO }. 2 The OBO in decibels is defined as 10 log10 (POUT,sat / POUT,OBO), while the IBO can be evaluated as 20 log10 (Vin,max /Vin,obo), where Vin,max and Vin,obo are the drive voltage needed to generate the maximum and the back-off current, respectively. In a B-B Doherty simplification, IBO = 20 log10 (γ /β). From these results, the frequency ranges FP and FL can be derived according to the matching strategy, i.e., to the calculated Z matrix. Moreover, a very rough estimation of the saturated and back-off efficiency can also be carried out, by evaluating the

In this section, we present the specific strategy adopted for the design of the Doherty presented in this paper, with the relative bandwidth estimation. The estimation is carried out considering as DPA approximation a class B–class B case, which permits a further simplification with a degradation of accuracy that we consider negligible for our purposes. The Z can simulated or mathematically transformed from an ABCD matrix, obtained as the cascade of the ABCD matrixes of the building blocks composing the proposed Doherty output combiner (see Fig. 3). Identical devices for main and auxiliary are considered, with optimum intrinsic load Ropt , while the load impedance at the DPA common node is R L . The cascade of device parasitics and matching network forms an equivalent impedance inverter, with impedance Z 0 = (2R L Ropt )1/2 , on both main (ABCD M ) and auxiliary (ABCD A ) branches. On the auxiliary side, an additional 90° delay is needed (ABCDADD), with impedance 2R L , to null the impedance inverting effect due to auxiliary device parasitics and matching network. The choice of using a 180° cascade network for the auxiliary output is driven by the difficulty, in the presence of series parasitics, of realizing a 0° output that would probably further benefit the bandwidth.

1322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Fig. 4. Design strategy. (a) Simplified device output. (b) Lumped elements’ impedance inverter. (c) Semilumped impedance inverter.

Fig. 5.

Circuit schematic of the designed DPA output.

As shown in [6], the device output equivalent network can be approximately considered as a current generator shunted with an output capacitance COUT , and in series with an output inductance L OUT [see Fig. 4(a)]. In our approach, the impedance Z 0 is selected as Z0 =

1 1 = Y0 2π f 0 COUT

(13)

where f 0 is a reference frequency that corresponds to the center frequency in a narrowband design, while it can be optimized for bandwidth maximization in a broadband design. The values of COUT and f 0 determine univocally Z 0 and, as a consequence, R L = Z 02 /(2Ropt ). Being R L a real load, it can be matched to the external 50  impedance on a very broad bandwidth by means of multisection matching. The Z 0 impedance inverter can be implemented as a low-pass filter [see Fig. 4(b)], completing it with a series inductance L S with impedance value |Z S | = 2π f0 L S = Z 0 − 2π f 0 L OUT

(14)

and another shunt capacitor with value COUT . In our case, a distributed solution has been preferred for implementation in a microstrip circuit [see Fig. 4(c)] using a short piece of line with arbitrary impedance Z 1 and electrical length θ1 = sin−1 (|Z S |/Z 1 ) to implement the series inductance, and an open stub with arbitrary impedance Z 2 and electrical length θ2 = tan−1 (Y0 Z 2 ) to implement the shunt capacitance. ABCD M can be built by cascading the elementary ABCD matrixes of COUT , L OUT , the series line, and the shunt stub, while the reverse order must be followed to evaluate ABCD A . The additional 90° delay line on the auxiliary side is implemented by means of a transmission line with impedance 2R L and quarter-wave length at f 0 . This delay line works as an auxiliary offset line, showing an high impedance when the auxiliary is turned OFF, but not affecting the impedance matching at saturation [23]. At the input, after a splitter with no delay difference between the output ports, a 50- transmission line on the main side imposes a φ = (π/2)( f / f0 ) to provide a perfect phase balance of output currents at f 0 . In the proposed combiner topology, the available free parameters that can be tuned or optimized to maximize the bandwidth are f 0 , Z 1 , and Z 2 , while the other parameters are derived using the equations of this section. III. S PECIFIC C ASE D ESIGN The proposed power amplifier is based on the CGH40010F GaN HEMT from Wolfspeed. The bias voltage is VDD = 28 V,

Fig. 6. Z parameters versus frequency in the specific design case. (a) Real part. (b) Imaginary part.

and the estimated knee voltage is VK = 3 V. For this design, an optimum intrinsic load Ropt = 30  is selected as target for the design, since it gives a good compromise between output power and efficiency. However, the device is able to deliver a maximum current IMAX = 2 A, which is the parameter used in the bandwidth estimation and design formula. The values of equivalent output reactive components, already successfully adopted in previous designs [6], are COUT = 1.275 pF and L OUT = 0.653 nH. The values of f 0 , Z 1 , and Z 2 have been tuned to maximize the bandwidth with the goal to cover most of the LTE bands, i.e., from 1.6 to 3.5 GHz. The value of f 0 eventually results in 3 GHz, that leads to Z 0 = 41.6  and R L = 28.9 . The impedance of the series transmission line Z 1 tends to high values for maximum bandwidth, but it is limited in practice by the device drain pin width, and is set at Z 1 = 54 . The impedance of the open stub Z 2 results in 31 . The remaining parameters, which are obtained following the formulas in Section II-B, are reported in Fig. 5, where a full diagram of the designed DPA combiner is sketched. After the total Z matrix is derived from the global ABCD matrix (see Fig. 6), the values of σ and Vsat,M = Vsat,A can be calculated according to (4) and (6), respectively, and are reported versus frequency in Fig. 7.

MORENO RUBIO et al.: DESIGN OF 87% FRACTIONAL BANDWIDTH DPA SUPPORTED BY SIMPLIFIED BANDWIDTH ESTIMATION METHOD

Fig. 7. σ (black solid curve), Vsat,M (gray solid curve), and Vsat,A (black dotted curve) versus frequency.

Fig. 8.

β (black curve) and VOBO (gray curve) versus frequency.

Fig. 10.

1323

OBO–IBO versus frequency. The range FL is shaded.

Fig. 11. Estimated efficiency versus frequency at saturation (gray solid line) and back-off (black dashed line).

device, necessary to ensure reasonably flat gain response. The estimated efficiency, at saturation and back-off, is reported in Fig. 11. It is important to note that this estimation is based on very strong assumptions, so nonlinear simulations are necessary to effectively predict the efficiency performance. IV. M ICROSTRIP D ESIGN AND S IMULATIONS

Fig. 9.

POUT,sat versus frequency. The range FP is shaded.

As a successive step, β and VOBO,M are calculated according to (8) and (9), and are reported in Fig. 8. The maximum output power defines FP , and is reported in Fig. 9. Considering an output power target of 1 dB lower than the nominal power delivered by two devices, i.e., POUT,target = 42 dBm, then FP = [1.35 GHz, 3.18 GHz] that corresponds to a relative bandwidth of 81%. The range FL is derived looking at Fig. 10, where the difference between OBO and IBO is reported. Assuming to be able to accept a maximum difference of 2 dB, then FL = [1.45 GHz, 3.6 GHz]. The alternative bandwidth estimation is FP ∩ FL = [1.45 GHz, 3.18 GHz] that corresponds to a 75% relative bandwidth. The efficiency can be only roughly estimated, especially in terms of absolute values, at each frequency point, as the ratio between RF output and dc absorbed power. A reduction of around 0.5 dB can be considered for output network losses, while at the back-off condition, another 0.5 dB can be added to account for the early turning ON of the auxiliary

The distributed elements composing the DPA output combiner have been substituted by microstrip elements, with a 760-μm Taconic substrate ( r = 3.5). The overall schematic of the DPA is shown in Fig. 12. The output matching from the common impedance of 28.9–50  is based on a two-section quarter-wave matching, modified to include the drain bias feed network. The nonlinear model of the device, provided by the foundry, has been used in the design of the input matching and splitter, and in the tuning of the DPA before fabrication. In particular, the fine tuning permits to maintain the bandwidth performance in the passage from the much simplified model of the theory to the nonlinear model. Fig. 13 compares the load at the main device intrinsic plane, when the auxiliary is turned OFF, for different implementations of the output combiner. In particular, it can be noted that the translation from ideal lines to microstrip has negligible impact on the load. Moreover, the load trajectory of the theory-based circuit is only slightly modified by the fine tuning of the output combiner based on large signal simulations, meaning that it represented a good starting point for the design. The input matching networks of the main and auxiliary stages are based on the same topology [24], but small differences in the components’ values were adopted for an

1324

Fig. 12.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

Electrical scheme of the designed DPA. Lengths and widths are in millimeters.

Fig. 13. Simulated load at the main device intrinsic plane, when the auxiliary device is turned OFF, in the 1–4.5-GHz band. Doherty output combiner with ideal lines (black solid curve), microstrip (light gray solid curve), and microstrip after fine tuning with nonlinear model (dark gray dashed curve).

optimized operation. The choice of the input splitter is critical for its influence on bandwidth, efficiency, and linearity. Since the main goal of this design is bandwidth optimization, an even Wilkinson divider is preferred for its ability to maintain equal and controlled splitting on a broad band. To alleviate the gain compression issue that arises in AB/C Doherty PAs with the same devices and even splitting [25], the auxiliary gate bias is adjusted in nonlinear simulations and brought closer to class B than what expected from theory, thus trading off back-off efficiency for linearity and bandwidth. A 50- delay line is inserted at the main device input to equalize the phase delay at the common node. The DPA simulated performance versus CW frequency is resumed in Fig. 14, at a constant input power of 35 dBm. The maximum output power is higher than 42 dBm from 1.5 to 4 GHz, while the back-off efficiency is higher than 30% from 1.7 to 3.9 GHz. V. C HARACTERIZATION R ESULTS The scattering parameters of the fabricated DPA (Fig. 15) have been measured on the range 1–4.5 GHz for an initial assessment of the device performance. The applied bias is VDD = 28 V, with a main device quiescent current of IDD = 100 mA, and auxiliary device gate at −5 V.

Fig. 14.

CW simulated results versus frequency.

Fig. 15.

Photograph of the fabricated DPA.

Fig. 16 shows the measured and simulated S21 , S11 of the DPA; the measured gain is higher than 10 dB from 1.45 to 3.8 GHz. The agreement between simulations and measurements is rather good, with a slight frequency shift to lower frequency of the measured S21 . The DPA has been characterized with CW single-tone input in the 1.5–3.9 GHz range, with a 100 MHz step. Fig. 17 summarizes the measured CW performance at saturation and back-off versus CW frequency. On the 1.5–3.8-GHz band, the saturated output power exceeds 42.3 dBm, with the associated efficiency in the range 42%–63%. The saturated power is considered in the range of 2–4-dB gain compression, in order

MORENO RUBIO et al.: DESIGN OF 87% FRACTIONAL BANDWIDTH DPA SUPPORTED BY SIMPLIFIED BANDWIDTH ESTIMATION METHOD

1325

TABLE I C OMPARISON W ITH O THER S INGLE -I NPUT B ROADBAND DPA S

Fig. 16. Scattering versus frequency of the fabricated DPA. Symbols: measured. Solid lines: simulated. Black squares: S21 . Gray circles: S11 .

Fig. 18. CW measurements versus output power. Black squares: efficiency. Gray circles: gain.

Fig. 19. Fig. 17.

Block diagram of the system-level characterization setup.

CW measured results versus frequency.

to account for the 2-dB maximum compression defined for FL , plus the compression due to the intrinsic nonlinear behavior of the active devices. At 6-dB back-off, the efficiency remains between 33% and 55%, while the small-signal gain is higher than 10 dB, with a ripple of 1.9 dB. The measured results are in good agreement with the simulation in Fig. 14, and the achieved bandwidth is well predicted by the proposed estimation method. Fig. 18 shows the CW power sweeps at 1.6, 2.1, 2.6, and 3.5 GHz. The measured CW results are resumed in Table I and compared with other broadband DPAs presented in the literature. The proposed DPA has larger bandwidth, both in absolute and fractional terms, and similar output power and back-off efficiency compared with the other DPAs. The DPA has been characterized with a modulated signal to assess its linearity and linearizability. The measurement setup is shown in Fig. 19. The RF modulated signal is generated by an arbitrary waveform generator (Keysight

Fig. 20. Measured DPA output spectrum with 7-MHz channel WiMAX signal and 9 dB PAPR. Center frequency: 2.6 GHz. Average output power: 34 dBm. Average efficiency: 33%. Black curve: before digital predistortion. Gray curve: after digital predistortion.

ESG4433B), amplified by a driver amplifier, fed to the DPA, and then detected by a vector signal analyzer (Keysight MXA N9020A). A digital predistorter, based on a memory polynomial model [26], is implemented in MATLAB and is applied

1326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

to improve linearity and average efficiency. The predistorter has an odd polynomial order P and finite impulse response filter order M. The measured spectra, before and after applying the predistorter, are shown in Fig. 20. At 2.6 GHz center frequency, a 7-MHz channel WiMAX signal with a PAPR of 9 dB has been applied, resulting in an ACPR of 42 and 48 dB, before and after predistortion (P = 5 and M = 2), respectively, at an average output power of 34 dBm and average efficiency of 33%. VI. C ONCLUSION A state-of-the-art broadband DPA has been designed using a new approach, supported by a simplified analysis for the initial bandwidth estimation. The power amplifier has been fabricated using packaged GaN HEMT devices. On the band 1.5–3.9 GHz, corresponding to a fractional bandwidth of 87 %, the amplifier showed a maximum output power higher than 42.3 dBm, with a saturated efficiency between 42% and 63%, and 6 dB back-off efficiency between 33% and 55%, hence representing, to the best of our knowledge, the state of the art in broadband DPAs. ACKNOWLEDGMENT The authors would like to thank E. Angarita for the help in the fabrication of the hardware. R EFERENCES [1] A. Grebennikov and S. Bulja, “High-efficiency Doherty power amplifiers: Historical aspect and modern trends,” Proc. IEEE, vol. 100, no. 12, pp. 3190–3219, Dec. 2012. [2] V. Camarchia, M. Pirola, R. Quaglia, S. Jee, Y. Cho, and B. Kim, “The Doherty power amplifier: Review of recent solutions and trends,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 2, pp. 559–571, Feb. 2015. [3] J. H. Qureshi, L. Nan, E. Neo, F. V. Rijs, I. Blednov, and L. De Vreede, “A wide-band 20W LDMOS Doherty power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, p. 1. [4] K. Bathich, A. Z. Markos, and G. Boeck, “Frequency response analysis and bandwidth extension of the Doherty amplifier,” IEEE Trans. Microw. Theory Techn., vol. 59, no. 4, pp. 934–944, Apr. 2011. [5] G. Sun and R. H. Jansen, “Broadband Doherty power amplifier via real frequency technique,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 1, pp. 99–111, Jan. 2012. [6] J. M. Rubio, J. Fang, V. Camarchia, R. Quaglia, M. Pirola, and G. Ghione, “3–3.6-GHz wideband GaN Doherty power amplifier exploiting output compensation stages,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 8, pp. 2543–2548, Aug. 2012. [7] D. Y.-T. Wu and S. Boumaiza, “A modified Doherty configuration for broadband amplification using symmetrical devices,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 10, pp. 3201–3213, Oct. 2012. [8] R. Giofré, L. Piazzon, P. Colantonio, and F. Giannini, “A Doherty architecture with high feasibility and defined bandwidth behavior,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 9, pp. 3308–3317, Sep. 2013. [9] R. Giofré, L. Piazzon, P. Colantonio, and F. Giannini, “An ultrabroadband GaN Doherty amplifier with 83% of fractional bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 11, pp. 775–777, Nov. 2014. [10] X.-H. Fang and K.-K. M. Cheng, “Improving power utilization factor of broadband Doherty amplifier by using bandpass auxiliary transformer,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 9, pp. 2811–2820, Sep. 2015. [11] J. Pang, S. He, C. Huang, Z. Dai, J. Peng, and F. You, “A post-matching Doherty power amplifier employing low-order impedance inverters for broadband applications,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 12, pp. 4061–4071, Dec. 2015.

[12] X. A. Nghiem, J. Guan, and R. Negra, “Broadband sequential power amplifier with Doherty-type active load modulation,” IEEE Trans. Microw. Theory Techn., vol. 63, no. 9, pp. 2821–2832, Sep. 2015. [13] X. Chen, W. Chen, F. M. Ghannouchi, Z. Feng, and Y. Liu, “A broadband Doherty power amplifier based on continuous-mode technology,” IEEE Trans. Microw. Theory Techn., vol. 64, no. 12, pp. 4505–4517, Dec. 2016. [14] S. C. Cripps, P. J. Tasker, A. L. Clarke, J. Lees, and J. Benedikt, “On the continuity of high efficiency modes in linear RF power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 10, pp. 665–667, Oct. 2009. [15] R. Darraji, F. M. Ghannouchi, and M. Helaoui, “Mitigation of bandwidth limitation in wireless Doherty amplifiers with substantial bandwidth enhancement using digital techniques,” IEEE Trans. Microw. Theory Techn., vol. 60, no. 9, pp. 2875–2885, Sep. 2012. [16] C. M. Andersson, D. Gustafsson, J. C. Cahuana, R. Hellberg, and C. Fager, “A 1–3-GHz digitally controlled dual-RF input power-amplifier design based on a Doherty-outphasing continuum analysis,” IEEE Trans. Microw. Theory Techn., vol. 61, no. 10, pp. 3743–3752, Oct. 2013. [17] L. Nunes, P. Cabral, and J. Pedro, “A physical model of power amplifiers AM/AM and AM/PM distortions and their internal relationship,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2013, pp. 1–4. [18] L. Nunes, P. Cabral, and J. Pedro, “AM/PM distortion in GaN Doherty power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2014, pp. 1–4. [19] L. Piazzon et al., “Effect of load modulation on phase distortion in Doherty power amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 24, no. 7, pp. 505–507, Jul. 2014. [20] R. Quaglia, J. J. Bell, and S. Cripps, “New general formulation and experimental verification of harmonic clipping contours in highfrequency power devices,” IEEE Trans. Microw. Theory Techn., vol. 65, no. 10, pp. 3903–3909, Oct. 2017. [21] S. C. Cripps, “A theory for the prediction of GaAs FET load–pull power contours,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1983, pp. 221–223. [22] M. Iwamoto, A. Williams, P.-F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Techn., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [23] R. Quaglia, M. Pirola, and C. Ramella, “Offset lines in Doherty power amplifiers: Analytical demonstration and design,” IEEE Microw. Wireless Compon. Lett., vol. 23, no. 2, pp. 93–95, Feb. 2013. [24] J. J. M. Rubio, V. Camarchia, R. Quaglia, E. F. A. Malaver, and M. Pirola, “A 0.6–3.8 GHz GaN power amplifier designed through a simple strategy,” IEEE Microw. Wireless Compon. Lett., vol. 26, no. 6, pp. 446–448, Jun. 2016. [25] P. Colantonio, F. Giannini, R. Giofrè, and L. Piazzon, “The AB-C Doherty power amplifier. Part II: Validation,” Int. J. RF Microw. Comput.-Aided Eng., vol. 19, no. 3, pp. 307–316, 2009. [Online]. Available: http://dx.doi.org/10.1002/mmce.20351 [26] R. Quaglia et al., “Real-time FPGA-based baseband predistortion of W-CDMA 3GPP high-efficiency power amplifiers: Comparing GaN HEMT and Si LDMOS predistorted PA performances,” in Proc. Eur. Microw. Conf., Sep. 2009, pp. 342–345.

Jorge Julian Moreno Rubio was born in Villavicencio, Colombia, in 1978. He received the B.Sc. degree in electronic engineering from the Universidad Pedagógica y Tecnológica de Colombia, Sogamoso, Colombia, in 2001, the M.Sc. degree in electronic engineering from Pontificia Universidad Javeriana, Bogotá, Colombia, in 2006, and the Ph.D. degree in electronic devices from the Politecnico di Torino, Turin, Italy, in 2012. He is currently a Researcher with the Electronics Department, Universidad Pedagógica y Tecnológica de Colombia. His current research interests include the design and modeling of high-efficiency power amplifiers, and both hybrid and monolithic microwave integrated circuits. Dr. Moreno Rubio was a recipient of the International Doctoral Studies Grant in 2008 given by the Departamento Administrativo de Ciencia, Tecnología e Innovación de Colombia–COLCIENCIAS, Bogotá.

MORENO RUBIO et al.: DESIGN OF 87% FRACTIONAL BANDWIDTH DPA SUPPORTED BY SIMPLIFIED BANDWIDTH ESTIMATION METHOD

Vittorio Camarchia (S’01–M’04–SM’14) was born in Turin, Italy, in 1972. He received the Laurea and Ph.D. degrees in electronic engineering from the Politecnico di Torino, Turin, in 2000 and 2003, respectively. From 2001 to 2003, he was a Visiting Researcher with the Electrical and Computer Engineering Department, Boston University, Boston, MA, USA. In 2004, he joined the Department of Electronics and Telecommunications, Politecnico di Torino, first as a Post-Doctoral Researcher, then as an Assistant Professor, and as an Associate Professor in 2015. He has authored more than 140 international publications and some book chapters. In 2016, he edited Electronics for Microwave Backhaul (Artech House). His current research interests include the design and characterization of RF and microwave modules. Prof. Camarchia is a member of the IEEE MTT Chapter-20 Subcommitee on Wireless Communications. In the last three years, he has organized several WS and Focused Sessions at EuMW and IMS on nonlinear measurements, microwave backhaul, 5G and millimeter (mm)-wave applications, and mm-wave power amplifier design. He was a recipient of the 2002 Young Graduated Research Fellowship of the Gallium Arsenide Application Symposium Association.

1327

Marco Pirola (M’98) was born in Velezzo Lomellina, Italy, in 1963. He received the Laurea and Ph.D. degrees in electronic engineering from the Politecnico di Torino, Turin, Italy, in 1987 and 1992, respectively. From 1992 to 1994, he was a Visiting Researcher with the Microwave Technology Division, Hewlett Packard, Santa Rosa, CA, USA. Since 1992, he has been with the Department of Electronics and Communications, Politecnico di Torino, first as a Researcher and then as an Associate Professor since 2000. His research interests include the simulation, modeling, design, and characterizations of microwave devices and systems. Roberto Quaglia (M’13) was born in Casale Monferrato, Italy, in 1984. He received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree in electronic devices from the Politecnico di Torino, Turin, Italy, in 2008 and 2012, respectively. He is currently a Lecturer with the School of Engineering, Cardiff University, Wales, U.K. His current research interests include the design, modeling, and predistortion of high-efficiency MMIC power amplifiers. Dr. Quaglia was a recipient of the European Union Marie Skłodowska Curie Fellow in 2015 and of the 2009 Young Graduated Research Fellowship presented by the Gallium Arsenide Application Symposium Association.

1328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 66, NO. 3, MARCH 2018

A Load Modulated Balanced Amplifier for Telecom Applications Roberto Quaglia , Member, IEEE, and Steve Cripps, Life Fellow, IEEE Abstract— This paper presents the design and characterization of a load modulated balanced amplifier for telecom base station applications adopting a novel mode of operation. The theory of operation is described explaining the main differences compared to Doherty amplifiers, in particular the RF bandwidth advantages and, on the other hand, the intrinsic nonlinear behavior. The specific design strategy that adopts prematching for back-off broadband matching is explained in detail. A prototype, based on 25-W GaN packaged devices, has been fabricated and measured with single tone CW and modulated signal stimulus. For CW conditions, on the 1.7–2.5-GHz band, the peak output power is between 63 and 78 W, with power added efficiency higher than 48%, 43%, and 39% at saturation, 6- and 8-dB output power back-off, respectively. With a modulated signal for Long Term Evolution the amplifier provides an average output power of around 10 W, with efficiency higher than 40%, and can be linearized by adopting a low complexity predistorter. If compared to previously published power amplifiers targeting similar power and bandwidth, the measurement shows very good performance, demonstrating the potential of this novel technique in the field of efficiency enhanced transmitters. Index Terms— Broadband matching networks, GaN-based FETs, wideband microwave amplifiers.

I. I NTRODUCTION

T

HE modern wireless communication standards rely on modulated signals characterized by high spectral efficiency in order to optimize the usage of the scarce spectrum resources. From the high-frequency transmitter perspective, this choice leads to stringent requirements in terms of linearity accompanied by a very high peak-to-average power ratio (PAPR) of the signals that force the power amplifier (PA) to operate at large back-off from saturation. While conventional PAs, as combined class-AB stages, show very low efficiency at back-off, there are widely adopted efficiency enhancement techniques that maintain high efficiency with high PAPR signals, i.e., bias modulation techniques as envelope tracking, and load modulation techniques as Doherty and Chireix [1]. A recent work [2] has introduced the load modulated balanced amplifier (LMBA), based on a balanced PA (BPA),

Manuscript received July 5, 2017; revised September 1, 2017; accepted October 5, 2017. Date of publication December 1, 2017; date of current version March 5, 2018. This work was supported by the European Union’s Horizon 2020 Research and Innovation Programme under Marie SkłodowskaCurie Grant 654987. This paper is an expanded version from the IEEE MTT-S International Microwave Symposium Conference, Honolulu, HI, USA, June 4–9, 2017. (Corresponding author: Roberto Quaglia.) The authors are with the Center for High Frequency Engineering, Cardiff University, Cardiff CF 24 3AA, U.K. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2017.2766066

where a control signal power (CSP) injected at the isolated port of the output 90° coupler modulates the load at each balanced device. A distinctive feature of the LMBA resides in the fact that, in principle, the CSP power is always fully recovered at the output of the LMBA, e.g., the CSP always positively contributes to the total output power, independently of the load modulation it is imposing. This situation is very different from the Doherty PA [3], [4], where the auxiliary output phase determines the load modulation on the main, but its power is fully recovered only when the phase is aligned with the main. This means that in a LMBA the load modulation and the CSP power recovery are independent, while in a Doherty the load modulation and the auxiliary power recovery are related. Another key property of the LMBA is RF bandwidth related; in fact, the load modulation is applicable for the whole frequency band of the 90° coupler that is normally larger than the bandwidth of a Doherty combiner [5]. The RF bandwidth enhancement has been at the center of the research on Doherty PAs for a long time, and several solutions have been found to achieve good bandwidth [5]–[12]. However, most of these techniques have proven to be quite complicated and often difficult to be applied beyond the specific case studied. It is of great interest to explore a LMBA design tailored for telecom applications and assess pros and cons with respect to Doherty PAs. In this paper, a LMBA design strategy is proposed for the maximization of back-off efficiency. A prototype is realized targeting the 1.7–2.7-GHz frequency band, together with a maximum output power larger than 50 W, in order to provide a single PA solution for long-term evolution (LTE) small base stations at different frequencies. The CSP input is driven with a separate RF input for maximum flexibility in the testing of this new architecture. The use of dual-input in a load modulated architecture has led to a record 100% bandwidth in the PA of [10] (maximum power ≥ 20.5 W), where the output combiner is optimized to reach predefined targets when the two driving signals are assumed to be arbitrarily controllable in amplitude and phase. This added degree of freedom is paid for with a higher complexity, and the overall system must be evaluated case by case to decide if this approach is suitable in a specific application. Previous literature has shown the use of a 90° coupler in a load modulated amplifier; the work in [11] uses a nonterminated branchline hybrid to realize the Doherty combiner reaching an RF bandwidth of 83% at maximum power larger than 10 W. However, the coupler in the LMBA is used in a fundamentally different way, as clearly explained in [2]. This paper proposes a new approach to efficiency enhanced PAs for telecom applications, and demonstrates through a

This work is licensed under a Creative Commons Attribution 3.0 License. For more information, see http://creativecommons.org/licenses/by/3.0/

QUAGLIA AND CRIPPS: LMBA FOR TELECOM APPLICATIONS

1329

Fig. 2.

Fig. 1.

LMBA: schematic for basic analysis.

prototype design that the LMBA has the potential to become a viable alternative to other techniques. The design follows easily reproducible steps, that can be adapted to different frequency bands, devices, and power levels. The paper is organized as follows. Section II describes the theory of operation, the similarities and differences with Doherty PAs, and the proposed prematching method for the effective back-off efficiency maximization. The prototype design is discussed in detail in Section III, together with simulation results using nonlinear models. Section IV shows the characterization by means of CW and modulated signal measurements, and critically compares the results to other solutions from literature. Finally, Section V draws some conclusions. II. T HEORY OF O PERATION A. Load Modulated Balanced Amplifier The LMBA basic theory has been presented in [2]. Referring to Fig. 1, the impedance at each generator port can be written as  ⎧ √  ⎪ ⎨ Z = Z = R 1 + 2c = Z 2 4 0 B b (1) ⎪ ⎩ Z 3 = R0 where b and c are the drive levels of the balanced generators and the CSP generator, respectively, and R0 is the coupler impedance. We assume b as a real value, while c is complex. The load presented to the balanced generators depends on the ratio between drive levels, (c/b), so it can be controlled in magnitude and phase by tuning the CSP generator amplitude and phase. Differing from other load modulated PAs, in [2] it is also shown that the CSP power always adds to the total output power POUT of the LMBA, independently of the phase of c POUT = P2 + P4 + P3 = 2PB + P3  √ 2 1 2  = R0 I M (2) c + 2b  . 8 The output power at each generator is P2 , P3 , and P4 , with P2 = P4 = PB , while I M corresponds to the maximum current deliverable by each of the balanced generators. B. Back-Off Efficiency Enhancement In this paper, the versatility of the LMBA concept is exploited to design a PA with good efficiency in back-off.

LMBA for back-off efficiency enhancement.

Similar to a Doherty PA, the BPAs are ideally operating in class B, while the CSP is turned OFF (c = 0) in the input drive range 0 ≤ b ≤ β, while it is turned ON for β ≤ b ≤ 1. This threshold behavior can be achieved by adopting a CSP device biased in class C and with proper power input power splitting or separate drive. The proposed topology is shown in Fig. 2: It can be noticed that the BPAs and the CSP PA are driven with independent frequency locked generators to allow for a greater freedom in the characterization. The harmonics are neglected in this analysis. At b = β, we assume that the BPA has achieved its maximum drain voltage VM = β R0 I M , and as a consequence its maximum efficiency without clipping. This means that the native impedance R0 must be set to R0 = Ropt /β, where Ropt is the optimum load for maximum power of the BPA. To maintain high efficiency while further increasing the input drive, the voltage must be kept constant, leading to the identity √

(3) β I M R0 = b + 2c I M R0 that imposes the following law for the CSP drive: ⎧ ⎨0, 0