IEEE MTT-V056-I01 (2008-01) [56, 01 ed.]

978 125 32MB

English Pages 264 Year 2008

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

IEEE MTT-V056-I01 (2008-01) [56, 01 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04429347......Page 1
020 - 04429348......Page 3
030 - [email protected] 4
040 - [email protected] 10
050 - [email protected] 18
060 - [email protected] 25
070 - [email protected] 34
080 - [email protected] 42
090 - [email protected] 52
100 - [email protected] 59
110 - [email protected] 68
120 - [email protected] 80
130 - [email protected] 91
140 - [email protected] 97
150 - [email protected] 108
160 - [email protected] 116
170 - [email protected] 124
180 - [email protected] 134
190 - [email protected] 145
200 - [email protected] 153
210 - [email protected] 159
220 - [email protected] 166
230 - [email protected] 175
240 - [email protected] 181
250 - [email protected] 190
260 - [email protected] 197
270 - [email protected] 205
280 - [email protected] 212
290 - [email protected] 220
300 - [email protected] 227
310 - [email protected] 235
320 - [email protected] 245
330 - [email protected] 251
340 - 04429350......Page 259
350 - 04429351......Page 260
360 - 04429352......Page 261
370 - 04429353......Page 262
380 - 04429354......Page 263
390 - 04432398......Page 264

Citation preview

JANUARY 2008

VOLUME 56

NUMBER 1

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling A 0–55-GHz Coplanar Waveguide to Coplanar Strip Transition . ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ... D. E. Anagnostou, M. Morton, J. Papapolymerou, and C. G. Christodoulou Compact Large-Signal Shot-Noise Model for HBTs ..... . ..... M. Rudolph, F. Korndörfer, P. Heymann, and W. Heinrich

1 7

Smart Antennas, Phased Arrays, and Radars Design Considerations on the Minimum Size of Broadband Antennas for UWB Applications .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... A. Saitou, K. Aoki, K. Honjo, and K. Watanabe

15

Active Circuits, Semiconductor Devices, and ICs A Highly Compact Active Wideband Balun With Impedance Transformation in SiGe BiCMOS ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... B. Godara and A. Fabre A Two-Point Modulation Technique for CMOS Power Amplifier in Polar Transmitter Architecture ... ......... ......... .. .. ........ ......... ......... ........ ......... ... A. Shameli, A. Safarian, A. Rofougaran, M. Rofougaran, and F. De Flaviis

31

Signal Generation, Frequency Conversion, and Control A 1-V 9.7-mW CMOS Frequency Synthesizer for IEEE 802.11a Transceivers . ......... L. L. K. Leung and H. C. Luong

39

Millimeter-Wave and Terahertz Technologies Rapid Simulation of Linear PBG Microstrip Structures Using the Rayleigh Multipole Method . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .. D. E. Schaub and D. R. Oliver

49

Wireless Communication Systems Broadband Active Receiving Patch With Resistive Equalization ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ . D. Segovia-Vargas, D. Castro-Galán, L. E. García-Muñoz, and V. González-Posadas Frequency-Selective Predistortion Linearization of RF Power Amplifiers ....... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ..... P. Roblin, S. K. Myoung, D. Chaillot, Y. G. Kim, A. Fathimulla, J. Strahler, and S. Bibyk A Highly Efficient and Linear Class-AB/F Power Amplifier for Multimode Operation . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... . D. Kang, D. Yu, K. Min, K. Han, J. Choi, D. Kim, B. Jin, M. Jun, and B. Kim

22

56 65 77

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Field Analysis and Guided Waves Analysis and Experiments of Compact Folded Substrate-Integrated Waveguide ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... W. Che, L. Geng, K. Deng, and Y. L. Chow CAD Algorithms and Numerical Techniques Stability and Accuracy of a Finite-Difference Time-Domain Scheme for Modeling Double-Negative Media With High-Order Rational Constitutive Parameters . ........ ... ....... .... A. Grande, J. A. Pereda, O. González, and Á. Vegas Certified Computation of Optimal Multiband Filtering Functions ........ . ..... V. Lunot, F. Seyfert, S. Bila, and A. Nasser Mixed Finite-Element Time-Domain Method for Transient Maxwell Equations in Doubly Dispersive Media .. ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... . B. Donderici and F. L. Teixeira Efficient Full-Wave Analysis of Multilayer Interconnection Structures Using a Novel Domain Decomposition–Model-Order Reduction Method ..... ......... ......... ... ...... ......... ......... S.-H. Lee and J.-M. Jin Practical Implementation of the Spatial Images Technique for the Analysis of Shielded Multilayered Printed Circuits . .. .. ........ ..... J. S. Gómez-Díaz, M. Martínez-Mendoza, F. J. Pérez-Soler, F. Quesada-Pereira, and A. Alvarez-Melcón Filters and Multiplexers Substrate Integrated Waveguide Cross-Coupled Filter With Negative Coupling Structure ...... .. .. X.-P. Chen and K. Wu Design of Dual-Band Bandpass Filters Using Stub-Loaded Open-Loop Resonators .... ... P. Mondal and M. K. Mandal Quarter-Wavelength Side-Coupled Ring Resonator for Bandpass Filters ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. M. K. M. Salleh, G. Prigent, O. Pigaglio, and R. Crampagne Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Analysis and Design Procedure of Transmission-Line Transformers ..... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .. P. Gómez-Jiménez, P. Otero, and E. Márquez-Segura A Broadband Planar Magic-T Using Microstrip–Slotline Transitions .... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ...... K. U-yen, E. J. Wollack, J. Papapolymerou, and J. Laskar Analysis and Modeling of Hybrid Planar-Type Electromagnetic-Bandgap Structures and Feasibility Study on Power Distribution Network Applications .... ......... ........ ......... ......... ........ ......... K. H. Kim and J. E. Schutt-Ainé Design of Triple-Passband Microwave Filters Using Frequency Transformations ....... ......... J. Lee and K. Sarabandi Design of Ultra-Wideband Three-Way Arbitrary Power Dividers ........ ........ ......... ......... ........ .... A. M. Abbosh Broadband Low-Cost Frequency Meters . ......... ........ ......... ..... ..... ........ ......... ........ T. Sokoll and A. F. Jacob Prediction of Passive Intermodulation From Coaxial Connectors in Microwave Networks ...... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... J. Henrie, A. Christianson, and W. J. Chappell Instrumentation and Measurement Techniques A Measurement Process to Characterize Natural and Engineered Low-Loss Uniaxial Dielectric Materials at Microwave Frequencies .... ......... ........ ......... ......... ........ ......... ......... ........ .. G. Mumcu, K. Sertel, and J. L. Volakis New Time-Domain Voltage and Current Waveform Measurement Setup for Power Amplifier Characterization and Optimization ... ......... ......... ......... ......... . S. Bensmida, P. Poiré, R. Negra, F. M. Ghannouchi, and G. Brassard Microwave Photonics Millimeter-Wave Fiber-Fed Wireless Access Systems Based on Dense Wavelength-Division-Multiplexing Networks . .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ..... C.-S. Choi, Y. Shoji, and H. Ogawa Graphical Approach for Evaluating Performance Limitations in Externally Modulated Analog Photonic Links ......... .. .. ........ ......... ......... ........ ......... ......... ........ ........ F. Bucholtz, V. J. Urick, M. Godinez, and K. J. Williams All-Fiber Full-Duplex Multimode Wavelength-Division-Multiplexing Network for Radio-Over-Multimode-Fiber Distribution of Broadband Wireless Services .. ........ ......... ......... ........ M. García Larrodé and A. M. J. Koonen Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

88

94 105 113 121 131 142 150 156

163 172 178 187 194 202 209

217 224

232 242 248 256

CALLS FOR PAPERS

Special Issue on RFID Hardware and Integration Technologies . ......... ........ ......... ...... .... ........ ......... ......... . Joint Special Issue on Microwave Photonics ...... ........ ......... ......... ........ ......... ......... ........ ......... ......... .

257 258

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $22.00 per year for electronic media only or $44.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. MODESKI, President D. HARVEY L. BOGLIONI S. M. EL-GHAZALY J. HAUSNER K. ITOH J. HACKER M. HARRIS

B. PARLMAN, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

B. SZENDRENYI, Secretary A. MORTAZAWI A. ROSEN V. J. NAIR

Honorary Life Members T. ITOH A. A. OLINER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

G. BOECK A. HAJIMIRI W. HOEFER

B. KIM V. LUBECKE L. MAURER

V. NAIR J. C. RAUTIO D. ROOT

Past Presidents P. SIEGEL R. SNYDER A. SUAREZ

J. S. KENNEY (2007) K. VARIAN (2006) K. C. GUPTA (2005)

2007 MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Editors-In-Chief AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected] DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected]

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors DANIEL DE ZUTTER Universiteit Gent Belgium email: [email protected] YOUNGWOO KWON Seoul Nat. Univ. Korea email: [email protected]

M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine

JENSHAN LIN Univ. of Florida USA email: [email protected] JOSÉ PEDRO Univ. of Aveiro Portugal email: jcp.mtted.av.it.pt

ZOYA POPOVIC Univ. of Colorado, Boulder USA email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] RICHARD SNYDER RS Microwave Company USA email: [email protected] G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

KE-LI WU Chinese Univ. of Hong Kong Hong Kong email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEWIS M. TERMAN, President JOHN R. VIG, President-Elect JOSEPH V. LILLIE, Vice President, Membership and Geographic Activities BARRY L. SHOOP, Secretary GEORGE W. ARNOLD, President, IEEE Standards Association DAVID G. GREEN, Treasurer J. ROBERTO B. DE MARCA, Vice President, Technical Activities LEAH H. JAMIESON, Past President RUSSELL J. LEFEVRE, President, IEEE-USA EVANGELIA MICHELI-TZANAKOU, Vice President, Educational Activities EDWARD DELLA TORRE, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Membership and Geographic Activities SALLY A. ERICKSEN, CIO-Information Technology DOUGLAS GORHAM, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $96.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2008 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada.

Digital Object Identifier 10.1109/TMTT.2007.915225

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

1

A 0–55-GHz Coplanar Waveguide to Coplanar Strip Transition Dimitrios E. Anagnostou, Member, IEEE, Matt Morton, Student Member, IEEE, John Papapolymerou, Senior Member, IEEE, and Christos G. Christodoulou, Fellow, IEEE

Abstract—A broadband coplanar waveguide (CPW) to coplanar strip (CPS) transmission line transition directly integrated with an RF microelectromechanical systems reconfigurable multiband antenna is presented in this paper. This transition design exhibits very good performance up to 55 GHz, and uses a minimum number of dissimilar transmission line sections and wire bonds, achieving a low-loss and low-cost balancing solution to feed planar antenna designs. The transition design methodology that was followed is described and measurement results are presented. Index Terms—Antenna feed, coplanar strip (CPS), coplanar stripline, coplanar waveguide (CPW), transition.

I. INTRODUCTION DVANCES IN reconfigurable antenna technology and RF microelectromechanical systems (RF-MEMS) switches have recently established new frontiers in antenna design. In [1], Anagnostou et al. developed a multiband reconfigurable self-similar antenna, demonstrating the performance enhancement that can be achieved with the use of self-similar (or pre-fractal) antenna designs. A significant issue in the applicability and research of such conformal dipole antennas is their feeding method. Any balanced antenna can be fed using a balanced transmission line. The currents flowing on this line are equal and out-of-phase from the generator to the antenna’s feed point. If the line is unbalanced, reflections at the antenna’s terminals cause unequal currents to flow on the transmission line (usually on the outer conductor of the coaxial cable), which radiate in an unpredictable manner, resulting in distorted asymmetrical patterns and erroneous voltage standing-wave ratio (VSWR) measurement. To balance a transmission line, a balun, a device that allows only the differential mode of the currents to pass through, must

A

Manuscript received January 19, 2006; revised June 10, 2007. This work was supported in part by the Georgia Electronic Design Center, by the National Science Foundation under Grant ECS 0218732 and Grant EPSCoR 0554609, and by the Mission Research Corporation under Contract SC-0244-0008, UNM-1. D. E. Anagnostou is with the Electrical Engineering Department, South Dakota School of Mines and Technology, Rapid City, SD 57701 USA (e-mail: [email protected]). M. Morton and J. Papapolymerou are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]; [email protected]). C. G. Christodoulou is with the Electrical and Computer Engineering Department, University of New Mexico, Albuquerque, NM 87106 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.911909

Fig. 1. Layout of a typical CPW to CPS transition with three air-bridges or wire bonds from [3].

be placed between the feeding cable and the antenna’s terminals. Baluns are often narrowband, and thus can feed planar narrowband dipoles. However, to feed wideband or multiband antennas, a transition (balun) capable of balancing the transmission line in a much broader range of frequencies is needed. Such baluns work by tapering the coaxial ground slowly into a single-tip conductor, forming a two-wire transmission line at its termination [2]. For our application though, the reconfigurable antenna (shown in [1, Fig. 13]), which covers various frequencies from 8 to 25 GHz, is fabricated on a quarter of a silicon wafer with feed dimensions 300 360 m. Any intervention or via on the dielectric layers at such small scales would affect the antenna performance by a large degree. As a result, the transition needs to be both wideband and planar in order to feed the antenna from the same plane. All the above led to the development of the coplanar waveguide (CPW) to coplanar strip (CPS) transmission line transition described below. II. DESIGN CONCEPTS First, a 20-GHz CPW–CPS transition similar to the one in [3] was designed as shown in Fig. 1. The transition is comprised from a series of symmetric and asymmetric transmission line sections. An “air-bridge” or wire bond is needed before each asymmetric section discontinuity to suppress any non-CPW mode and retain the balance on the transmission line. The slot ) needs to be tapered to match the width of of the CPS ( the one of the two slots of the symmetric CPW ( ), as illustrated in section 2 of Fig. 1.

0018-9480/$25.00 © 2007 IEEE

2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Simulated S -parameters of the back-to-back initial transition with 600-m-long lines showed very good response up to 20 GHz. A gradual performance decline at higher frequencies can be noticed.

The simulated frequency response is shown in Fig. 2, where a gradual performance decline at higher frequencies can be noticed as the return loss becomes smaller than 10 dB. To satisfy the design goals and increase the transition bandwidth, several alterations were made. A reduction in the number of discontinuities can lead to a reduction in the number of wire bonds. This reduction can be achieved by careful consideration of the characteristics of the beginning and ending slots (CPS transmission line slot and CPW slot , respectively), as shown in Fig. 1. For this purpose, we need to place a restriction in the design that for all CPW and the CPS sections, all slots should be of equal width. ) can be By applying this restriction, the slot of section 1 ( in sections 3–5. One discontinuity designed to be equal to of the transmission line and one wire bond (section 2) are then redundant and eliminated. Sections 1 and 2 are unified and the air-bridges of sections 2 and 3 are the only ones needed. This imposes the design of CPW and CPS transmission line sections with the exact same slot width (and equal to ), while maintaining the same characteristic impedance throughout the transition to minimize reflections, which can be challenging. It is possible to adjust the CPW line by varying the widths of ) and of its slot ( ) such that its its signal conductor ( characteristic impedance remains relatively constant and equal to that of the CPS transmission line in order to eliminate the need ) is increased, the for taper. When the CPW slot width ( ) increases as well. On line’s characteristic impedance ( the other hand, increasing the signal conductor’s width ( ) to decrease. It is a straightforward conclusion to causes presume that several combinations of slot and signal conductor widths can lead to a CPW with a specific value of characteristic impedance. This value is equal to the CPS’s characteristic impedance, and depends upon the application’s design specifications, probe pitch, and fabrication equipment’s tolerance and capabilities. Here, a 150- m-pitch CPW line was used to match with the dimensions from other parts of the system and the RF probes. This methodology was also used to match and balance the , maintaining a reconfigurable antenna of [1] to

Fig. 3. CPS and CPW line characteristic impedance as it varies with the slot or S ) and the width of the metal conductor (W for the width (S CPS line). A 20-m slot was used in the CPS line plot.

throughout the transition. It can also be extended to other impedance values due to the fact that the CPS ) is strongly dependent line’s characteristic impedance ( upon its slot’s width ( ), while the CPW’s characteristic depends both on the CPW slot’s width impedance ) and the width of its signal conductor ( ), adding ( one degree of freedom to the design. Some relations between the characteristic impedance ( ) of the different lines used here , assuming ) versus the width of their slot ( are shown in Fig. 3 (left -axis). ), increases 1) Increasing the width of the CPW slot ( the characteristic impedance of the CPW line, as illustrated m, for three different CPW lines with m, and m, with m. 2) Increasing the width of the center conductor of the CPW ) decreases their characteristic impedance. lines ( ) increases the 3) Increasing the width of the CPS slot ( CPS transmission line’s characteristic impedance. 4) At the same time, a finite-metal CPS transmission line has than the (theoretically) infinite-metal CPS transhigher ). mission line with the same slot width ( ) By varying the width of the metal part of the CPS line ( while maintaining the width of its slot ( ) constant and ), one can alter equal to that of the CPW line (i.e., the CPS transmission line’s characteristic impedance to match ). Thus, that of the CPW line with the same slot width ( the lines dimensions can be adjusted for uniform characteristic impedance throughout the transition. Fig. 3 shows the variety of CPW choices one can use in a tran), which sition for a specific CPS line slot width ( cannot be significantly varied. For example, this is achieved by and noting all CPW and drawing a vertical line from CPS lines that are crossed, as long as these lines in the graph have been extracted for the same slot width

ANAGNOSTOU et al.: 0–55-GHz CPW TO CPS

3

TABLE I SUBSTRATE CROSS SECTION

m). In Fig. 3, all three shown CPW transmission lines can , and any of the three shown signal conhave ) can be used with their appropriate slot ductor widths ( widths given by the left -axis. The CPS line curves are also ) and an approximate drawn for various slot dimensions ( match can be extracted in a visual manner. In particular, for the design described in this study, not all lines intersected at the same points and, thus, a perfect match CPW was not achieved. We have used a m slot width, line characteristic impedance with which also defined the slot width of the CPS transmission line ). A CPS transmission line with this slot width and finite ( m has characteristic impedance metal width of , marked with “ ” at (55 , 20 m) on Fig. 3. The quality of matching for different dimensions and impedances can be “visually” extracted from the distance of the versus ), between two different transmission curves ( line curves. The closer the curves are, the better the match. For specific impedance and slot width values, this is equivalent to how close the two “ ” marks are in Fig. 3. To improve matching, the CPS transmission line could be deif wider metal signed with a lower m was used, as indicated from the right -axis of Fig. 3, where the plotted curve is for the CPS transmission line used m. For different widths, different here with curves can be plotted to enable designs with other characteristic impedances. The CPW line could also achieve a with a gap of 28 m, but this would result in larger CPS ). Analytical transmission line characteristic impedance ( equations as functions of elliptic integrals [6] were used to obtain starting points for the CPS and CPW transmission lines. The lines were then simulated with a method of moments electromagnetic simulator.1 Fig. 3 can always be used as a starting point, as it gives dimensions and values for the transition sections, neglecting any mutual coupling with other system components. The final dimensions are described in Section III and were chosen as a compromise between the desired impedance matching, a good overall system performance, while taking into account the limits of the fabrication equipment. To minimize fabrication complexity and maximize co-process compatibilitywiththeintegrationoftheRF-MEMS,thetransition is fabricated on a high-resistive Si wafer, and made with the same metallization and patterning steps as the antenna. Underneath the metal (Au) layer, there are thin layers of SiO and Si N , as imposed by the design of the switches. The sequence of dielectric and metallization layers is shown in Table I. 1IE3D

is a registered trademark of the Zeland Corporation, Fremont, CA.

Fig. 4. Final CPW–CPS transition with dimensions and names of the different transmission line sections as defined in Fig. 1. The total length of the back-toback configuration was 2 1 4300 = 8600 m.

This transition design is improved when compared to existing ones [3]–[5] in the sense that it uses a reduced number of discontinuities (and, thus, wire bonds), leading to better RF performance with wider bandwidth, faster fabrication time, lower loss, and lower cost. III. BACK-TO-BACK TRANSITION DESIGN AND SIMULATIONS Using the methodology described in Section II, the broadband transition was designed and its structure is shown in Fig. 4. The design procedure begins at section 5 (CPS), going towards secm in section 5 is used and tion 1 (CPW). A slot of maintained constant. Additionally, the dimensions of the bottom strip are also kept constant during the entire transition. In section 4, the upper strip of the CPS transmission line is linearly narrowed to the center strip of the CPW line, and a tapered CPW ground is added next beginning from the width of the top CPS strip until the CPW line becomes symmetric. Both CPW slots m and the transition are maintained constant at ends in a symmetric CPW design. and its conductors’ width The CPS line slot were calculated first and a width m was used to close to 50 . The guide wavelength was found bring mm at 7.75 GHz, mm at 15 GHz, and to be mm at 24.75 GHz. The calculated effective dielectric constant was . The final design layout is shown in Fig. 4, and detailed in Table II, along with the transition of Fig. 1. The keen reader may notice some differences between the theoretical “optimum” values that can be extracted from Fig. 3 and the values used here. These can be attributed to the use of the method of moments software for the fine tuning of the transition integrated with the antenna in order to achieve not only wide bandwidth, but also a good system performance. Also, the method of moments software, while it takes into account any discontinuity effects that analytical equations might disregard, it neglected the finiteness of the dielectric substrates, extending

4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE II DIMENSIONS OF THE CPW–CPS TRANSITIONS (IN MICROMETERS)

“Init.” is the 0–20-GHz transition, as illustrated in Fig. 1, modified for silicon substrate.

them to infinity. This resulted in significant (but not detrimental) differences between simulation and measurements, at such dimension (micrometer) scales. The transition as integrated with the antenna was attached to a 3400- m-long CPW transmission line section to connect to the RF probes. This way, the RF probe head was kept far from the antenna and its effect in the radiation pattern was minimal. The simulated frequency response of the transition in a back-to-back configuration is shown in Fig. 5. The performance is very good from dc up to 40 GHz, covering the desired antenna resonant frequencies, and exhibiting very low insertion loss. In the back-to-back configuration, return loss is greater than 12 dB, while insertion loss is less than 2.8 dB (value at 23 GHz), which implies that some minimal radiation takes place, as the overall length gets electrically larger. Additionally, the back-to-back transmission coefficient’s insertion phase is almost linear, resulting in minimal distortion of the RF signal from the input until the antenna terminals. Finally, simulations showed that expected values for the integrated (single) transition return loss and insertion loss were 15 and 1.3 dB, respectively. The measured results are discussed in Section IV.

Fig. 5. Measured and simulated back-to-back transition performance. (a) Magnitude of insertion loss and reflection coefficient. (b) Group delay and insertion phase of S for the transition with all transmission lines attached.

IV. BACK-TO-BACK TRANSITION MEASUREMENTS The transition’s performance can be partially evaluated by the measured results of the reconfigurable antenna system that it was integrated with, as shown in [1, Figs. 13–15]. A magnified photograph of the integrated transition (Fig. 6) shows a slightly measurement in misplaced (right) manual wire bond. The [1, Fig. 13] showing some fluctuations emphasize the effort presented here and justify the need to reduce the number of wire bonds on transition designs. In a mass-production application, the more accurate MEMS air-bridges can substitute wire bonds with better performance. In order to obtain a complete characterization, the transition was also fabricated in a separate silicon wafer in a back-to-back configuration, at the cleanroom facilities of the Georgia Institute of Technology, Atlanta, using standard photolithography techniques and equipment. The transition is directly connected to different transmission line sections (CPW and CPS), which may add ohmic and sub-

Fig. 6. Fabricated transition integrated with the antenna.

strate losses in the circuit. As any measurement will incorporate these losses, a correct evaluation of the transition’s performance . To do can be made by subtracting them from the measured so, transitions with different CPW and CPS transmission line lengths were fabricated and measured. Losses induced by or related to the thin metal layer of Au, surface roughness, and dielectric that were related to these transmission line sections were calculated.

ANAGNOSTOU et al.: 0–55-GHz CPW TO CPS

5

and low-cost method to feed planar antenna designs fabricated on rigid substrates. The transition was directly integrated with an RF-MEMS reconfigurable multiband antenna and exhibited very good results as well. Finally, by replacing the wire bonds with accurately placed and less lossy MEMS air-bridges, and by minimizing the lengths of the CPW and CPS line sections, transition designs with larger bandwidth and very low loss can be developed. REFERENCES Fig. 7. Photograph and magnification of the fabricated CPW–CPS transition with the transmission line sections attached.

The CPW line loss per unit length was calculated from a CPW line used in the calibration. The loss, in decibels/micrometer, for every frequency was found, and from that, the loss of the entire CPW line section was derived and subtracted from the overall transition’s performance. Next, the loss of the CPS line section was found in a similar way. The calculated transition losses are also shown in Fig. 5(a) within the measured range from 0 to 60 GHz. With the back-to-back configuration, a low-loss performance was obtained from 2 GHz up to 55 GHz since the insertion loss is less than 1.9 dB. The bandwidth exceeded the expected simulated frequency range. Additionally, the insertion phase and group delay of the propagated signal were measured and calculated, respectively. Both are plotted in Fig. 5(b). The insertion phase varies in a linear way with frequency, as expected, while the group delay is fairly constant with values ns, and between 0.067–0.090 ns, an average value ns . A photograph of the small variance back-to-back fabricated transition is shown in Fig. 7, where the transition itself is shown magnified to better illustrate the structure’s details. A comparison between simulated and measured results shows . The largest deviation was relatively good agreement in found at 24 and 37 GHz with 7 , which is a small variation when considering the complexity of the structure, the different layers, and the modeling of the wire bonds. The insertion phase was linear and very similar both in the simulation and can be noticed. The elecmeasurement. Some variation in tromagnetic fields are mostly concentrated in the space between the metallic conductors so an edge meshing was used. Results in this case are sensitive to the edge mesh’s size and density, as well as the wire bonds and the conducting properties of the sputtered Au. All conductors were simulated as perfect, which is also another reason for the observed difference. These differences though were expected and proved to be noncritical for the successful outcome of this study. The major advantage of this design when compared to previous ones is its broader bandwidth, which is obtained using a reduced number of wire bonds. The design approach presented may also be used for transmission lines with different values of characteristic impedance. The one presented here exhibits very good performance up to 55 GHz with a reduced number of transmission line discontinuities achieving a low-loss

[1] D. E. Anagnostou, G. Zheng, M. Chryssomallis, J. Papapolymerou, C. G. Christodoulou, J. Lyke, and G. Ponchak, “Design, fabrication and measurements of a self-similar re-configurable antenna with RF-MEMS switches,” IEEE Trans. Antennas Propag. (Special Issue), vol. 54, no. 2, pt. 1, pp. 422–432, Feb. 2006. [2] J. W. Duncan and V. P. Minerva, “100 : 1 bandwidth balun transformer,” Proc. IRE, vol. 48, no. 2, pp. 156–164, Feb. 1960. [3] S. G. Mao, C. T. Hwang, R. B. Wu, and C. H. Chen, “Analysis of coplanar waveguide-to-coplanar stripline transitions,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 23–29, Jan. 2000. [4] T. Chiu, “A building-block design scheme for planar transmission-line transitions,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 150, pt. 6, pp. 405–410, 2003. [5] A. T. Kolsrud, M.-Y. Li, and K. Chang, “Dual-frequency electronically tunable CPW-fed CPS dipole antenna,” Electron. Lett., vol. 34, no. 7, pp. 609–611, Apr. 1998. [6] B. C. Wadell, Transmission-Line Design Handbook. Norwood, MA: Artech House, 1991, pp. 83–84.

Dimitrios E. Anagnostou (S’98–M’05) was born in Athens, Greece, in November 1975. He received the Diploma degree in electrical and computer engineering, from the Democritus University of Thrace, Thrace, Greece, in 2000, and the M.Sc. and Ph.D. degrees in electrical engineering from the University of New Mexico, Albuquerque, in 2002 and 2005, respectively. From 2005 to 2006, he was a Post-Doctoral Fellow with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. In 2007, he joined the faculty of the Electrical Engineering Department, South Dakota School of Mines and Technology, Rapid City, as an Assistant Professor. He has authored or coauthored over 30 peer-reviewed international journal and conference publications. He has filed two invention disclosures on reconfigurable and ultra-wideband (UWB) antennas. His current research involves direct-write printing for the development and integration of RF circuits on flexible substrates (liquid crystal polymer (LCP), Kapton), reconfigurable and low-cost flexible antennas and RF front-ends, novel antenna designs, microwave packaging, RF-MEMS, neural networks, and image processing. Dr. Anagnostou is a member of Eta Kappa Nu and the Technical Chamber of Greece. He was the recipient of three research and travel grants from 2003 to 2005. He served as a session chair at the IEEE Antennas and Propagation Society (IEEE AP-S) 2006 and 2007 International Symposia. He serves as a reviewer for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Matt Morton (S’02) received the B.S. degree in electrical and computer engineering from the University of Kansas, Lawrence, in 2002, and the M.S. and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2003 and 2007, respectively. His research interests include SiGe -band phase shifters for monolithic radar transmit/receive (T/R) modules, low-cost RF CMOS receiver design, RF-MEMS phase shifters, broadband RF-MEMS switch packaging, low-temperature RF-MEMS packaging techniques on organic liquid crystal polymer (LCP), metamaterial crosstalk isolators, and nanoparticle magnetic thin films.

X

6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with the University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 140 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave and terahertz circuits and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates [liquid-crystal polymer (LCP), low-temperature co-fired ceramic (LTCC)] for system-on-a-chip (SOC)/system-on-package (SOP) RF front ends. Dr. Papapolymerou is the vice-chair for Commission D of the U.S. National Committee of URSI. He is an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan at Ann Arbor Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

Christos G. Christodoulou (S’80–M’81–SM’90– F’02) received the B.Sc. degree in physics and math from the American University of Cairo, Cairo, Egypt, in 1979, and the M.S. and Ph.D. degrees in electrical engineering from North Carolina State University, Raleigh, in 1981 and 1985, respectively. From 1985 to 1998, he was a faculty member with the University of Central Florida, Orlando. In 1999, he joined the Electrical and Computer Engineering Department, University of New Mexico, Albuquerque, where he was Chair of this department from 1999 to 2005. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering. He was a Guest Editor for the Special Issue on “Applications of Neural Networks in Electromagnetics” of the Applied Computational Electromagnetics Society’s ACES Journal. He has authored or coauthored over 250 papers in journals and conferences. He has authored or coauthored 12 book chapters and coauthored four books. His research interests are modeling of electromagnetic systems, reconfigurable systems, machine learning applications in electromagnetics, and smart antennas. Dr. Christodoulou is a member of Eta Kappa Nu, The Electromagnetics Academy (TEA), and Commission B of the United States National Committee of the International Union of Radio Science (USNC/URSI). He served as the general chair of the IEEE Antennas and Propagation Society (AP-S)/URSI 1999 Symposium, Orlando, FL, as the co-chair of the IEEE 2000 Symposium on Antennas and Propagation for Wireless Communications, Waltham, MA, and the co-technical chair for the IEEE AP-S/URSI 2006 Symposium, Albuquerque, NM. He is currently an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and the IEEE Antennas and Propagation Magazine. He was appointed an IEEE AP-S Distinguished Lecturer for 2007 to 2009 and was elected vice-chair for the Albuquerque IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) Chapter.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

7

Compact Large-Signal Shot-Noise Model for HBTs Matthias Rudolph, Senior Member, IEEE, Falk Korndörfer, Peter Heymann, and Wolfgang Heinrich, Senior Member, IEEE

Abstract—A new description of the shot noise in HBTs is proposed that accounts for the correlation of the sources. It can easily be included in large-signal models, thus significantly improving the RF noise description. Common nonlinear bipolar transistor models thus far neglect the correlation, which deteriorates the model accuracy towards higher frequencies. It is shown that the collector delay in InGaP/GaAs HBTs dominates the shot noise correlation. Hence, the collector time-delay description of the large-signal model is capable of providing suitable noise correlation time constants. The model is verified against measurements of InGaP/GaAs HBTs with three different epitaxial layer designs. Index Terms—Equivalent circuit, heterojunction bipolar transistor (HBT), noise, semiconductor device modeling, semiconductor device noise, shot noise, white noise.

I. INTRODUCTION HE THEORY of white noise modeling for bipolar transistors is well established for several decades [1]–[4]. This theory has also successfully been adapted to state-of-the-art GaAs heterojunction bipolar transistors (HBTs) [5]–[7]. In the microwave region, there are basically two types of noise to be considered: thermal and shot noise. • Thermal noise is generated in the passive part of the HBT, namely, by the contact and layer resistances. It is crucial to use a correct equivalent-circuit topology, and to know the device operating temperature. Besides that, this part of the model is quite straightforward. • Shot noise is observed at the pn junctions. It can be described by two sources that are controlled by base and collector currents. These two sources, however, are correlated due to the intrinsic transit time [8]. The main challenge is the correlation of the shot-noise sources. It can be characterized by a time constant that is, in general, lower than the total intrinsic time delay determined in small-signal extraction. It is, hence, necessary to extract it from noise parameter measurement and it cannot simply be predicted if the small-signal equivalent circuit is known. Implementing the noise sources in a large-signal model is even more involved. The correlation time is not a constant value, but depends on bias. Furthermore, large-signal models are formulated in the time domain. In general, bias-dependent

T

Manuscript received July 10, 2007; revised September 13, 2007. M. Rudolph, P. Heymann, and W. Heinrich are with the Ferdinand-BraunInstitut für Höchstfrequenztechnik (FBH), D-12489 Berlin, Germany (e-mail: [email protected]; [email protected]; [email protected]). F. Korndörfer is with Innovations for High Performance Microelectronics (IHP), 15236 Frankfurt (Oder), Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.911944

time delays have to be realized by time constants, i.e., by defining the governing charges and currents properly. Hence, a time constant is not directly accessible and would be required to be approximated using the charge formulas, as recently proposed for the MEXTRAM model [9]. For this reason, the large-signal models available today, in general, neglect the correlation of the shot-noise sources. In this paper, the approach of [10], which was proposed and verified in the linear domain, will be applied to and verified for a large-signal HBT model. This approach takes advantage of proper placement of two noncorrelated shot-noise sources in order to realize the correlation implicitly through the largesignal description. While it has already been shown in [10] that the nonlinear model topology is well suited for this approach, it was still in question whether the nonlinear model provides the required parameter values. In short: is it possible to describe the noise correlation implicitly through the nonlinear time delay model? If yes, which part of the time delay must be taken into account? It will be shown at the example of InGaP/GaAs HBTs that a dedicated HBT model indeed yields good noise simulation results if the shot-noise sources are properly placed. It turns out that the model for the collector transit time is dominant. Furthermore, HBTs with three different base and collector designs are investigated regarding the impact of HBT layer design on the shot-noise correlation. Hence, this investigation also provides experimental evidence for the prominent role of the collector delay in the correlation of the shot noise in state-of-the-art HBTs. II. RF NOISE MODELING Here, the traditional small-signal noise models will be reviewed. It will be highlighted that the two common topologies relying on noncorrelated sources either underestimate or overestimate the noise correlation time [11]. It will then be addressed how nonlinear HBT models approximate time delay, and how the shot-noise model can be tailored to fit into the nonlinear equivalent-circuit topology. A. Shot-Noise Models in the Linear Domain Two shot-noise model topologies for bipolar transistors are shown in Fig. 1. For simplicity, only the very intrinsic part of the HBT model will be discussed, focusing on the shot-noise description. The small-signal current gain is dispersive, i.e., (1) It is assumed in the following that represents the intrinsic base–collector transit time, while describes the impact of the base–emitter junction. Furthermore, it will be

0018-9480/$25.00 © 2007 IEEE

8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Schematic of active HBT. The equivalent-circuit topology is that of a linearized large-signal model with the noise sources of the new model (from [10]).

Fig. 1. Equivalent circuits of intrinsic HBT with two shot-noise model topologies. (a) Fukui. (b) van der Ziel.

Another noncorrelated model was introduced by van der Ziel. In this approach, the base–emitter noise-current source . The second is transferred into a noise voltage source noise source is placed between the base and collector [see Fig. 1(b)] (8)

assumed that is identical to the intrinsic base–collector transit time that is observed in the shot-noise correlation [8]. The total time delay of , on the other hand, is approximately given by . Shot noise is observed at both pn junctions of a bipolar transistor. Since they are not of independent physical origin, strong correlation is observed [4]. With respect to HBTs, it has been shown that the correlation can be described by a time delay. The shot noise at the base–collector interface can be understood more or less as a time-delayed replica of the noise caused by the collector current at the emitter–base interface [5], [6]. The input and output short-circuit noise currents can be expressed by two noise sources between the base–emitter and coland , respectively [5], lector–emitter nodes (2) (3) (4) with the base current , the collector current , and a correlation time-constant . The location of these sources is shown in the equivalent circuit in Fig. 1(a). In this model topology, the correlation can be neglected under holds, as introduced by Fukui [2] the assumption that

(9) The short-circuit noise currents at base are given by

and collector (10) (11) (12)

The following two approximations must hold true if this model is to represent the correlated model (2)–(4). • , which implies and . , which requires that holds. • Comparing the three shot-noise models, it is observed that Fukui’s approximation simply neglects the correlation, setting , while van der Ziel’s model overestimates the correlation . In other words, it can be concluded that van by setting der Ziel’s approach approximates the correlation as observed regarding the short-circuit currents, even though the two intrinsic sources are not correlated. This property can be exploited in the large-signal model to realize the noise correlation through the time-delay formulation of the nonlinear model. B. Linearized Large-Signal Model: Time Delay and Noise

(5) (6) (7) This topology has the advantage of being independent of the actual intrinsic equivalent-circuit topology. It, hence, is the standard implementation used in large-signal models today.

The nonlinear shot noise model will be introduced discussing the linearized intrinsic equivalent circuit that corresponds to the part shown in Fig. 1. The equivalent circuit (Fig. 2) differs in the following points from the small-signal model topology instead of topology. • It is formulated in is transferred into Hence, the current gain .

RUDOLPH et al.: COMPACT LARGE-SIGNAL SHOT-NOISE MODEL FOR HBTs

9

• The current controlling is defined as the current flowing alone, while it is the total emitter current in through case of the small-signal model. • A base–collector transcapacitance arises as a partial derivative of the collector charge . • Since the large-signal model is defined in the time domain, is not dispersive. Dispersion is realized by means of time constants [7], [12]. If this linearized large-signal model is transformed into the small-signal topology, it can be observed that the resulting current gain is given by (13) and , where is the with base–collector transcapacitance. It is the benefit of this topology over the original van der Ziel model that it splits the current through the base–emitter branch: is amplified. This decouples only the current through from , as will be shown in the following. The short-circuit noise currents of the circuit shown in Fig. 2 read

Fig. 3. Equivalent-circuit topology of FBH HBT model. Extrinsic part and thermal subcircuit not shown. The elements outside the dashed box account for nonideal currents and for the base–collector diode underneath the extrinsic base. The two shot-noise sources are denoted by dashed symbols.

TABLE I BASE AND COLLECTOR LAYER SPECIFICATION OF THE INVESTIGATED WAFERS

(14) (15) with

. This yields (16) (17)

(18) The noise sources are now defined as (19) (20) and with the substitution

, (16)–(18) read (21) (22) (23)

These short-circuit noise currents are equal to (2)–(4), as long as the following approximations are valid: (24) (25) (26)

This model topology has proven in [10] to yield a very close approximation of the results of the fully correlated model, even though the noise sources themselves are not correlated. The correlation observed in the short-circuit currents is achieved through the electrical model’s time delay. However, a main assumption still has to be verified. It is the is indeed identical to , implicit assumption that which means the following. • The bias-dependent noise correlation time constant can be approximated by a charge function that describes part of the HBT’s time delay. • Distributing the charges between the two pn junctions, i.e., charge partitioning, allows to optimize the accuracy of the noise model without degrading the electrical model performance. In order to prove this assumption, the noise sources will be implemented in the nonlinear model and checked against theory and measurements. C. Large-Signal Model The new noise model has been implemented in a dedicated GaAs HBT model, the FBH HBT model [7], [13]. The intrinsic equivalent circuit is shown in Fig. 3. The elements inside the dashed box describe the ideal intrinsic part of the HBT, while the elements outside account for nonideal base currents and for the extrinsic base–collector diode. The two shot-noise sources are denoted by dashed symbols. In order to realize the base noise , a small resistance was voltage source inserted that exhibits thermal noise. The noise sources are given

10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

2

Fig. 4. Total transit time  of 3 30 m HBT from wafer A ( ), B ( ). The values are extracted from measured S -parameters at V and C ( 4 V.

1

), =

2

Fig. 5. Total transit time  of 3 30 m HBT from wafer B. The values = are extracted from measured ( ) and simulated ( ) S -parameters at V 1; 2; 3; 4; and 5, V.



Fig. 7. Impact of charge partitioning on S -parameters. (a) Hybrid chart. = 1 V, I = 17 mA. ( ): measurement, (b) Phase of S . Wafer B, V ): no charge-partitioning, i.e., collector–base charge to base–emitter ( ): charge partitioning 0:1. charge 1 : 0, ( ): charge partitioning 6 : 4, (



1

2

Fig. 6. Time constants for 3 30 m HBT at: (a) V = 1 V and (b) V = 4 V. Correlation time constant  determined from noise measurement ( ), total intrinsic time constant of current gain determined from S -parameter measurement ( ), base and collector transit time  and  , approximated by formulas ), 65% of calculated  estimated from of the FBH large-signal model ( FBH large-signal model formulas ( ) (from [10]).



000 111

by (27) (28) and the with the ideality factor of the base–emitter diode junction temperature . These equations are equal to those used

in the linearized small-signal case. The only difference is that instead of relying on the small-signal quantity , it is necessary based on the derivative of the base–emitter to formulate current directly. The FBH HBT model accounts for the following types of time delay: • impact of the base–emitter junction capacitance on time delay at low currents; • constant base transit time for low-injection; • dedicated description for the modulation of collector transit time at moderate currents [12]; • excess time delay at high currents due to base push out, borrowed from the HICUM model. Traditionally, the delays were realized through the base–emitter , except for the collector transit-time model that is charge realized through the base–collector charge . In order to gain an additional degree of freedom, charge-partitioning parameters were introduced that allow to assign part of a charge formula to one pn-junction and the rest to the other. However, this noise modeling approach is not restricted to the FBH HBT model. The noise description will work well with any other model, if it is of this internal topology, provides charge partitioning and a suitable formula for the collector charge. III. EXPERIMENTAL VERIFICATION: IMPACT OF EPITAXIAL LAYER DESIGN A. HBTs and Large-Signal Model The InGaP/GaAs HBTs are fabricated in the 4-in process line of the Ferdinand-Braun-Institut für Höchstfrequenztechnik

RUDOLPH et al.: COMPACT LARGE-SIGNAL SHOT-NOISE MODEL FOR HBTs

2

Fig. 8. Noise parameters of 3 30 m HBT from wafers A–C at ( ), and simulated with proposed new noise model ( ).

V

11



= 1 V. Measured values ( ), simulated with conventional large-signal noise model

(FBH), Berlin, Germany. Details on layer structure and monolithic microwave integrated circuit (MMIC) technology are presented in [14]. Transistors from wafers with three epitaxial designs are considered that mainly differ in thickness of base and cm collector layers (see Table I). Base doping and collector doping cm are identical for all three wafers. extracted from -paramTotal transit times V are shown in Fig. 4. For mA, eters at the time delay increases with decreasing current according to law due to the base–emitter depletion capacitance. Bea mA, the time delay increases again due to base yond push out. In between is the region that is governed by velocity modulation in the depleted collector. It is obvious that the shape

of the curves depends on the collector design: reducing the base width from 90 nm (wafer A) to 45 nm (wafer B) yields a parallel shift of the curve. Decreasing the collector width from 1 m (wafers A and B) to 500 nm (wafer C) while keeping the base at 45 nm further reduces the total transit time significantly, and changes the shape of the curve. This is already an indication that the total time delay is dominated by the collector transit time. Below base push out, only a minor portion of the transit time, i.e., 0.4–1.2 ps, will be modeled as a constant base time delay. The rest is attributed to the collector delay. from Fig. 5 shows measured and simulated values of V. The values are extracted from wafer B for -parameters using the same algorithm in both cases. The typ-

12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

2

Fig. 9. 50- noise figure of 3 30 m HBT from: (a) wafers A and (b) B at V ), and simulated with proposed new noise model ( ). noise model (

ical voltage dependence, i.e., that the device becomes slower at higher voltages, is well predicted by the model. B. Noise Model Before discussing the large-signal model, a result obtained from small-signal noise modeling will be reviewed. Fig. 6 comfrom wafer pares measurement-extracted correlation times A with different time constants [10]. The first curves refer to the total time constant of the current gain , extracted from -parameters . The two other curves are derived from approximations for the base and collector time delays and that are obtained according to the FBH large-signal model, neat low currents and base push glecting the contribution of out at high currents. As can be seen, the sum of and closely follows the total time constant in the medium current range . The most interesting observation, however, is that the noise correlation time constant is found to be well approxi. This mated by a fixed portion of , i.e., means that partitioning the collector charge 65% : 35% between base–collector and base–emitter junction yields a good noise description. Such type of charge partitioning is possible in several models, e.g., in the Agilent HBT model by means of the parameter Fextc [7]. On the other hand, charge partitioning was not part of the FBH HBT model thus far because it turned out that it is of minor influence on model accuracy in the noiseless case. The impact of charge partitioning on the electrical performance is shown in Fig. 7 for the example of wafer B. -parameter measurement (bullets) is compared with simulation for three cases of charge partitioning: no charge partitioning, i.e., fully attributed to base–collector junction (dashed lines), charge partitioning 60% : 40% (solid lines), and fully shifted to the base–emitter junction (dashed–dotted lines). Charge parand the phase of at high fretitioning mainly affects quencies. In case of the devices-under-test, it is observed that

= 1 and 4 V. Measured values (), simulated with conventional large-signal the model accuracy is not very sensitive to charge partitioning, as long as the majority of the charge is attributed to the base–collector junction. This second-order effect with regard to the electrical model, however, turns out to be a first-order effect regarding noise. The charge-partitioning parameters, therefore, can easily be extracted from noise measurements, also slightly improving the -parameter fit. It can thus be expected that the collector time delay dominates the noise correlation time. Accordingly, the approach in the nonlinear case is to locate all charges responsible for time delay at the base–emitter junction, except for a variable portion of the collector charge that is responsible for the velocity modulation effect. Modeled and simulated noise parameters for the three wafers V are shown in Fig. 8. The noise factors at at and V measured and simulated in a 50- environment are mA and 30 mA shown in Fig. 9. Measurements at are compared with simulations using the FBH HBT model with conventional noise description and with the newly pro. posed noise description The impact of the noise correlation is clearly observed comparing measurement and simulation. In case of wafers A and B, and equivalent noise resistance are signoise figure nificantly overestimated by a conventional noncorrelated model is also af(dashed lines). The optimum noise matching fected to a certain extent. It can be seen that the new correlated noise model yields a significant improvement with respect to accuracy compared to the conventional model. For wafers A and B, the charge has to be split in a ratio of around 65 : 35 between the collector and emitter branch, as expected. For the current densities shown here, the base transit time is modeled by a small constant value, 0.4–1.2 ps, as stated above. From physical reasoning, it can be expected that the base transit time at least partially contributes to the correlation of the noise sources. How-

RUDOLPH et al.: COMPACT LARGE-SIGNAL SHOT-NOISE MODEL FOR HBTs

ever, in this practical case, it turned out that it is not necessary to include it in the noise correlation since the absolute value of this time constant is much lower than the collector transit time. mA, the base–emitter depleAt low currents, e.g., for becomes the dominant contributor to the tion capacitance -shaped curve of the total total transit time. It results in a transit time observed, e.g., in Figs. 4 and 6. This capacitance, as expected from physics, has no effect on the correlation of the shot-noise sources. It is interesting to note that the correlation is not important in case of wafer C, the one with the lowest collector delay. The straight lines are simulated with maximum correlation, while the dashed lines again are obtained neglecting correlation. Comparing the results from wafers B and C, one can again conclude that the origin of the noise correlation lies in the collector delay. The large-signal results also verify that the charge-partitioning parameter can be assumed to be a constant in the compact model. This is shown in Fig. 9, which presents 50noise-figure results for a wide range of bias points. IV. CONCLUSIONS A new large-signal noise model for HBTs has been presented. It approximates the correlation of the shot noise observed in the short-circuit currents by proper placement of two noncorrelated sources, similar to the topology proposed by van der Ziel. The time-delay description of the large-signal model is responsible for approximating the correlation observed in the terminal short-circuit noise currents. The model is verified against measurements of InGaP/GaAs HBTs with three different epitaxial layer designs. The following conclusions can be drawn. • The noise correlation time constant corresponds in good approximation to a portion of the collector delay. • Hence, correlation is more pronounced in HBTs with thicker collectors. • A dedicated HBT nonlinear model is capable of providing the required time-constant through its velocity modulation description. • Charge partitioning is extremely important in order to achieve an accurate noise description, while it is rather a second-order effect concerning -parameters. The new noise description is easily implemented in largesignal models since it requires only two bias-dependent noncorrelated noise sources. Thus, it advances the state-of-the-art of shot-noise description in nonlinear HBT models. The approach should, in principle, also be applicable to other bipolar technologies. As a by-product, the experimental investigation proves the impact of collector time delay on the correlation shot-noise sources. ACKNOWLEDGMENT The authors would like to thank S. Schulz, Ferdinand-BraunInstitut für Höchstfrequenztechnik (FBH), Berlin, Germany, for performing the measurements for large-signal model extraction and H.-E. Wulf, Innovations for High Performance Microelectronics (IHP), Frankfurt (Oder), Germany, for the noise measurements.

13

REFERENCES [1] A. van der Ziel, “Theory of shot noise in junction diodes and junction transistors,” Proc. IRE, vol. 43, no. 11, pp. 1639–1646, Nov. 1955. [2] H. Fukui, “The noise performance of microwave transistors,” IEEE Trans. Electron Devices, vol. ED-13, no. 3, pp. 329–341, Mar. 1966. [3] P. J. Hawkins, “Limitations of Nielsens’s and related noise equations applied to microwave bipolar transistors, and a new expression for frequency and current dependent noise figure,” Solid State Electron., vol. 20, pp. 191–196, Mar. 1977. [4] A. van der Ziel, Noise in Solid State Devices and Circuits. New York: Wiley, 1986, pp. 109–119. [5] M. Rudolph, R. Doerner, L. Klapproth, and P. Heymann, “An HBT noise model valid up to transit frequency,” IEEE Electron Device Lett., vol. 20, no. 1, pp. 24–26, Jan. 1999. [6] J. Herricht, P. Sakalas, M. Schröter, P. Zampardi, Y. Zimmermann, F. Korndörfer, and A. Simukovic, “Verification of  -equivalent circuit based microwave noise model on A B HBTs with emphasis on HICUM,” in IEEE MTT-S Int. Microw. Symp. Dig, Long Beach, CA, 2005, [CD ROM]. [7] M. Rudolph, Introduction to Modeling HBTs. Boston, MA: Artech House, 2006. [8] C. Jungemann, B. Neinhüs, B. Meinerzhagen, and R. W. Dutton, “Investigation of compact models for RF noise in SiGe HBTs by hydrodynamic device simulation,” IEEE Trans. Electron Devices, vol. 51, no. 6, pp. 956–961, Jun. 2004. [9] J. C. J. Paasschens, R. J. Havens, and L. F. Tiemeijer, “Modelling the correlation in the high-frequency noise of (hetero-junction) bipolar transistors using charge-partitioning,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 2003, pp. 221–224. [10] M. Rudolph and P. Heymann, “On compact HBT RF noise modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1783–1786. [11] M. Rudolph and P. Heymann, “Comparative study of shot-noise models for HBTs,” in Eur. Microw. Integrated Circuits Conf., 2007, pp. 191–194. [12] M. Rudolph and R. Doerner, “Consistent modeling of capacitances and transit times of GaAs-based HBTs,” IEEE Trans. Electron Devices, vol. 53, no. 9, pp. 1969–1975, Sep. 2005. [13] “FBH HBT Model” FBH, Berlin, Germany, 2007. [Online]. Available: http://www.designers-guide.org/VerilogAMS/ [14] J. Hilsenbeck, F. Lenk, W. Heinrich, and J. Würfl, “Low phase noise MMIC VCOs for Ka-band applications with improved GaInP/GaAs-HBT technology,” in IEEE GaAs IC Symp. Dig., 2003, pp. 223–226.

Matthias Rudolph (M’99–SM’05) received the Dipl.-Ing. degree in electrical engineering from the Berlin University of Technology, Berlin, Germany, in 1996, and the Dr.-Ing. degree from the Darmstadt University of Technology, Darmstadt, Germany, in 2001. He is currently a Senior Scientist with the Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany. His research is focused on modeling of FETs and HBTs and on the design of power, broadband, and low-noise amplifiers. He has authored or coauthored over 50 publications in refereed journals and conferences. He authored Introduction to Modeling HBTs (Artech House, 2006). Dr. Rudolph was the program chair of European Microwave Week 2007.

Falk Korndörfer was born in Plauen, Germany, in 1975. He received the Diploma degree from the Chemnitz University of Technology, Chemnitz, Germany, in 2002. He was a Research Scientist with the Chemnitz University of Technology until the end of 2003. Since 2004, he has been with Innovations for High Performance Microelectronics (IHP), Frankfurt (Oder), Germany, where he is responsible for characterization and measurement of integrated microwave and millimeter-wave devices and circuits, and for the modeling of passive MMIC elements.

14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Peter Heymann was born in Berlin, Germany, in 1939. He received the Dipl.-Phys. and Dr. rer.-nat. degrees in physics from the University of Greifswald, Greifswald, Germany, in 1963 and 1968, respectively. From 1963 to 1982, he was involved with different projects in the field of wave plasma interaction, which include wave propagation, RF plasma sources and heating, and microwave and far-infrared plasma diagnostics. Since 1982, he has been involved with GaAs microwave electronics. In 1992, he joined the Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany, where he was responsible for measurements, characterization, and modeling of active and passive components of microwave MMICs until his retirement in 2004. He currently supports the FBH as a senior consultant in the field of microwave noise measurement.

Wolfgang Heinrich (M’84–SM’95) received the Dipl.-Ing., Dr.-Ing. and Habilitation degrees from the Technical University of Darmstadt, Darmstadt, Germany, in 1982, 1987, and 1992, respectively. Since 1993, he has been with the Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH), Berlin, Germany, where he is Head of the Microwave Department and Deputy Director of the FBH. His current research focuses on MMIC design with an emphasis on oscillators, GaAs and GaN power transistors, electromagnetic simulation, and millimeter-wave packaging. He has authored or coauthored over 200 publications and conference contributions. Dr. Heinrich was a Distinguished Microwave Lecturer from 2003 to 2005. He was chairman of the German IEEE Microwave Theory and Techniques (MTT)/ Antennas and Propagation (AP) Chapter from 2002 to 2007. He was general chair of the 2007 European Microwave Week, Munich, Germany.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

15

Design Considerations on the Minimum Size of Broadband Antennas for UWB Applications Akira Saitou, Member, IEEE, Kazuhiro Aoki, Kazuhiko Honjo, Fellow, IEEE, and Koichi Watanabe

Abstract—The practical realization of Chu’s minimum-sized antenna is investigated using self-complementary antennas. Rigorous bandwidth formulas for broadband antennas are derived for broadband antennas based on Chu’s fundamental mode equivalent circuit. By comparing input impedances and radiation patterns, the fabricated self-complementary antenna used for Japan’s ultra-wideband higher band (7.25–10.25 GHz) is found approximated by the minimum-sized antenna. Using the rigorous bandwidth formulas above, the fabricated antenna is shown to be smaller than the minimum-sized antenna. This breakthrough is achieved by using a more complicated matching circuit for broadband antennas instead of the single-stage inductor used in bandwidth estimation with the factor. Index Terms—Antenna theory, differential geometry, impedance matching, microwave antennas, resins.

I. INTRODUCTION

T

HE ultra-wideband (UWB) radio system is very promising since it can achieve data transmission rates higher than those of other wireless local area network (LAN) systems with less power dissipation [1]. In Japan, two bands (3.4–4.8 and 7.25–10.25 GHz) are allocated, and the higher band can be used more freely without adding the “detect and avoidance” function. Since the antenna is usually the largest component of equipment, it must be miniaturized. Regarding broadband antenna size, many researchers have considered the tradeoff between size and bandwidth [2]–[11]. Chu originally modeled an antenna as an arbitrary source distribution contained within a boundary sphere of radius where fields outside this sphere could be represented by a superposition of orthogonal spherical modes. In addition, rigorous input impedances and equivalent circuits were derived for the modes. However, the bandwidth for all modes was estimated with the factor. Although bandwidth estimation with the factor was recognized as being ambiguous for broadband antennas, the minimum radius for the fundamental-mode “antenna” was shown to be approximately , and this antenna exhibits fundamental-mode radiation patterns. Since the antenna exhibits the most broadband return-loss characteristics, as well as the most uniform radiation

Manuscript received May 30, 2007; revised August 20, 2007. This work was supported in part by the Organization for Small and Medium Enterprises and Regional Innovation. A. Saitou and K. Watanabe are with the Reliability Department, YKC Corporation, Tokyo 208-0023, Japan (e-mail: [email protected]; [email protected]). K. Aoki and K. Honjo are with the Information and Communication Engineering Department, University of Electro-Communications, Tokyo 182-8585, Japan (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.912006

Fig. 1. Equivalent circuit for the

TM

mode.

patterns, it can be considered ideal for short-range wireless applications using UWB radio technology. However, since the antenna is actually the mode rather than the practical antenna entity, it neither guarantees physical realization, nor indicates a practical configuration. Even though the infinitesimal pair dipole represents practical realization, its infinitesimal radiation resistance inhibits practical use. Therefore, other finite-sized antennas that can be approximated by Chu’s minimum-sized antenna are urgently demanded. The practical self-complementary antennas used for Japan’s UWB higher band, fabricated on high-permittivity material, were shown to be equivalent in size to the minimum-sized antenna [12]. Given the ambiguity in theoretical bandwidth, however, a rigorous comparison of the tradeoffs between size and bandwidth was not investigated. This paper describes how the fabricated self-complementary antenna can be approximated by the minimum-sized antenna. It also explains how the rigorous bandwidth formulas for wideband antennas are derived based on Chu’s rigorous equivalent circuit. The results obtained showed the antenna to be substantially smaller than the minimum size. This substantial improvement for the broadband antenna is made possible by using the matching circuit, which is more complicated than the single-stage inductor used in factor bandwidth estimation.

II. RIGOROUS BANDWIDTH FORMULAS FOR BROADBAND ANTENNAS Chu derived the equivalent circuit for the fundamental mode antenna shown in Fig. 1 by assuming that the antenna is confined within a sphere having a radius expressed by . Input is obtained, where denotes the velocity of light impedance denotes the impedance in free space as in free space and follows:

0018-9480/$25.00 © 2007 IEEE

(1)

16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

By adding the following inductance , the resonant frequency can be tuned to an arbitrary ; in this case, input impedance can be obtained as follows:

(2)

(3) is In cases where narrowband approximation and the factor adopted, fractional bandwidth can be approximated as shown in Appendix I as follows:

Fig. 2. Effect of size and resonant frequency on fractional bandwidth for the rigorous calculation with (6) and the calculation with narrowband approximation with (4). (The frequencies at which return loss is 10 dB determine bandwidth.)

0

(4) dB reflection coefficient

(5)

However, a precise fractional bandwidth cannot be obtained, particularly for a wide bandwidth, because the resonant frequency is quite different from the center frequency for wideband circuits. To obtain a rigorous center frequency, a high-order algebraic equation was derived, as shown in Appendix I. Positive roots of , as follows in (6), correspond to the lower and upper band-edge frequencies where the magnitude of reflection coef: ficient is

(6) Although the resonant frequency was adjusted to a demanded frequency by adding an inductor to the preceding analysis, the equivalent circuit is essentially a high-pass configuration. Thus, it can exhibit infinite bandwidth when terminated with constant . In this case, lower band-edge frequency can impedance be derived as shown in Appendix II as follows: (7) Based on the above results, Fig. 2 compares fractional bandwidths between those obtained with and without the narrowband

Fig. 3. Fractional bandwidth and normalized upper/lower band-edge frequencies (!a=c). (The frequencies at which return loss is 10 dB determine bandwidth. HP denotes the high-pass configuration.)

0

approximation, as expressed in (4) and (6). Whereas bandwidth is equivalent in the narrowband region, it is quite different in the region where the bandwidth exceeds 50%. Fig. 3 shows the effect of the product of size and resonant on the fractional bandwidth and band-edge frequency , using rigorous frequencies normalized by . (6) and (7). The fractional bandwidth increases with Regarding a fixed size, while the upper band-edge frequency increases sharply with , the lower band-edge frequency increases slowly and approaches the lower band-edge frequency of the high-pass configuration. The lower band-edge frequency can only be reduced from that of the high-pass configuration by sacrificing substantial fractional bandwidth, and there is an inevitable tradeoff between the lower band-edge frequency and fractional bandwidth. Moreover, the center frequency shifts in the wideband region. higher than For fixed and considering that the band-edge frequen, the lower band-edge frequency decies are normalized by creases slowly with size, and approaches that of the high-pass configuration where the frequency changes in inverse proportion to size. Based on all the results above, Fig. 3 shows the minimum size of the wideband antenna as rigorously expressed.

SAITOU et al.: DESIGN CONSIDERATIONS ON MINIMUM SIZE OF BROADBAND ANTENNAS FOR UWB APPLICATIONS

17

Fig. 4. Measuring pads for the air-coplanar probe. Ports 1 and 2 are used for measuring the single-mode S -parameters.

Fig. 5. Measurement configuration. (a) Photograph. (b) Schematic.

Fig. 6. Measured effect of size on real and imaginary parts of input impedance for different size antennas. (a) Substrate thickness: 1 mm. (b) Substrate thickness: 2 mm.

III. FABRICATED SELF-COMPLEMENTARY ANTENNA Miniaturized self-complementary antennas used for Japan’s UWB higher band were shown as small as the minimum size derived by Chu [12]. The unit antennas having sizes of 30, 20, 15, and 10 mm were fabricated both on resin substrates 1- and . The feed lines 2-mm thick having high permittivity and measuring pads were deembedded using the same procedure shown in [12] to precisely extract the input impedances. Air coplanar probes (Cascade Microtech, Beaverton, OR) were utilized to estimate precise ultra-wideband -parameters. Fig. 4 shows the schematic pattern of the measuring pads used with the coplanar probes. Coplanar probes are placed at ports 1 and 2. Since the input impedance of the antennas must be estimated in a midair condition, the antenna was fixed on the foam (RO, ) protruding from the table, HACELL: as shown in Fig. 5. Fig. 6 shows the extracted input impedances for the four different sized antennas on both substrates 1- and 2-mm thick. Both the real and imaginary parts of a larger antenna’s input impedance reach their constant impedances at frequencies lower than those of a smaller antenna’s input impedance. The input impedances of antennas on the substrate 1-mm thick are higher than those on the substrate 2-mm thick. Fig. 7 shows the return loss calculated for optimized load based on the extracted input impedances. The load impedance was selected for antennas on the substrate 1-mm of 100 thick, and 80 for those on the substrate 2-mm thick. Even the smallest 10-mm antenna on the substrate 1-mm thick can be considered acceptable for applications in Japan’s UWB higher band (7.25–10.25 GHz). Conversely, whereas return loss begins to decrease at lower frequencies for antennas on the substrate 2-mm thick, return loss around 8 GHz cannot be suppressed due to the sharp decrease in the real part of the input impedance

Fig. 7. Measured size effect on return loss. Antennas on the substrate 1-mm thick are terminated at 100 and those on the substrate 2-mm thick are terminated at 80 . (a) Substrate thickness: 1 mm. (b) Substrate thickness: 2 mm.

Fig. 8. Input impedances of the equivalent circuit and antennas fabricated on the substrate 1-mm thick. (a) Real part. (b) Imaginary part.

around 8 GHz, which may suggest that an excess of effective relative permittivity increases the factor. Fig. 8 compares input impedances for the equivalent circuit and the antennas fabricated on the substrate 1-mm thick. While input impedances of antennas such as the dipole antenna exhibit sharp resonant behavior and are quite different from that of the equivalent circuit, the calculated and measured data are quite

18

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 11. Measured gain (dBi) on the yz -plane.

Fig. 9. (a) Photograph and (b) cross-sectional structure of the fabricated antenna. The photograph shows the antenna used to estimate S -parameters. The measuring pads are removed from the antennas to estimate radiation patterns [12].

Fig. 10. Simulated and measured return losses.

similar. Therefore, the fundamental mode antennas shown in Section II may be used to approximate the fabricated self-complementary antennas. With the extracted input impedance, a 50- -matched antenna 10 mm in size was designed and fabricated on the substrate 1-mm thick [12]. By using the optimization tool of the simulator [Agilent Technologies’ Advanced Design System (ADS)], the differential-mode characteristic impedance and electric length of the feed lines were optimized to match the extracted frequency-dependent input impedance to 50 . The feed lines had the following dimensions: linewidth of 0.4 mm, line space of 0.1 mm, and line length of 2.55 mm. The characteristic impedance of the soldering pads, which connect to the coaxial cable’s inner and outer conductors for measuring radiation patterns, was designed to be 50 . The feed lines and soldering pads are set apart from the “real ground” to increase their common-mode characteristic impedances. Fig. 9 shows a photograph and the cross-sectional structure of the fabricated antenna. Fig. 10 shows the measured and simulated return losses. The measured return loss is less than 10 dB between 5.9–13.8 GHz where the fractional bandwidth was 80%. The bandwidth fully covers Japan’s UWB higher band.

Whereas self-complementary antennas operate on differential-mode signals according to their principles of operation, the ultra-wideband differential signal source cannot be easily obtained. To minimize common-mode excitation of the antenna, the common-mode impedance of the feed lines is set very high with matching differential-mode impedance also set. In this case, the feed lines can be expected to function as a balun, and radiation patterns similar to those stimulated by the differential signal can be estimated by connecting the inner and outer conductors to the antenna’s two electrodes. Fig. 11 shows the measured radiation patterns on the -plane. These radiation patterns were almost equivalent to the omni-directional patterns [2] over 6–12 GHz, except of the fundamental mode regarding the direction (270 ) of feed lines connected to the coaxial cable. The measured average gain on the -plane was 1.1 dBi at 6 GHz, 1.0 dBi at 9 GHz, and 0.8 dBi at 12 GHz. The obtained gain is slightly lower than, but roughly equivalent to that of Chu’s minimum-sized antenna (1.8 dBi), which may be somewhat favored in realizing wider bandwidth [6]. IV. RIGOROUS COMPARISON WITH THE MINIMUM-SIZED ANTENNA Since the fractional bandwidth obtained is as wide as 80%, the antenna size may possibly be compared with Chu’s minimum-sized antenna. In considering that the measured center becomes frequency is 9.85 GHz, the minimum radius 4.85 mm and the antenna size corresponds to 9.7 mm, which is roughly equivalent to the fabricated antenna size of 10 mm. Although Chu showed the minimum size of the broadband antenna, neither its realization, nor antenna configuration was shown. However, since both the input impedances and radiation patterns are similar to those of the equivalent circuit, this antenna may be considered a practical realization of the Chu’s minimum-sized antenna. However, as shown in Section II, the factor does not explain the fractional bandwidth correctly for broadband antennas. Therefore, the rigorous formulas were used in comparing the effects of size on the band-edge frequencies and fractional bandwidth for the fabricated and minimum-sized antennas, as shown in Fig. 12. Bandwidth was estimated at frequencies where return

SAITOU et al.: DESIGN CONSIDERATIONS ON MINIMUM SIZE OF BROADBAND ANTENNAS FOR UWB APPLICATIONS

19

Fig. 13. Matching circuit configuration.

Fig. 12. Calculated and measured effects of size on fractional bandwidth and band-edge frequencies.

loss is 10 dB. Although is strictly lower than the center frequency, was estimated to be the measured center frequency is equivalent to the center frequency, of 9.85 GHz because given the somewhat narrow analytical fractional bandwidth at were estithe fabricated antenna size of 0.5 cm. Even if mated as being lower, analytical plots shift right and the analytical fractional bandwidth of the same size becomes narrower. The measured upper and lower band-edge frequencies protrude away from the analytical limits, thus making the fractional bandwidth substantially wider than the analytical one. This implies that the fabricated antenna is smaller than the minimum-sized antenna derived by Chu. Regarding the bandwidth limit, knowledge of the Bode–Fano criterion [13], [14] is widespread, where an arbitrary realizable matching circuit must be used to realize maximum bandwidth. However, since the equivalent circuit exhibits high-pass characis not finite for this teristics, the integration circuit. Moreover, the maximum fractional bandwidth here is to be found on the condition of a fixed lower band-edge frequency. Thus, to the best of our knowledge, a method of analyzing this kind of condition equation is unknown as relating to the Bode–Fano criterion. To obtain an approximate solution of this problem, an optimization method using Agilent Technologies’ ADS simulator was employed [15]–[17]. For arbitrary realizable matching circuits, multistage ladder circuits were adopted, as shown in Fig. 13. To maximize bandwidth for a fixed lower band-edge and the element values of frequency, load impedance and were optimized to obtain the maximum bandwidth, where the targeted return loss was less than 10 dB beyond the fixed lower band-edge frequency. To confirm the validity of this method, the tradeoffs in analytical [see (6)] and simulated results were compared where the matching circuit is a single-stage inductor, as shown in Fig. 14. The analytical and simulated results agreed well. Fig. 14 also shows the and five-stage tradeoffs for the three-stage matching circuits. While equivalent in the narrowband region, the tradeoffs were remarkably improved in the broadband region. More improvement was obtained for increased stages of the matching circuits, and the measured tradeoff was realized with the five-stage matching circuit.

Fig. 14. Tradeoffs between the lower band-edge frequency and fractional bandwidth.

V. CONCLUSION The practical realization of Chu’s minimum-sized antenna was investigated using the self-complementary antenna. Rigorous bandwidth formulas for broadband antennas were derived for broadband antennas based on Chu’s fundamental mode equivalent circuit. The fabricated self-complementary antenna used for Japan’s UWB higher band was shown to be approximated with the minimum-sized antenna by comparing input impedances and radiation patterns. With the rigorous formulas above, the fabricated antenna was shown to be smaller than the minimum-sized antenna. This breakthrough was achieved by using a more complicated matching circuit for broadband antennas instead of a single-stage inductor. APPENDIX I The input impedance of the equivalent circuit shown in Fig. 1 is found as follows: (A-1) To tune the resonant frequency, the input impedance is transby adding inductance and setting load formed to impedance as follows:

(A-2)

20

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

(A-3) (A-4)

1) Narrowband approximation:

, (A-10) Since is positive, positive roots of the equation correspond to the upper and lower band-edge frequencies. Since and , (A-10) has two positive roots and one negative root; the two positive roots correspond to the band-edge frequencies. (A-5)

Where the load is tained as follows:

, reflection coefficient

can be ob-

APPENDIX II Since the equivalent circuit shown in Fig. 1 shows high-pass . In this characteristics, bandwidth can be infinite with load case, reflection coefficient can be obtained as follows: (A-11)

(A-6) The fractional bandwidth for the magnitude of reflection is found as follows: coefficient

(A-12) The lower band-edge frequencies figuration can be obtained as follows:

for the high-pass con-

(A-13)

(A-7) In narrowband approximation, the factor can be approximated by inversing the fractional bandwidth where is a as follows: wavenumber at

ACKNOWLEDGMENT The authors wish to acknowledge the valuable discussions with and support provided by Emeritus Prof. H. Yabe, University of Electro-Communications, Tokyo, Japan.

dB (A-8)

REFERENCES Whereas this value is consistent with Chu’s result, it is different from the antenna [3]–[11] by a factor of 1/2. This is caused by a different definition of the factor. Whereas the factor in this paper is defined as (A-8), the antenna is defined as follows [7]:

[1] R. J. Fontana, “Recent system applications of short-pulse ultra-wideband (UWB) technology,” IEEE Trans. Microw. Theory Tech, vol. 52, no. 9, pp. 2087–2104, Sep. 2004. [2] L. J. Chu, “Physical limitations of omni-directional antennas,” J. Appl. Phys., vol. 19, pp. 1163–1175, 1948. [3] R. F. Harrington, “Effect of antenna size on gain, bandwidth, and efficiency,” J. Res. Nat. Bur. Stand., vol. 64D, pp. 1–12, Jan.–Feb. 1960. [4] R. E. Collin and S. Rothschild, “Evaluation of antenna ,” IEEE Trans. Antennas Propag., vol. AP-12, no. 1, pp. 23–27, Jan. 1964. [5] H. A. Wheeler, “Smalla antennas,” IEEE Trans. Antennas Propag., vol. AP-23, no. 7, pp. 462–469, Jul. 1975. [6] R. C. Hansen, “Fundamental limitations in antennas,” Proc. IEEE, vol. 69, no. 2, pp. 170–182, Feb. 1981. [7] J. S. McLean, “A re-examination of the fundamental limits on the radiation of electrically small antennas,” IEEE Trans. Antennas Propag., vol. 44, no. 5, pp. 672–675, May 1996. [8] H. Schantz, The Art and Science of Ultrawideband Antennas. Boston, MA: Artech House, 2005. [9] W. Geyi, “Physical limitations of antenna,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 2116–2123, Aug. 2003. [10] D. M. Grimes and C. A. Grimes, “Bandwidth and of antennas radiating TE and TM modes,” IEEE Trans. Electromagn. Compat., vol. 37, no. 2, pp. 217–226, May 1995.

Q

Larger of 2) Rigorous bandwidth for wideband antennas Narrowband approximation cannot be used when the passband is very wide, and bandwidth must be obtained using (A-2) without approximation. In this case, band-edge frecan be obtained by using the roots of the folquencies lowing equation: (A-9)

Q

Q

SAITOU et al.: DESIGN CONSIDERATIONS ON MINIMUM SIZE OF BROADBAND ANTENNAS FOR UWB APPLICATIONS

[11] R. C. Hansen, Electrically Small, Superdirective, and Superconducting Antennas. Hoboken, NJ: Wiley, 2006, pp. 1–99. [12] A. Saitou, K. Aoki, K. Honjo, C. Quan, and K. Watanabe, “Miniaturized ultra-wideband self-complementary antennas using high permittivity thick resin material,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1007–1010. [13] R. M. Fano, “Theoretical limitations on the broadband matching of arbitrary impedances,” J. Franklin Inst., vol. 249, no. 1,2, pp. 57–83, 139–154, 1950. [14] D. M. Pozar, Microwave Engineering, 2nd ed. Crawfordsville, IN: Wiley, 1998, pp. 606–609. [15] N. Behdad and K. Sarabandi, “Bandwidth enhancement and further size reduction of a class of miniaturized slot antennas,” IEEE Trans. Antennas Propag., vol. 52, no. 8, pp. 1298–1935, Aug. 2004. [16] A. Hujanen, J. Holmberg, and J. C.-E. Sten, “Bandwidth limitations of impedance matched ideal dipoles,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 3236–3239, Oct. 2005. [17] M. C. Villalobos, H. D. Foltz, J. S. McLean, and I. S. Gupta, “Broadband tuning limits on UWB antennas based on Fano’s formulation,” in IEEE AP-S Int. Symp., Jul. 2006, pp. 171–174. Akira Saitou (M’04) received the B.E. and M.E. degrees in applied physics from the University of Tokyo, Tokyo, Japan, in 1975 and 1977, respectively. From 1977 to 2002, he was with the NEC Corporation, where he developed GaAs field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs) for microwave and millimeter-wave communication. He is currently with the YKC Corporation, Tokyo, Japan, where he develops microwave circuits and antennas for short-range wireless interfaces.

Kazuhiro Aoki received the B.S. degree from the University of Electro-Communications, Tokyo, Japan, in 2007. He is currently with the Reliability Department, YKC Corporation, Tokyo, Japan. His research interests focus on the miniaturization of antennas for UWB applications.

21

Kazuhiko Honjo (M’82–SM’88–F’97) received the B.E. degree from the University of Electro-Communications, Tokyo, Japan, in 1974, and the M.E. and D.E. degrees from the Tokyo Institute of Technology, Tokyo, Japan, in 1976 and 1983, respectively. From 1976 to 2001, he was with the NEC Corporation, Kawasaki, Japan. In 2001, he joined to the University of Electro-Communications, Tokyo, Japan, where he is currently a Professor with the Information and Communication Engineering Department. He has been involved in the research and development of device technology for GaAs FETs, HBTs, and their microwave and digital circuit applications, as well as filters and antennas for UWB. Prof. Honjo served as a Technical Program Committee (TPC) member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave and Millimeter-Wave Monolithic Circuit Symposium and the IEEE MTT-S International Microwave Symposium (IMS) from 1988 to 1992, and 1999 to 2003, respectively. From 1998 to 2003, he was an elected Administrative Committee (AdCom) member of the IEEE MTT-S. He also served as chairman of the IEEE MTT-S Transnational Committee for five years. He was the recipient of the 1983 IEEE MTT-S Microwave Prize and the 1988 IEEE MTT-S Microwave Prize. He was also the recipient of the 1999 Institute of Electrical, Information and Communication Engineers (IEICE), Japan, Electronics Award and the 1980 IEICE Young Engineer Award.

Koichi Watanabe received the B.E. degree in techno-chemistry from the College of Science and Technology, Nihon University, Tokyo Japan, in 1976. In 1976, he joined the YKC Corporation, Tokyo Japan, where he has been involved in process development and reliability engineering. He is currently the General Manager of the Reliability Department.

22

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

A Highly Compact Active Wideband Balun With Impedance Transformation in SiGe BiCMOS Balwant Godara and Alain Fabre, Senior Member, IEEE

Abstract—A new conveyor-based single-ended to differential balun is proposed. Thanks to the quasi-absence of passive components, this is an extremely size-efficient balun (0.036 mm2 ). The use of a new impedance-matching technique makes this balun the first transistor-based solution with controllable port impedances. Fabricated in a 0.35- m SiGe BiCMOS technology with = 45 GHz, the balun shows the following performance: wideband impedance matching at all three ports, good balance between the two outputs (better than 3 dB in amplitude and 13 in phase) over frequency bands extending from 0 to 3 GHz, linear operation for powers up to input powers of 2 dBm, and stability against temperature and process variations. Index Terms—Active balun, BiCMOS, current conveyors, RF circuits, wideband.

I. INTRODUCTION ANY RF transceivers today use a combination of singleended (SE) and differential devices. To interface these different components within a receiver, an efficient conversion is needed [1], [2]. Differential and semidifferential circuits are often characterized as combinations of individual SE ports because the measurement apparatus are overwhelmingly SE. This is most often realized by converting the SE ports of the measurement apparatus to the differential port of the device using special circuits called baluns [3], [4]. Moreover, when a one-chip receiver is not possible, and when some subsystems are differential and others are SE, conversion circuits are needed to efficiently interface the modules to construct a complete transceiver [4], [5]. Signal conversion between SE and differential modes thus forms an integral part of wireless system design and characterization. This paper purports to address this concern and is organized as follows. First, the basic tenets of the “balun” function will be recalled. This will be followed by a review of current research on baluns (Section II). A vast majority of baluns are passive-element based. Transistor-based solutions, while much rarer, are the best suited for on-chip integration and potentially provide controllable performances. Against this backdrop, a new transistor-based solution, comprising two current conveyors at its

M

Manuscript received February 20, 2007; revised July 10, 2007. B. Godara is with the Institut Supérieur d’Electronique de Paris, 75006 Paris, France (e-mail: [email protected]). A. Fabre is with the Laboratoire de l’Intégration du Matériau au Système, Centre National de la Recherche Scientifique, Unité Mixte de Recherche 5818, 33405 Talence, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.911932

Fig. 1. Power-split and power-combine baluns.

core, will be proposed and described (Section III) and its performance detailed (Section IV). This paper concludes with the comparison of these new solutions to existent ones to highlight the superiority of the new solution. A. Brief Introduction to Baluns A “balun” (balanced–unbalanced) denotes any device that converts SE signals to differential (or vice versa). It consists of an “unbalanced” (SE) port converted to two “balanced” (differential) ports. In the nomenclature convention, a balun splits the signal power incident onto its port 1 equally into ports 2 and 3, but as antiphase voltages. When ports 2 and 3 are driven equally, but in antiphase, the balun combines the incident powers (Fig. 1). B. Characteristic Parameters of Baluns The scattering parameter matrix of the balun is used to provide insight into its operation. This is a 3 3 matrix containing the reflection and transmission terms associated with the three ports. Let us consider the balun as a power splitter (Fig. 1). Ideally, the signals at the two terminals of the differential port are perfectly equal in magnitude and 180 out of phase for all and are frequencies, but in practice, the magnitudes of

0018-9480/$25.00 © 2007 IEEE

GODARA AND FABRE: HIGHLY COMPACT ACTIVE WIDEBAND BALUN WITH IMPEDANCE TRANSFORMATION IN SiGe BiCMOS

slightly different ( denotes this amplitude imbalance); similarly, there exists a phase imbalance, i.e., , between the two output signals away from the ideal 180 difference. Therefore,

Amplitude Imbalance

(1)

Phase Imbalance

(2)

and are measures of the isolation between Parameters the two ports that together make up the differential port and are thus ideally zero. , , and The reflection losses at the three ports, i.e., , indicate the impedance matching. Generally, baluns are required to show 50- characteristic impedances at all the ports. Other variations also exist: unbalanced port impedances of 50 and 75 ; balanced (to ground) port impedances of 12.5, 25, 37.5, 50, 75, and 100 . The common-mode rejection ratio (CMRR) is defined using the mixed-mode parameters [7] or

(3)

Performance tradeoffs have to invariably be made between these parameters. Principal among these is between frequency range, insertion loss, and amplitude imbalance. For single-frequency applications, the 10% bandwidth design (where the bandwidth is 10% of the working frequency) can achieve very low insertion loss, but the amplitude balance degrades rapidly away from the center frequency. Octave bandwidth designs have more loss, but the amplitude balance is maintained over wider frequencies. II. REVIEW OF EXISTING BALUNS The vast majority of existing baluns is composed of passive elements such as transformers, transmission lines, and inductor–capacitor networks. Transistor-based baluns, while much rarer, are found in some wireless transceivers. A. Passive-Element Baluns A simple transformer can be converted to a balun by connecting the negative primary port to ground, making it SE on the primary winding side and differential on the secondary. Due to the ease, a majority of balun structures are thus implemented [1], [5], [8], [9]. They introduce virtually no distortion to the RF signal. The winding ratios of the transformers can be changed to give the desired impedance transformation along with the balun function [10], [11]. A major hindrance is their incapacity for integration and large sizes [8], [9]. Transformer-based baluns occupy chip areas that are inversely proportional to the frequency of application: Silicon-based transformers continue to be too cumbersome at frequencies below 10 GHz. The second most prevalent method of implementing baluns is using planar waveguides or microstrip transmission lines [11]–[14]. Planar baluns are mostly fabricated in GaAs technologies: no Si-based solution has been encountered thus far [15], [16]. The Marchand balun offers a good tradeoff between bandwidth and integration aspects, but is typically too large

23

to be included on-chip. Microstrip baluns using quarter-wave lines are another variation of this class of baluns, but require physical dimensions of the order of the signal wavelength and take up an unacceptably high chip area when operating below 10 GHz [14], [17]. Passive-element baluns utilizing LCR networks are a good option because of the high potential for integration. They benefit, moreover, from small form factors. Additionally, they allow impedance transformation [8], [18]. LC-based baluns, however, are intrinsically narrowband (since the LC network can only be tuned to a narrow band). The level of accuracy demanded from the passive elements is also very high (sometimes unattainable) in order to reduce imbalance. All passive-element baluns share the advantages of being bi-directional and not introducing any distortion to the signal; but their large sizes and low potential for integration detract from these advantages. Passive baluns are inherently narrowband and where wideband designs are found, there is often a price to be paid in terms of insertion loss and imbalance. B. Transistor-Based Baluns The simplest active implementation of balun uses a single transistor: the input is fed at the base and the differential signals are tapped at the collector and emitter. To reduce imbalance, the load resistance seen from the emitter and the collector of must be equal: this necessitates perfect matching between inductors and [19] [see Fig. 2(a)]. The classic common-emitter differential pair can be converted to a balun by grounding one of the inputs through a capacitor [see Fig. 2(b)]. The outputs are tapped at the coland [20]. Due to the Miller effect, the gain lectors of rapidly deteriorates in the gigahertz band. The linearity of this topology is low, but can be improved (at the detriment of the gain) by using inductive degeneration. Other disadvantages of this approach are high phase imbalance and poor CMRR at high frequencies [19]. The performance of the differential-pair balun can be improved by adding a common-collector amplifier at its output [21]. The differential-pair stage reduces noise and matches the input impedance, while the second stage increases gain and linearity. This circuit provides stable wideband performance over several gigahertz. Conventional quarter-wavelength line baluns also sometimes include transistors, leading to distributed topologies, which are large and complicated because several GaAs field-effect transistors (FETs) and many lines are packed on a chip [16]. The line-unified field-effect transistor (LUFET) topologies are the most effective circuits in being smaller than conventional monolithic microwave integrated circuits (MMICs). These include transistors surrounded by slotlines, waveguides, air gaps, and LCR networks [22]. The performance of LUFET-based baluns is stable over bands ranging from very low to over 10 GHz. However, there are some major drawbacks: appreciable insertion loss and high input-port impedance not matched to the standard 50 . Moreover, the size benefit is offset because transmission lines are needed to match the impedances, and the size of the circuit is around 1 mm . The observed LUFET-based baluns are all GaAs implementations, which present low potential for

24

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 3. Block-level representation of a CCCII.

to give a wideband performance-controlled area-efficient solution. III. NEW ACTIVE POWER-SPLIT BALUN A. Current-Controlled Conveyors

Fig. 2. Existent transistor based: single-transistor and differential pair. (a) Single-transistor balun. (b) Modified differential pair balun.

on-chip integration in silicon-based integrated circuit (IC) technologies [22]. Quantitative data on the performance of active balun topologies is lacking. Most of them form part of an ensemble and emphasis is generally laid on the performance of the whole, rather than on the balun as a standalone device [19], [23]. Active baluns allow for a high level of on-chip integration, but the values and sizes of the passive components hamper an all-onchip solution. A majority of these solutions are, like passive-element-based one, narrowband and their performances degrade in the gigahertz range. Improvements in the topologies increase circuit area and power consumption. C. Need for New Solutions Passive-element solutions offer absolutely no possibility of performance control. The properties of a transistor, on the other hand, can be regulated by varying its biasing; this entails a possibility of controlling the balun’s characteristics. However, the control of performance of all the topologies of the active baluns described here is impossible. For use in multiband transceivers, baluns are required to be stable over large bandwidths, to have small form factors, and to be entirely integrable on chip. Active baluns are the best candidate for these criteria. Section III presents the first balun, which makes use of transistor properties

The second-generation current-controlled conveyor (CCCII) is a popular building block for analog electronics functions at baseband, RF, and video frequencies [24]–[27]. The CCCII and . Its is composed of three input-output ports, i.e., operation is limited by the presence of parasitic elements at each port. These are lumped and represented as impedances , , and . The final relationship-matrix and block-level description of a CCCII are given in Fig. 3 [28]. Between and , the conveyor is a voltage follower with a resistance whose value is control[29]: the classic mixed translinear loop CCCII lable using [30] and the all-npn pseudoclass-AB with [29], where is the current gain CCCII with of the constituent transistors. B. Guiding Principle The generation of phase-inverted (with respect to the input) voltage signals is essential for the realization of a balun. Conat its veyor CCCII 1, when excited by a voltage input port, generates a phase-inverted version of this signal at port. Port is grounded [see Fig. 4(a)]. Port is termiits and nated with resistor . The intrinsic impedances at ports of CCCII 1 are high enough to not intervene. Resistance added at is essential for the phase inversion. For symmetry, its value should be the same as the input resistance of the balun. To further increase the balun’s symmetry, a new CCCII-based solution for the realization of is presented in Fig. 4(b). CCCII 2 is adapted to provide a controlport resistance, i.e., , can lable resistance: its intrinsic . and are be controlled using its biasing current, i.e., grounded. For the sake of symmetry, and for best correspondence between the two resistances ( and input impedance of

GODARA AND FABRE: HIGHLY COMPACT ACTIVE WIDEBAND BALUN WITH IMPEDANCE TRANSFORMATION IN SiGe BiCMOS

25

Fig. 5. Final block-level representation of the new active balun.

Fig. 4. Construction of the new CCCII-based balun. (a) Generation of a phaseinverted signal using CCCII 1. (b) Realization of R using conveyor CCCII 2.

the balun), CCCII 1 and CCCII 2 have to be identical. Thus, . is applied at port of the conveyor. Using the sign conis vention for conveyors, the current entering (4)

of

CCCII 1 functions as a current follower with a current transfer . is the current entering . should be entering CCCII 2. In reality, because Current is grounded, . The voltage that appears at the output of the ensemble is thus given by . Combining this with the equation gives

Since symmetry dictates that , ideally since

(5) , and (6)

port

is thus a phase-inverted version of the signal incident at of CCCII 1, i.e., .

C. Criteria for Selection of Conveyors is a function of and , as well as the attenuation factor . This imposes the following criteria for the selection

of CCCII 1 and CCCII 2: 1) to maximize the balun’s bandwidth, CCCII 1 is required to have the highest possible cutoff frequencies; 2) the phase of the output signal in a current follower starts to drop from its ideal value at about one-tenth of the 3-dB cutoff frequency and since phase stability is pivotal for a wideband design, CCCII 1 is required to have the highest possible bandwidth , controllable using , contributes directly to [25]; and 3) the balun’s input impedance and one of the targets in the new balun’s design is to have its input port impedance matched to standard 50 . The field of candidates for CCCII 1 thus precludes all but topologies. Two such conveyors, one operating in the lowclass A and the other in pseudoclass AB fulfill the above criteria [28], [29]. The second of these is the better because it has a higher dynamic range and linearity. Therefore, CCCII 1 is an CCCII working in pseudoclass AB and, by conall-npn lowsequence, so is CCCII 2. D. Port Impedance Matching The initial aim was for the new transistor-based balun to provide stable operation for input impedance matched to 50 . The output port impedances should be, if possible, controllable in order for the balun to provide the additional function of impedance transformation. The input impedance of the balun in series with . It was observed from is composed of , which simulations that the balun worked well for a value of . Thus, to match the input impedance corresponds to is needed. Consequently, . to 50 , For controllable output impedances, a new conveyor-based matching circuit is added to each of the outputs. These are and , respeccalled CCCII 3 and CCCII 4 at and , the impedances at tively. Using biasing currents the two outputs can be set to values such as 50, 75, or 100 . Fig. 5 presents the final block-level presentation of the new balun. The insertion of the matching circuits introduces a slight of the voltage follower) to attenuation (characterized by the signal incident at their port ( and ). Since is the input signal passed through the matching voltage follower, (7) and (8)

26

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 6. Complete transistor-level description of the new balun.

Substituting the expression obtained for

, we obtain

F. Description of the Fabricated Circuit (9)

Considering ideal conveyors, at all frequencies, (10) In reality, however, and are slightly smaller than 1. The output signals’ responses degrade in the gigahertz range. contains the extra attenuation factor . The presence of this term might engender differences. First, the amplitudes of the two output signals are not equal. Secondly, degrades at frequencies lower than and , and the bandwidths of the two “channels” are different. A judicious selection of the properties of the two core conveyors is thus essential for good balance between the two outputs. E. Circuit Design conveyors, which contain CCCII 1 and CCCII 2 are lowsix npn transistors [26]. Voltage followers CCCII 3 and CCCII 4, classic conveyors in voltage follower mode, contain two npn and two pnp transistors in the signal chain [30]. The new balun thus contains a total of 20 bipolar transistors. In the final step of the circuit design, the biasing current sources were replaced by CMOS mirrors. The final transistor-level schematic is shown in Fig. 6. The balun functions at operating voltages as low as 1.5 V.

The new balun was fabricated in STMicroelectronics’ 0.35- m SiGe BiCMOS technology with parameterized vertical npn transistors having a peak transition frequency of 45 GHz. The technology is optimized for low-power RF system-on-chip applications [31]. The scalable vertical pnp of the order of 4 GHz. The technology contains on have epoly layer and five metal layers. Metal M1 is in tungsten and metals M2–M5 are in aluminum. Fig. 7 shows a photograph of the SE to differential balun with the placement of the various conveyors. A reference plane was created on the circuit, and the various current conveyors were placed in a symmetrical fashion around this plane. This ensures that the balun’s outputs are symmetric to one another (to minimize imbalances). The measurement pads are not shown in this figure to economize space and to allow a closer study of the core conveyors. The total area occupied by the balun is around 0.036 mm without the pads and 0.209 mm if the pads are included. The size of each pad is approximately equal to the size of each CCCII. The actual size of the balun is extremely small; this is an important indicator since, in a real transceiver, there are no measurement pads. The measurement apparatus at our disposal could only measure two-port characteristics. Since the balun is a three-port device, three distinct combinations of two-ports had to be included. The unused port was terminated with 50 [6].

GODARA AND FABRE: HIGHLY COMPACT ACTIVE WIDEBAND BALUN WITH IMPEDANCE TRANSFORMATION IN SiGe BiCMOS

Fig. 8. Imbalance of the balun: I = 430 A.

Fig. 7. New balun with the constituent conveyors.

IV. MEASURED PERFORMANCE OF THE NEW ACTIVE BALUN The balun functions at operating voltages as low as 1.5 V. However, the best simulated performance is obtained at V. of the balun is desired to have a stable value of 50 . Resistor contributes 45 , and intrinsic resistance of CCCII 1 thus has to be set to around 5 . Simulations A. For symmetry, showed that this is obtained at and A for . and depend, respectively, on biasing currents and of CCCII 3 and CCCII 4, and are used to define three impedance “systems”, i.e., and . These are representative values of the output port impedances. Other values can easily be obtained by simply changing the biasing current of the output matching circuits. The measurements were carried out on two-port combinations with the output impedance set to 50 because the measurement apparatus at our disposal have 50- terminations. A. DC Power Consumption Owing to the lower values of biasing current required for higher output port impedances, the current consumption also re. In all the three cases, the balun duces with increasing draws lower than 9 mA from the 2.5-V supply. This is close to the simulated value of 9.1 mA. B. Imbalance For a power-split balun, the amplitude and phase imbalances are the pivotal parameters. The two output signals were found to be perfectly identical (and 180 out of phase) at low frequencies. In the gigahertz band, however, the nonideality between the two “channels” becomes manifest. These imbalances are presented in Fig. 8. The frequency-dependent rise in the imbalance can be exin (9). The measured imbalance plained by the presence of closely follows the simulated performance: at 1 GHz, for example, the balun has a simulated imbalance of 0.25 dB and a measured imbalance of 0.30 dB. Between dc and 3 GHz, the

V

=

27

62:5 V, I

= 300 A,

amplitude imbalance is lower than 3 dB. The new balun thus satisfies the 3-dB/13 balance requirements for all frequencies up to 3 GHz. Note that this performance is obtained for separate measurements on the P1P2 and P1P3 combinations. When the three-port characteristics are measured directly, these values are expected to be even better. C. Transient Performance A sinusoidal signal applied at the input port was converted to a pair of mutually differential signals at the two output ports. For all three values of the system’s impedance, the response is similar: the outputs have the same frequency and amplitudes attenuated approximately 1% vis-à-vis the input. The quality of the signals, quantified by their distortion, can be summarized by the following facts: less than 0.5% total harmonic distortion (THD) for signal frequencies up to 100 MHz. Even signals of up to 1 GHz are distorted less than 1%. Moreover, the THD remains below 1% for signal amplitudes up to 50 mV. The stability of the transistor-based circuit was observed in its response to a step signal. The major properties of the output signals are: rise and fall times lower than 0.11 ns and 1% settling time lower than 0.21 ns. The sub-nanosecond rise, fall, and settling times testify to the extremely rapid response of the new balun, and its adaptability to RF applications (a 1-GHz signal, for example, corresponds to a wavelength of 1 ns, more than five times the worst case settling time). Moreover, the higher order harmonics are highly attenuated, as was seen from very small overshoots in the response of the balun to a step input. This also evinces the stability of the balun. D. SE Scattering Parameters SE scattering parameter analyses were carried out between the three combinations of two ports. Fig. 9 shows the input and output reflection coefficients and , respectively. The input impedance matching, realized using a series connection of a resistor and the core is inadequate: is greater conveyor’s intrinsic resistance than approximately 5 dB. The simulated results showed lower than 8 dB in the same frequency range. The an impedance matching at the outputs is good, as is evinced by the low values of the associated reflection terms. Barring

28

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 10. Amplitude imbalance of the five prototypes; I = 300 A, I = 430 A.

V

=

62:5 V,

Fig. 9. SE scattering parameters of the balun.

F. Linearity two peaks, is lower than 10 dB, indicating an excellent match for all frequencies up to 3 GHz. This is the same as the simulated result ( 10 dB). It was verified that the two peaks in do not result from parasitic oscillations, but the profile of from measurements. Ideally, the signal traveling through the circuit in the direcand should be as tion opposite to the intended is zero. small as possible. For the major part of the 3-GHz frequency range, both these parameters are lower than 20 dB, rivalling the simulated results ( 22 dB). The two output ports should be entirely isolated from one and should thus be very small. For all another. Terms frequencies up to 3 GHz, there is greater than 16-dB isolation between the two output ports. These show a slight deterioration compared to the simulated results ( 25 dB). The new balun is stable for all frequencies up to 3 GHz and for all the three combinations of two ports: the Stern stability factor where is always greater than 1 in this frequency range. Moreover, this stability is unconditional since is lower than 1.

Ports and of the balun were connected to the network analyzer, thus enabling the monitoring of the output power with variations in the input power. The source power was varied, starting from very low levels ( 80 dBm) and terminating around 0 dBm. For input signals at 1 GHz, the input-referred was found to be 2.74 dBm. 1-dB compression point This is a 3-dBm fall compared to the simulated linearity. At was found to be 4.26 dBm. 2 GHz, G. Temperature Stability The above analyses were also carried out for temperatures from 60 C to 40 C, a range that encompasses the quasi-totality of the range specified for industrially available baluns [32]. For all these temperatures, the 3-dB/15 limits were adhered to for all frequencies up to approximately 2.9 GHz. The wideband nature of the matching was maintained at all and remain lower than 13 dB at 1 GHz. temperatures. For the same range of temperatures, the reverse signal isolations remained better than 24 dB. The isolation between the two , was better than 31 dB. output ports, i.e., H. Dispersion Analyses

E. Mixed-Mode Scattering Parameters For a more comprehensive analysis of the balun, mixed-mode -parameter simulations were carried out. The two output ports (ports 2 and 3 of the SE -parameter analysis) are combined to act as a unique differential port (designated port 2). The input port 1 remains SE. The reflection coefficient of the input is the same as that obtained during the SE simulations. The reflection coefficient at the output, whether it be in differential or in common mode is around 10 dB. These values improve at higher frequencies. In response to an SE signal, the output is almost entirely has differential. The common-mode gain component a value of 27 dB. The CMRR is an important parameter in the characterization of differential circuits. It is defined as the ratio of the differential gain to the common-mode gain (i.e., ). The balun’s simulated CMRR, for 50output port impedances and at a frequency of 1 GHz, is 23 dB.

Baluns situated on five chips were tested under similar conditions to determine the reproducibility of performance. Fig. 10 presents the frequency-dependent variation of the balun’s amplitude imbalance for the five chips. Values obtained in the 1–3-GHz range are shown. The amplitude imbalance remains within the 3-dB range for all the five chips. It was also observed that the baluns on the different chips present similar -parameter characteristics: the impedance matching at dB, dB) was preserved. the two outputs ( V. COMPARISONS WITH EXISTENT BALUNS Table I compares the imbalance of the new balun to some other recent research efforts and to one representative industrially available solution. Most existent baluns are specified to operate over narrow frequency ranges over which they have amplitude imbalances between 1–2 dB. The amplitude imbalance of

GODARA AND FABRE: HIGHLY COMPACT ACTIVE WIDEBAND BALUN WITH IMPEDANCE TRANSFORMATION IN SiGe BiCMOS

29

TABLE I COMPARISON OF THE AMPLITUDE IMBALANCE OF THE NEW BALUN TO SOME EXISTENT SOLUTIONS

TABLE II COMPARISON BETWEEN THE NEW BALUN AND SOME EXISTENT SOLUTIONS

the new balun is lower than 1.8 dB over a wide frequency range of 0–2 GHz. It remains lower than 3 dB up to 3 GHz. and were measured on different The insertion losses two-port combinations. Even though great care was taken to ensure that the transistors, wire dimensions, impedance terminations and biasing conditions were similar for these two combinations, some amount of uncertainty invariably crept in. The amplitude imbalance values reported are those obtained under these imperfect conditions. In reality, the balun is a three-port device, which would give its best performance during multiport operation. These analyses would permit the P1P2 and P1P3 combinations to be measured on the same circuit. The results are then expected to be even better. Table II compares the other important balun parameters. The new balun is perfectly placed as regards the area occupied on chip. Its size is several times smaller than most passive-element solutions, and rivals the smallest baluns observed in published literature. Moreover, for baluns in the same size range, the new balun has the highest operating bandwidth. The impedance matching at the output ports is better than 8 dB for a wide frequency range, comparable to the best results observed in published literature. The reverse signal rejection and the isolation between the outputs is the best observed. 2.5 V lies between the The power dissipation 8.9 mA 5.5 mW dissipated by the active balun in [23], and the 166-mW dissipation of the differential-pair-based solution in [21]. The linearity of the new balun is around 5 dBm better than the only available comparison (the active balun in [21]). The performance of the transient signal are excellent: much lower THD for signals at higher frequencies than in [33]; and much lower rise, fall, and settling times than the balun in [21]. VI. CONCLUDING REMARKS This paper has presented the performance of a new SE to differential balun. The conversion of the SE input to two mutually

differential outputs was shown to be excellent for both gigahertz frequencies and very high input signal powers. The balun was also found to be stable over wide temperature variations and to give stable performances under various process conditions. The new balun combines excellent physical characteristics (the lowest number of passive components, complete on-chip integration, and the smallest size for any balun) with superior performances (an operation that is entirely differential mode with high rejection of common-mode components, the best signal quality, excellent imbalance characteristics for very wide bands of frequencies, controllable output port impedances adapted over several gigahertz, and the highest linearity for any balun seen thus far). It is excellently adapted for today’s multiband area-efficient wireless receivers. Mixed-mode analyses could not be carried out, but the excellent similarity between all simulated and measured performances leads us to conclude that the real mixed-mode performance of the new balun would also be good. Further improvements can be affected to the balun by the use of better resistors. REFERENCES [1] A. M. Ismail and H. Olsson, “A wideband RF front-end for multiband multistandard high-linearity low-IF wireless receivers,” IEEE J. SolidState Circuits, vol. 37, no. 9, pp. 1162–1168, Sep. 2002. [2] D. S. Malhi et al., “SiGe W-CDMA transmitter for mobile terminal application,” IEEE J. Solid-State Circuits, vol. 38, no. 9, pp. 1570–1574, Sep. 2003. [3] T.-P. Liu et al., “5-GHz CMOS radio transceiver front-end chipset,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1927–1933, Dec. 2000. [4] K. L. R. Mertens et al., “A 700-MHz 1-W fully differential CMOS class-E power amplifier,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 137–141, Feb. 2002. [5] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18-m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [6] A. Abidi et al., “De-embedding the noise figure of differential amplifiers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 882–885, Jun. 1999. [7] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common-mode analysis of power splitters and combiners,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2627–2632, Nov. 1995.

30

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

[8] W. Bakalski, W. Simbürger, R. Thüringer, A. Vasylyev, and A. L. Scholtz, “A fully integrated 5.3-GHz 2.4-V 0.3-W SiGe bipolar power amplifier with 50- output,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1006–1014, Jul. 2004. [9] S. Y. Yue et al., “A 17.1–17.3-GHz image-reject downconverter with phase-tunable LO using 3 subharmonic injection locking,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2321–2332, Dec. 2004. [10] J. P. Maligeorgos et al., “A low-voltage 5.1–5.8-GHz image-reject receiver with wide dynamic range,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1917–1926, Dec. 2000. [11] Takenaka et al., “L=S -band 140-W push–pull power AlGaAs/GaAs HFET’s for digital cellular base stations,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1181–1187, Sep. 1999. [12] K. W. Hamed et al., “A monolithic double-balanced direct conversion mixer with an integrated wideband passive balun,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 622–629, Mar. 2005. [13] K. Murata et al., “A distributed selector IC using GaAs MESFET’s with multilayer-interconnection structure,” IEEE J. Solid-State Circuits, vol. 35, no. 2, pp. 258–267, Feb. 2000. [14] K.-C. Tsai et al., “A 1.9-GHz, 1-W CMOS class-E power amplifier for wireless communications,” IEEE J. Solid-State Circuits, vol. 34, no. 7, pp. 962–970, Jul. 1999. [15] D. E. Meharry et al., “Broad bandwidth transformer coupled differential amplifiers for high dynamic range,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1233–1238, Sep. 1999. [16] Tokumitsu et al., “Divider and combiner line-unified FET’s as basic circuit function modules—Part I,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 9, pp. 1210–1217, Sep. 1990. [17] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [18] J. Durec, “An integrated silicon bipolar receiver subsystem for 900-MHz ISM band applications,” IEEE J. Solid-State Circuits, vol. 33, no. 9, pp. 1352–1372, Sep. 1998. [19] J. Ryynänen et al., “A dual-band RF front-end for WCDMA and GSM applications,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1198–1204, Aug. 2001. [20] J. Lin et al., “A silicon MMIC active balun/buffer amplifier with high linearity and low residual phase noise,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, vol. 3, pp. 1289–1292. [21] C. Viallon, “Optimisation de structures différentielles en technologie SiGe pour applications en bande millimétrique. Application à la conception d’un mélangeur doublement équilibré en bande K ,” (in French) Ph.D. dissertation, Dept. Electron., Univ. Paul Sabatier, Toulouse, France, 2003. [22] Tokumitsu et al., “Divider and combiner line-unified FET’s as basic circuit function modules—Part II,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 9, pp. 1218–1226, Sep. 1990. [23] Y. Toh et al., “Single-ended to differential converter for multiple-stage single-ended ring oscillators,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 141–145, Jan. 2003. [24] H. Zouaoui-Abouda, “Etude et réalisation d’un filtre elliptique passe bas de troisième ordre avec zéro de transmission réglable à partir des convoyeurs différentiels contrôlés CMOS,” (in French) Ph.D. dissertation, Dept. Microelectron., Univ. Bordeaux 1, Talence, France, 2001. [25] A. Fabre and O. Saaid, “Phase compensation of ideal inductances based second-generation current conveyors,” Analog Integr. Circuits Signal Process., vol. 24, pp. 153–162, 2000. [26] F. Seguin, B. Godara, F. Alicalapa, and A. Fabre, “A gain-controllable wideband low-noise amplifier in low-cost 0.8-m Si BiCMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 154–160, Jan. 2004. [27] P. A. Martinez, S. Celma, and I. Gutierez, “Wien type oscillator using CCII+,” Analog Integr. Circuits Signal Process., vol. 7, no. 2, pp. 139–149, 1995.

[28] F. Seguin, “Etude et réalisation de circuits convoyeurs de courant de seconde génération en technologie BiCMOS. Application à l’amplification RF réglable,” (in French) Ph.D. dissertation, Dept. Microelectron., Univ. Bordeaux 1, Talence, France, Dec. 2001. [29] F. Seguin, B. Godara, F. Alicalapa, and A. Fabre, “2.2 GHz All-n-p-n second-generation controlled conveyor in pseudoclass AB using 0.8-m BiCMOS technology,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 51, no. 7, pp. 369–373, Jul. 2004. [30] A. Fabre, O. Saaid, F. Wiest, and C. Boucheron, “High-frequency applications based on a new current controlled conveyor,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 43, no. 2, pp. 82–91, Feb. 1996. [31] The BICMOS6G Design Kit. ver. 7.0, STMicroelectronics, Catania, Italy, 2000, Opus 4.4.6.100.29_57. [32] “900 MHz balun P/N 0900BL18B100 product datasheet,” Johanson Technol., Camarillo, CA, Aug. 2004. [33] S. Vlassis et al., “Differential-voltage attenuator based on floating-gate MOS transistors and its applications,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 48, no. 11, pp. 1372–1378, Nov. 2001.

Balwant Godara received the Bachelor degree in electrical engineering from the Indian Institute of Technology, New Delhi, India, in 2002, and the Ph.D. degree in microelectronics from the Université Bordeaux, Talence, France, in 2006. During his doctoral studies, he was with the Laboratoire de l’Intégration du Matériau au Système (IMS), Centre National de la Recherche Scientifique (CNRS), Unité Mixte de Recherche 5818 (UMR 5818). He is currently a Professor–Research Scientist with the Institut Supérieur d’Electronique de Paris, Paris, France. His research interests are RF circuits for wireless communications receivers.

Alain Fabre (M’94–SM’95) received the M.S. degree in electronics and Ph.D. (These de 3 eme cycle) in physics from the Universite Bordeaux, Talence, France, in 1972 and 1974, respectively, and the Post-Doctoral Thesis (These d’etat) degree in physics from the University of Perpignan, Perpignan, France, in 1987. From 1974 to 1987, he was an Assistant Professor in electronics with the University of Oran, Oran, Algeria. In September 1987, he joined the Ecole Centrale Paris, Paris, France, as an Assistant Professor of electronics. From 1988 to 1995, he was the Head of the Analog Integrated Circuit (IC) Design Group. Laboratoire d’Electronique et de Physique Appliquée, Ecole Centrale Paris. Since 1995, he has been a Full Professor of electronics with the Ecole Nationale Supérieure d’Electronique, d’Informatique et de Radiocommunications de Bordeaux (ENSEIRB), Talence, France, where he currently heads the High Speed Analog IC Design Team, which is part of the Telecommunications Circuits and Systems (TCS) Group, Laboratoire d’Etude de l’Intégration des Composants et Systèmes Electroniques (IXL), Unité Mixte de Recherche, Centre National de la Recherche Scientifique 5818 (UMR CNRS 5818), Universite Bordeaux I, Talence, France. He has authored or coauthored over 100 research papers. In 1995, he was a guest editor of the Analog Integrated Circuits and Signal Processing (AICSP) Journal for two issues devoted to current mode circuits. His field of research principally concerns the design of BiCMOS RF analog circuits, as well as the theoretical issues of translinear circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

31

A Two-Point Modulation Technique for CMOS Power Amplifier in Polar Transmitter Architecture Amin Shameli, Aminghasem Safarian, Ahmadreza Rofougaran, Senior Member, IEEE, Maryam Rofougaran, and Franco De Flaviis, Senior Member, IEEE

Abstract—A two-point modulation technique is presented that improves the performance of nonlinear power amplifiers (PAs) in polar transmitters. In this scheme, the output amplitude modulation is performed by controlling the current of the PA. The current control technique enables the PA to provide wideband amplitude modulation, as well as high power control dynamic range. In addition, the supply voltage of the PA is adjusted based on the output power level. The voltage supply adjustment substantially improves the effective power efficiency of the PA. The voltage supply control is performed using a second-order sigma–delta dc–dc converter, which presents an efficiency of over 95% in its operational range. The PA operates at 900 MHz with maximum output power of 27.8 dBm and power efficiency of 34% at maximum output power. The proposed PA achieves 62-dB power control dynamic range with amplitude modulation bandwidth of over 17.1 MHz. The circuits are fabricated in a CMOS 0.18- m process with a 3.3-V power supply. Index Terms—Amplitude modulation, CMOS power amplifier (PA), nonlinear PA, polar transmitter, transmitter.

I. INTRODUCTION HE CMOS power amplifier (PA) suffers from low output power, as well as low power efficiency [1]–[3]. To overcome these issues, it is suggested in many papers to employ a polar transmitter architecture, which enables the use of high-power highly efficient nonlinear PAs [4]–[7]. In a polar transmitter, the phase component of the RF signal is amplified through a nonlinear PA, while the amplitude information is applied at the output. Fig. 1 shows the conventional amplitude modulation techniques that are mainly based on the modulation of the supply voltage [4]. In general, the amplitude and phase components in a polar modulator occupy several times more bandwidth compare to the complex signal [4]. This requires a very wideband amplitude modulation technique to be employed for the PA. More-

T

Manuscript received May 30, 2007; revised October 14, 2007. A. Shameli and A. Safarian were with the Department of Electrical Engineering and Computer Science, University of California at Irvine, Irvine, CA 92697 USA. They are now with the Broadcom Corporation, Irvine, CA 92617 USA (e-mail: [email protected]; [email protected]). A. Rofougaran and M. Rofougaran are with the Broadcom Corporation, Irvine, CA 92617 USA (e-mail: [email protected]; maryam@broadcom. com). F. De Flaviis is with the Department of Electrical Engineering and Computer Science, University of California at Irvine, Irvine, CA 92697 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912012

Fig. 1. Conventional amplitude/power control techniques in polar transmitters.

over, many wireless systems require the transmitter to continuously adjust its output power level to maintain the received signal from all users at the same level. The conventional amplitude modulation techniques suffer from bandwidth limitation, as well as very poor power control capability [8]. To address these issues, a new PA architecture is proposed in [5] that employs a current control technique for amplitude modulation and power control. Compared to conventional methods, the new technique provides superior amplitude modulation bandwidth and power control dynamic range. However, it suffers from the low power efficiency at low output power levels. In this paper, a two-point modulation technique is proposed, in which the supply voltage is adjusted based on the output power level, while the amplitude is set through the tail current source. The voltage supply adjustment leads to substantial improvement of the efficiency at a low power level. In addition, the circuit takes the advantage of a current-based amplitude modulation technique [5], which results in a very high-power control dynamic range, as well as wideband amplitude modulation. The proposed architecture is explained in detail in Sections II and III. The measurement results of the circuit are presented in Section IV, and finally, a summary and conclusions are provided in Section V.

0018-9480/$25.00 © 2007 IEEE

32

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Proposed switching PA using two-point modulation technique.

II. CMOS SWITCHING PA Shown in Fig. 2 is the proposed PA that employs a two-point modulation technique. In this structure, the output amplitude is controlled by the bias current of the PA. As shown in Fig. 2, the amplitude data and power control command are applied to the tail current source, which generates a current proportional to envelope of the RF output signal [5]. The current is up-converted to the frequency of interest by applying the output of the frequency synthesizer to the switching transistors. This configuration acts similar to a single balanced mixer in which the lowfrequency amplitude data is up-converted to the RF frequency using a phase-modulated local oscillator (LO) signal. The tail current source of the PA is designed as a binary weighted digital-to-analog converter (DAC). The generated current is given by

To generate the complex output RF signal including amplitude and phase data, the phase information of the signal is applied to the LO input of the PA, which triggers the switching transistors. As a result, the current waveforms of the switching transistors are expressed as

(3) where (4) It can be shown that the response of a nonlinear system to a phase modulated signal, i.e., , can be expressed as a quasi-Fourier series [9] (5)

(1) is the DAC reference current that is used where parameter for amplitude modulation. The digital word is the power control command that is applied to the digital input of the DAC. Due to the separation between the amplitude modulation and power control, the PA is capable of providing high-resolution amplitude modulation along with a high-power control dynamic range. In addition, the current modulation is relatively fast and is, therefore, suitable for high data-rate wideband applications. The supply voltage of the PA is also adjusted to reduce the dc voltage drop out over the tail current source at a low power level, which improves the efficiency of the circuit. To reduce the LO feedthrough, the PA uses three segments of 1, 8, and 64 [5]. Assuming that the bandwidth of the amplitude modulation data is much lower than the LO frequency, the output envelope can be expressed as [5] (2)

where the ’s are the same as Fourier coefficients of the system’s response to a sinusoidal wave at the frequency of . This implies that by applying the phase modulation data to the LO signal, the phase of the output is modulated. Therefore, the output voltage waveform can be expressed as (6) As can be seen in (6), the proposed PA generates the output RF signal by combining the phase and amplitude data. In reality, however, the nonidealities of the circuit results in the distortion of the amplitude data. The increase of the input amplitude modulation signal leads to saturation of the output envelope, which is known as AM–AM distortion [7]. The AM–AM distortion can be reduced by using a predistortion filter, which distorts the amplitude data in order to compensate the nonlinearity effect of the PA. In addition, using a closed-loop polar transmitter can substantially reduce the AM–AM distortion of the PA [6].

SHAMELI et al.: TWO-POINT MODULATION TECHNIQUE FOR CMOS PA IN POLAR TRANSMITTER ARCHITECTURE

33

The main issue of using the current control technique for amplitude modulation is the dependency of the power efficiency on the output level [5]. This problem has been solved by adjusting the supply voltage based on the output envelope. This technique is explained in detail in Section III. III. EFFICIENCY IMPROVEMENT TECHNIQUE Equation (2) can be used to express the instantaneous output power of the circuit as (7) Using (2) and (7), the instantaneous power efficiency of the circuit can be expressed as Fig. 3. PDF of transmitting power for WCDMA (data link).

(8) Equation (8) indicates the circuit has low power efficiency when the output envelope is small. This phenomenon is more critical in applications with high power control dynamic range. As implied by (8), the efficiency of the circuit can be improved by adjusting the supply voltage according to the output level. Theoretically, the output envelope is independent of the voltage for supply voltage level of higher than a minimum required amount to keep the tail current source in the active region. Therefore, if the supply control is performed at a high efficiency, it will improve the efficiency of the circuit at low output levels. In the proposed PA, the supply voltage is adjusted according to the power control command. Since the power control rate is slower compare to the envelope modulation, the supply modulation can be performed by using a high-efficiency switching regulator. This technique is very effective to improve the effective efficiency of the PA. The effective efficiency represents the amount of power transmitted to the antenna, for a long period of time, divided by the total consumed power. This parameter depends on the probability distribution function (PDF) of the transmitter’s output power and can be expressed as

(9)

where is the average power efficiency at the output power and are the maximum and minimum level of and output power levels of the system. The effective efficiency indicates the maximum battery life, which is a very important parameter in wireless applications. On the other hand, the maximum efficiency indicates the maximum power dissipation of the circuit, which is important for thermal behavior of the chip. As an example, the PDF of the output power for wideband code division multiple access (WCDMA) transmitter (data link) is shown in Fig. 3. According to this graph, the output power level is around 10 dBm for the most of the operation time. Therefore, in this system, it is very important to have high efficiency at 10-dBm output power.

Fig. 4. Supply control circuit.

To control the supply voltage, we need to determine the minimum required supply voltage for a specific output power level. It can be shown that the amplitude of the differential voltage over the balance port of the balun is given by [5] (10) where is the voltage over the tail current source. Using (10), the supply voltage level has to be higher than a specific value given by (11) is the maximum instantaneous power and where is the minimum voltage required over the tail current source. The supply adjustment is performed using a switching sigma–delta dc–dc converter shown in Fig. 4. The circuit includes a low-pass second-order sigma–delta modulator, which generates a digital pulse train according to the 5-bit digital input [10], [11]. The sigma–delta modulator is implemented on field-programmable gate array (FPGA). The digital input indicates the level of the required voltage and varies from “00, ,0” to “11, ,1” for the output dc voltage of 0–3.3 V. The modulator output is applied to the switching inverter, which switches on/off the supply voltage of the PA according to the output of the sigma–delta modulator. Using a low-pass LC filter, the desired supply voltage is generated at the output. Due to the high dc current of the inductor and low cutoff frequency of the LC filter, the filter is realized by using off-chip H and F. components The efficiency of the proposed circuit can be calculated similar to a class-D amplifier [12]–[14]. The quality factor of the

34

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 6. Measurement setup. Fig. 5. Microphotographs of the fabricated test chips.

off-chip inductor is high and, therefore, the loss of the output filter is negligible. In addition, using large devices for the output and guarantees the low voltage drop over each driver of the switching transistors when it is on. However, at each switching transition of high-to-low or low-to-high, the parasitic capacitor at the output node of the driver is charged or discharged accordingly. This introduces a power loss in the circuit. The parasitic capacitance at the output is relatively large due to the large transistor sizes and, therefore, the main source of the loss in the circuit is the switching loss, which is a function of the clock frequency. Due to the low power control rate, i.e., a few kilohertz, the dc output level of the switching dc–dc converter varies at low frequency. Therefore, the switching can be performed at a low rate, which guarantees the high efficiency of the circuit. The fabricated circuit operates at the clock frequency of 2 MHz. IV. MEASUREMENT RESULTS The proposed switching PA and the dc–dc converter have been fabricated using a TSMC CMOS 0.18- m process. The die photographs of these circuits are shown in Fig. 5. The PA occupies an area of 2.25 mm , which includes the bandstop filter that and . The PA requires an off-chip balun to suppresses deliver the output power to a single-ended load. The dc–dc converter occupies an area of 0.375 mm and employs an off-chip low-pass LC filter. The PA operates at frequency of 900 MHz, and the dc–dc converter uses the clock frequency of 2 MHz. The circuits employ 3.3-V supply voltage. a The measurement setup is shown in Fig. 6. In order to test the PA in polar configuration, the PA is driven using Agilent Technologies’ E4438C signal generator. This signal generator consists of two baseband generators, i.e., BB1 and BB2, that can be operated at the same time. There is an internal upconverter mixer, which is configured to upconvert the output of BB1 with a 900-MHz carrier signal. To drive the PM and AM paths of the PA, the baseband PM and AM data of a particular modulation is uploaded to BB1 and BB2, respectively. The RF output, which is the output of the internal mixer, is applied to the LO path of the PA, while the AM path is driven using the BB2 output. The synchronization of AM and PM data can be internally performed through the signal generator. In addition,

Fig. 7. Measured output power versus the input power control word for different segments of 1, 8, and 64.

2 2

2

the output power is controlled by a power control word, which is also used to set the level of the supply voltage. The proper voltage level for each power control word is stored in a lookup table, as shown in Fig. 6. Shown in Fig. 7 is the measured output power of the PA for different segments of 1, 8, and 64. The output power is measured for different values of a 7-bit power control word varying from 1 to 127 for each segment of the circuit. The circuit presents 62-dB power control dynamic range with a maximum output power of 27.8 dBm. The minimum output power level of the circuit is mainly limited by the LO feedthrough, which covers the output signal of the PA for output power levels of less than 34 dBm. The power efficiency of the PA is shown in Fig. 8 versus the output power. The circuit achieves the power efficiency of 34% at its maximum output power level. The power efficiency is decreased as the PA operates at lower output power levels. It is shown in Fig. 8 that the voltage adjustment technique effectively improves the power efficiency at low output power levels. This data includes the loss of the switching dc–dc converter. The efficiency of the dc–dc converter is shown in Fig. 9 for different output voltage of the circuit while it drives a resistive load of 6 . Fig. 9 indicates that the efficiency of the circuit in the range of operation, 1–3.3 V, is more than 95%. To compare the power efficiency of the fabricated circuit with the existing PAs, the power efficiency versus the output power of various commercial WCDMA PAs are measured. Using this

SHAMELI et al.: TWO-POINT MODULATION TECHNIQUE FOR CMOS PA IN POLAR TRANSMITTER ARCHITECTURE

Fig. 8. Measured power efficiency versus output power for: (1) a fixed 3.3-V supply and (2) adjusted supply voltage according to the output power.

Fig. 9. Efficiency of the sigma–delta supply control circuit with load of 6 . TABLE I MAXIMUM AND EFFECTIVE POWER EFFICIENCY OF DIFFERENT PAS FOR WCDMA (DATA LINK)

data and also the PDF function of the WCDMA transmitting power, shown in Fig. 3, the effective efficiency of each PA is calculated. The results are shown in Table I. As shown in this table, the effective efficiency of the proposed PA with a supply control loop is almost more than twice the effective efficiency of any other PA. In addition, the proposed PA is fabricated in the CMOS process, which enables the integration of the complete transceiver on a single die. The two-tone test is performed by applying two tones with MHz and MHz to the amplitude modulation

35

Fig. 10. Measured two-tone test results of the amplitude modulation for P = 25:7 dBm and f = 1:9 MHz and f = 2:1 MHz.

0

Fig. 11. Measured output referred 1-dB compression point of the amplitude modulation versus carrier output power (relative to the carrier).

path. In this measurement, the output power is set to 25.7 dBm and a 2-MHz tone is applied to the amplitude modulation path, while a 900-MHz LO signal is applied to switching transistors. Due to nonlinearity effects of the circuit, the output spectrum contains intermodulation components. Fig. 10 shows the measured first harmonic and the third-order intermodulation term of the modulated signal at the output spectrum for the output power of 25.7 dBm. The circuit exhibits the third-order output intercept point (OIP3) of 26.7 dBm. In the proposed PA, the linearity of the amplitude modulation is a function of the output power. Fig. 11 shows the output referred 1-dB compression point of the amplitude modulation for different output power levels. The bandwidth of the amplitude modulation is measured by applying a tone to the AM path and measuring the frequency at which the AM component at the output drops by 3 dB. The results are shown in Fig. 12 for different output power levels. At the maximum output power level, the circuit exhibits the AM bandwidth of 17.1 MHz, which is the highest reported among switching PAs designed for polar transmitters. The AM–PM conversion is measured using a network analyzer to measure the variations of the carrier’s phase versus the normalized output envelope. The results are shown in Fig. 13

36

Fig. 12. Measured amplitude modulation output power levels.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

03-dB

bandwidth for different Fig. 14. Measured output spectrum for EDGE signal for output power level of 22, 16, 10, and 4 dBm.

Fig. 13. Measured AM–PM conversion of the proposed switching PA for various output power levels. Fig. 15. Measured output spectrum for WCDMA signal for output power level of 22, 16, 10, and 4 dBm.

for output power levels of 27.8, 25.7, and 23.4 dBm that correspond to the power control words of “1111111,” “1011111,” and “1001111,” respectively. As shown in this figure, the AM–PM conversion is increased by increasing the output power level of the PA. The circuit exhibits the maximum phase shift of 5 at carrier output power of 27.8 dBm. To better examine the performance of the fabricated PA, the circuit is tested by both EDGE and WCDMA signals. The output spectrum for EDGE and WCDMA signals are shown in Figs. 14 and 15, respectively. In both cases, the AM signal loaded into the BB2 of the signal generator is pre-distorted to compensate for the nonlinearity of the AM path. However, as the output power increases, both AM–AM and AM–PM characteristics of the PA become worst and, therefore, the output spectrum spreads out. This effect can be seen in Figs. 14 and 15 for EDGE and WCDMA signals. To avoid the violation of the output spectrum mask at high output power levels, it is required to use other techniques to compensate the nonlinearity of the PA. An example of these could be closed-loop feedback,

TABLE II SUMMARY OF THE FABRICATED PA CIRCUIT

although loop stability might have to be carefully checked. The summary of the measurement results of the fabricated test chips is shown in Table II.

SHAMELI et al.: TWO-POINT MODULATION TECHNIQUE FOR CMOS PA IN POLAR TRANSMITTER ARCHITECTURE

V. CONCLUSIONS This paper introduces a new technique to modulate the output amplitude of nonlinear PAs in polar transmitters. The proposed method substantially improves the performance of the circuit. The measurement results show substantial improvement in the amplitude modulation capability, as well as the power control dynamic range compare to the existing nonlinear PAs. It is also shown that the proposed method considerably improves the effective efficiency of the PA. ACKNOWLEDGMENT The author would like to thank T. Frost and E. Roth, both with the Broadcom Corporation, Irvine, CA, for their valuable help in the design of the test board and the measurement of the circuit. REFERENCES [1] A. Hajimiri, “Fully integrated RF CMOS power amplifiers—A prelude to full radio integration,” in IEEE Radio Freq. Integrated Circuit Symp. Dig., Jun. 12–14, 2005, pp. 439–442. [2] K. Choi and D. J. Allstot, “Parasitic—Aware design and optimization of a CMOS RF power amplifier,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 1, pp. 16–25, Jan. 2006. [3] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [4] P. Nagle, P. Burton, E. Heaney, and F. McGrath, “A wideband linear amplitude modulator for polar transmitters based on the concept of interleaving delta modulator,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1748–1756, Dec. 2002. [5] A. Shameli, A. Safarian, A. Rofougaran, M. Rofougaran, and F. De Flaviis, “A novel DAC based switching power amplifier for polar transmitters,” in IEEE Custom Integrated Circuit Conf. Dig., Sep. 10–13, 2006, pp. 137–140. [6] T. Sowlati, D. Rozenblit, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [7] P. Reynaert and M. S. J. Steyaert, “A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2598–2608, Dec. 2005. [8] D. K. Su and W. J. McFarland, “An IC for linearizing RF power amplifiers using envelope elimination and restoration,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2252–2258, Dec. 1998. [9] K. K. Clark and D. T. Hess, Communication Circuits: Analysis and Design. Reading, MA: Addison-Wesley, 1971. [10] R. C. C. Cheung, K. P. Pun, S. C. L. Yuen, K. H. Tsoi, and P. H. W. Leong, “An FPGA-based re-configurable 24-bit 96 kHz sigma–delta audio DAC,” in IEEE Int. Field Programmable Technol. Conf., Dec. 15–17, 2003, pp. 110–117. [11] R. C. Ledzius and J. Irwin, “The basis and architecture for the reduction of tones in a sigma–delta DAC,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 40, no. 7, pp. 429–439, Jul. 1993. [12] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. [13] S. D. Kee, I. Aoki, A. Hajimiri, and D. Rutledge, “The class-E/F family of ZVS switching amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1677–1690, Jun. 2003. [14] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for high-efficiency class-F and inverse class-F power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1969–1974, May 2006.

37

Amin Shameli received the B.S. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2001, the M.S. degree in electrical engineering from the Isfahan University of Technology, Isfahan, Iran, in 2003, and the Ph.D. degree in electrical engineering from the University of California at Irvine, in 2007. From 2004 to 2006, he was with the Broadcom Corporation, Irvine, CA, as an Intern involved with an RF identification (RFID) research and development project. He is currently a Staff Scientist with the Broadcom Corporation, where he is involved in the design of transmitters for cellular wireless applications. His research interests include polar transmitters, RFID systems, and ultra-low-power RF circuits. Dr. Shameli was the recipient of the Gold Medal presented at the 1997 National Computer Olympiad, Tehran, Iran.

Aminghasem Safarian received the B.S. and M.S. degrees in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2000 and 2002, respectively, and the Ph.D. degree in electrical engineering from the University of California at Irvine, in 2006. He is currently with the Broadcom Corporation, Irvine, CA, where he is a Staff Scientist. His research interest is RF integrated circuits for wireless communication systems.

Ahmadreza (Reza) Rofougaran (S’93–M’95– SM’05) received the B.S.E.E., M.S.E.E., and the Ph.D. degree from the University of California at Los Angeles (UCLA), in 1986, 1988, and 1998, respectively. In July 2000, he joined the Broadcom Corporation, Irvine, CA (through Innovent System’s acquisition), where he is currently a Fellow/Chief Technologist. Since 2000, he has been in charge of all RF CMOS radios for Bluetooth, wireless local area networks (WLANs), and cellular. In addition to product development, he also leads the research and development of next-generation software-defined RF cellular radios, RFID products, and smart/software define antennas. He has authored or coauthored over 45 technical papers. He holds over 75 issued or pending U.S. patents. Dr. Rofougaran was the recipient of several premium international IEEE awards. His technical contributions in RF CMOS have also been recognized worldwide by both industry and academia.

Maryam Rofougaran received the B.S. and M.S. degrees in electrical engineering from the University of California at Los Angeles (UCLA), in 1992 and 1995, respectively. While with UCLA, she played a significant role in the pioneering design of a single-chip 900-MHz RF CMOS transceiver. She cofounded Innovent Systems, which was acquired by the Broadcom Corporation, Irvine, CA, in 2000. She is currently a Senior Director of engineering with the Broadcom Corporation, where she is involved with wireless products. She has authored or coauthored numerous papers. She holds several patents in the area of wireless systems and IC design. Ms. Rofougaran was the recipient of the 1995 European International SolidState Circuits Conference (ISSCC) Best Paper Award, the 1996 International ISSCC Jack Kilby Award for Outstanding Paper, the 1997 ISSCC Jack Raper Award for Outstanding Technology Direction, and the 1998 Design Automation Conference Best Paper Award.

38

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Franco De Flaviis (M’99–SM’07) was born in Teramo, Italy, in 1963. He received the Laurea degree in electronics engineering from the University of Ancona, Ancona, Italy, in 1990, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles (UCLA) in 1994 and 1997, respectively. In 1991, he was an Engineer with Alcatel, where he specialized in the area of microwave mixer design. In 1992, he was Visiting Researcher with UCLA, where he was involved with low intermodulation mixers. He

is currently an Associate Professor with the Department of Electrical Engineering and Computer Science, University of California at Irvine. He has authored or coauthored over 100 papers in reference journals and conference proceedings. He has authored three book chapters. He has filed several international patents. His research interest include the development of microelectromechanical systems (MEMS) for RF applications fabricated on unconventional substrates such as printed circuit board and microwave laminates with particular emphasis on reconfigurable antenna systems. He is also active in the research field of highly integrated packaging for RF and wireless applications. Dr. De Flaviis is a member of the URSI Commission B.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

39

A 1-V 9.7-mW CMOS Frequency Synthesizer for IEEE 802.11a Transceivers Lincoln Lai Kan Leung and Howard C. Luong

Abstract—A 1-V CMOS frequency synthesizer is proposed for wireless local area network 802.11a transceivers using a novel transformer-feedback voltage-controlled oscillator (VCO) for low voltage and a stacked frequency divider for low power. Implemented in a 0.18- m CMOS process and operated at 1-V supply, the VCO measures a phase noise of 140.5 dBc at an offset of 20 MHz with a center frequency of 4.26 GHz and a power consumption of 5.17 mW. Its tuning range is as wide as 920 MHz (23%). By integrating the VCO into a frequency synthesizer, a phase noise of 140.1 dBc/Hz at an offset of 20 MHz is measured at a center frequency of 4.26 GHz. Its output frequency can be changed from 4.112 to 4.352 GHz by switching the 3-bit modulus of the programmable divider. The synthesizer consumes only 9.7 mW and occupies a chip area of 1.28 mm2 . Index Terms—Frequency divider, frequency synthesizer, low power, low voltage, transformer, voltage-controlled oscillator (VCO).

I. INTRODUCTION

W

IRELESS local area network (WLAN) systems have received much attention in recent years. Among the different WLAN communications, IEEE 802.11a [1] has less potential interference because it operates in the 5-GHz frequency spectrum. A zero-second-IF dual-conversion transceiver architecture [2], as shown in Fig. 1, is one of the different topologies available for transceivers operating under such standard. Such dual-conversion topology calls for a frequency synthesizer that can generate two local oscillator (LO) signals with the second LO (LO2) having in-phase and quadrature (IQ) outputs [2]. Several frequency synthesizers [2]–[4] designed for WLAN transceivers have been reported. The main drawbacks with the synthesizer in [2] include a high supply voltage of 2.5 V and large power consumption of 180 mW, which accounts for more than 70% of the total power for the whole receiver. It also requires an off-chip low-pass filter (LPF), which is not suitable for monolithic applications. The frequency synthesizer reported

Manuscript received February 24, 2007; revised August 23, 2007. This work was supported by the Hong Kong Government Innovation Technology Funding under Grant ITS/033/02. L. L. K. Leung is with the Department of Electronic Engineering, Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]. hk). H. C. Luong is with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon, Hong Kong (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.911980

Fig. 1. Frequency plan in a zero-second-IF dual-conversion transceiver.

in [3] generates two LO signals at 1/3 and 2/3 of the RF frequency, respectively. All components are integrated on-chip, but the supply voltage is 2.5 V, and the power consumption is as high as 93 mW. Recently, a low-voltage frequency synthesizer that can operate at a supply voltage of 1 V was reported [4]. A phase-switching programmable divider is used to help reduce the power consumption. Techniques are also introduced to allow operation under low-voltage supply. As examples, frequency tuning in the VCO is done by varying the transconductance of the coupling transistors, and the current-driven-bulk technique is employed to reduce the threshold voltage of the pMOS transistors. It demonstrates that reducing the supply voltage can be one efficient way to shrink the power consumption. Yet, it is interesting to note that its power consumption is still as high as 27 mW and half of it is dissipated by the voltage-controlled oscillator (VCO) alone. This paper presents the design of a monolithic integerCMOS frequency synthesizer for WLAN IEEE 802.11a consuming only 9.7 mW at 1-V supply [5]. The proposed synthesizer employs a novel ultra-low-voltage transformer-feedback VCO and a stacked frequency divider. The synthesizer’s specification and proposed architecture are addressed in Sections II and III. Detailed analysis and circuit implementation of the proposed VCO and of the first-stage frequency divider are presented in Section IV. Section V presents all the measurement

0018-9480/$25.00 © 2007 IEEE

40

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

results of the proposed synthesizer together with detailed comparisons with other state-of-the-art synthesizers. It is followed by a conclusion in Section VII. II. SYSTEM SPECIFICATION A. Frequency Requirement The synthesizer in this study is designed for the lower and middle bands in the IEEE 802.11a standard from 5.15 to 5.35 GHz. Within this 200-MHz bandwidth, there are eight channels, each with a bandwidth of 20 MHz.

Fig. 2. Architecture of the proposed frequency synthesizer.

B. Proposed Frequency Planning For the transceiver’s architecture shown in Fig. 1, the synthesizer needs to generate two LO outputs with LO2 having both IQ outputs. LO2 can be conveniently generated from LO1 using a frequency divider with a division ratio of , i.e., . As such,

allowable EVM is 5.6%. This is equal to an rms phase error of 3.2 or a single sideband integrated phase noise of 28 dBc. By using the phase-noise model in [6] in which the corner is assumed to be larger than the loop bandwidth of the frequency synthesizer, the integrated phase noise can be estimated by the following equation:

(1)

(4)

is used because only 2 diAs an optimal choice, vide-by-2 circuits are required, which are much simpler to implement than dividers with odd modulus at very high frequency. Moreover, the second divider can drive larger loading due to the lower input frequency. Theoretically, can be any multiple of 4. Yet, higher multiples of 4 are not desirable in terms of image rejection of the receiver, which can be represented by the following equation:

is the symbol bandwidth and is the loop bandwhere width of the frequency synthesizer. Using a loop frequency of 80 kHz, the required in-band phase noise is 79 dBc/Hz. In order to allow for other nonidealities, a margin of 6 dB is allowed and the specification of the in-band phase noise is set to be 85 dBc/Hz.

(2) deteriorates the image rejection, particularly Increasing when the image signal falls within the passband of the low-noise amplifier (LNA) and mixer. C. Phase-Noise Requirement It is assumed that for IEEE 802.11a the adjacent interferer is 40 dB stronger than the desired channel and that the worst case signal-to-noise ratio (SNR) is 19 dB, which corresponds to a bit error rate (BER) of 10 in a 64 quadrature amplitude modulation (QAM) system. The phase noise required at the output of the frequency synthesizer is, therefore, MHz

dBc/Hz (3) Since the aforementioned LO1 is smaller than the specified , the resultant phase noise required is scaled down by a factor to 134 dBc/Hz. of The requirement of the in-band phase noise is calculated by assuming that error vector magnitude (EVM) contribution due to phase noise is equivalent to the root mean square (rms) phase error due to integrated phase noise. For the modulation, 64 QAM with data rate at 54 Mb/s with a coding rate of 3/4, the maximum

D. Spurious Emissions It is specified in the IEEE 802.11a standard that the spurs within and beyond 1-GHz offset must be below 57 and 47 dBm, respectively. The synthesizer is designed to meet all the above specifications with a supply voltage of 1 V and a maximum power consumption of 10 mW. The targeted chip area, including all passive components, should be less than 2 mm using a CMOS 0.18- m process. III. PROPOSED SYNTHESIZER ARCHITECTURE The synthesizer is designed to be used in a transceiver with a channel spacing of 20 MHz, which is not variable and relatively large. It poses no limitation on the settling time requirement of the design. Thus, an integer- architecture is selected. The architecture of the frequency synthesizer is chosen to be a type-2 fourth-order loop using a charge pump, as shown in Fig. 2. Compared to a lower order loop, the additional poles provide higher spurious filtering and, thus, reduce the spurs generated by the input reference without decreasing the loop bandwidth or increasing the settling time and chip area. For 20-MHz channel spacing and eight channels, the reference frequency required in the proposed frequency synthesizer MHz and the minimum range of is MHz division ratio, from the output of the VCO to the reference input,

LEUNG AND LUONG: 1-V 9.7-mW CMOS FREQUENCY SYNTHESIZER FOR IEEE 802.11a TRANSCEIVERS

41

Fig. 3. (a) Existing and (b) proposed solution for VCO.

is 259–266. By optimizing the loop parameters, the simulated loop bandwidth and phase margin are designed to be 79 kHz and 53 , respectively. IV. CIRCUIT IMPLEMENTATION

Fig. 4. Evolution of the proposed low-voltage VCO.

A. VCO Design Conventionally, the VCO and the first divider-by-2 circuit in a frequency synthesizer are biased by two independent currents from the same supply voltage, as shown in Fig. 3. Assuming that, at the same current level, the VCO can operate under supply voltage smaller than the divider, or vice versa, power cannot be fully utilized in such design. To save power, a low-voltage divider is proposed to be stacked on top of a low-voltage VCO. The current flowing out of the divider is reused by the VCO, and the power can be reduced as long as the supply voltage can be the same. The proposed VCO is based on the conventional Colpitts oscillator for low phase noise. It has been explained in [7] that the Colpitts oscillator has superior phase-noise performance as a result of the cyclo-stationary noise properties. In order to enhance the performance of the Colpitts VCO at a low supply voltage, the capacitor divider is replaced by a transformer. The transformer-feedback topology proposed in [8] was considered to realize the required VCO below 1-V supply. For this topology, the signal at the drain can swing above supply, and the signal at the source can swing below ground. This mechanism results in an increase of the effective supply voltage. The other feature of the VCO is the positive feedback given by the transformer, which helps to increase the total signal swing. Alternatively, for given operation frequency and output swing, the transistor sizes and the total power consumption can be reduced when compared to the conventional design. There are at least two possible ways of stacking the frequency divider onto a VCO. However, the VCO in [8] is not suitable for use with a stacked frequency divider because the divider would be connected in series with the transformer, as shown in Fig. 4. Such series connection degrades the quality factor of the drain inductor by the impedance looking into the bottom of the divider. Instead, in the proposed VCO, a common-drain configuration is employed in which transformer feedback between the gate and source is used. With proper layout of the primary and secondary coils of the transformer, a positive current coupling is achieved. The feedback mechanism is illustrated in Fig. 5. A small increase in

Fig. 5. Schematic and equivalent circuit of the proposed oscillator using transformer feedback.

the gate voltage increases the current through the transistor and through the secondary coil, which also increases the current in the primary coil due to the transformer’s positive coupling. With the current in the primary coil increased, the gate voltage is further increased, and a positive feedback is formed. The transformer feedback between the gate and source allows the signal at the source to swing below ground and the output signal to swing above the dc bias at the gate. Thus, the effective supply voltage of the proposed VCO and the output signal swing are increased. The equivalent supply voltage at the drain can , which is typically around 0.2–0.3 V. This be as low as demonstrates the low-supply voltage property of the proposed VCO. Since the capacitor divider in conventional Colpitts oscillator is removed, the equivalent capacitance at the proposed VCO output can be reduced, which helps increase both the quality factor and the output signal swing of the resonant tank. The impedance looking into the VCO output can be obtained by adding a test source, as shown in Fig. 6. Equation (5) can then be derived as follows:

(5)

42

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 6. Schematic used to find the impedance looking into the VCO output.

Fig. 7. Other features of the VCO.

For

, , and , the term , and consequently, the real part of the impedance provides a negative resistance to compensate for the loss of the LC tank. The imaginary part of the above equation resonates with the parasitic capacitance and the varactor and determines the resonant frequency. One feature of the proposed VCO is that the source of the transistor is connected to the secondary coil instead of ground. Since it has been previously shown that the source can swing below ground, it is necessary to put the transistor in a deep -well to ensure that its bulk is shorted together with the source and that the substrate–source junction is not forward biased. The zero substrate–source voltage helps to reduce the threshold voltage of the oscillating transistor as well. In addition, the deep -well can provide better isolation to the substrate noise. cell using As shown in Fig. 7, a very small negative nMOS is connected at the proposed VCO differential outputs to enhance the quality factor of the primary coil. Similarly, a cell using pMOS is connected at the very small negative secondary coil to enhance its quality factor. Noise contribucells is negligible. The diftion from these small negative ferential signal swing at the proposed VCO outputs is equivalent to the gate–drain voltage across the transistors in the negcell. Since the differential signal swing is larger than ative

cell go the threshold voltage, the transistors in the negative into the linear region at a particular point of every oscillation cells are cycle. If the common nodes of the nMOS negative connected to ground directly, the momentarily small transconductance given by those transistors in the linear region reduces the average impedance of the resonant tank. Current sources, always working in the saturation region, are used to bias both cells to prevent this problem [9]. Since the of the negative impedance looking into these current sources is large, they can cells help to remedy this problem by preventing the negative from reducing the quality factor of the resonant tank. A switched capacitor array (SCA) is being added to the proposed VCO in order to compensate for the process variations. However, extra parasitic capacitance will be added by adding an SCA at the output of the proposed VCO. The smaller equivalent capacitance of the SCA, the more significant the extra parasitic capacitance is. This will not only reduce the resonant frequency, but also lower the tank voltage. An approach to minimize the effect of the parasitic capacitance is to connect the SCA to the secto ondary coil instead of the primary coil. Since the ratio of is larger than 1, the sensitivity of the parasitic capacitance at the secondary coil to the oscillation frequency is much smaller than that at the primary coil. Hence, the resonant frequency and tank voltage are not significantly affected. The same approach can be applied to the varactor, which is implemented as an accumulation-mode varactor in the design. However, assuming the same size of varactor, the effective frequency tuning range due to the varactor alone will be reduced by connecting it to the secondary coil instead of the primary coil because of the reduced sensitivity as mentioned before. This is not favorable for application in the IEEE 802.11a standard because of the relatively wide channel spacing and low-supply voltage operation, which would set a limit on the effective minimum tuning range of the varactor. The phase noise of the proposed VCO is calculated using the linear time-varying (LTV) model proposed by [7], which can be represented by the following equation: (6) The impulse sensitivity function (ISF), the noise-modulating function (NMF), and their product, referred to as the effective ISF [7], are obtained by direct simulation in SpectreRF. Perturbation, in the form of current impulses injected at different phases of the oscillation waveform, causes different phase shift in the waveform. By sweeping the time of the current injection, the impulse response of the phase shift at the proposed VCO output can be obtained. The resultant ISF, NMF, and the effective ISF are shown in Fig. 8. The calculated rms value of the effective ISF is 0.3, which is smaller than the typical value of the conventional LC oscillator ( 0.5). The phase noise of the proposed VCO is also simulated by directly using the periodic steady state (PSS) analysis in SpectreRF under identical conditions. The phase-noise plots using the above two methods are shown and compared in Fig. 9. They are very close together with the maximum deviation located at the largest frequency offset, which is 20 MHz, as shown in this figure. The calculated phase

LEUNG AND LUONG: 1-V 9.7-mW CMOS FREQUENCY SYNTHESIZER FOR IEEE 802.11a TRANSCEIVERS

43

B. First-Stage Divide-by-2 Circuit

Fig. 8. ISF, NMF, and effective ISF of the proposed VCO.

Fig. 9. Simulated and calculated phase noise of the proposed VCO.

Fig. 10. Optimization of phase noise by varying the turn ratio.

noise using the LTV model and the simulated phase noise using PSS in SpectreRF are 139.1 and 138.7 dBc/Hz at 20-MHz offset, respectively. It can be concluded that the difference of the above two methods is within 0.5 dB for all the frequency offsets up to 20 MHz. Turn ratio of the primary and secondary coil can be modeled as . Its value affects the startup of the VCO and can also further optimize the phase noise of the VCO. Simulation results, as shown in Fig. 10, illustrates that an optimal around 3 achieves the best phase noise in the VCO.

By applying the transformer feedback between the gate and source, the LC tank and the divider are separated into two signal paths without degrading the performance of the proposed VCO. Current outputs at the drain are injected directly into the frequency divider. Although the same dc current is reused for both the VCO and divider, the ac outputs of the VCO and the frequency divider are in separate paths and their swing can be almost independent of each other. An injection-locked divider (ILD) is one of the potential candidates. It is especially useful for low-voltage supply because of the inductive load. Such a technique is applied in [10]. However, the locking range of the ILD alone is very limited. Small deviation in the load inductance may cause out-of-lock and, thus, failure of the entire design. In order to have a higher frequency tuning range, variable capacitors can be connected at the output of the ILD and the proposed VCO. However, frequency tuning would have to be done by adjusting the resonant frequency of the proposed VCO and the ILD altogether. This would increase the complexity of the frequency synthesizer. In order to remove this complex mechanism, a source-coupled logic (SCL) frequency divider, which usually has much larger input locking range than its counterpart, is used to replace the ILD. The SCL divider consists of two SCL active-load D-latches connected in a master–slave configuration. The tail current sources are removed, and the transistors for the complementary clocks are replaced by the same transistors used in the proposed VCO. Since the active-load SCL frequency divider usually has much wider input frequency tuning range, frequency tuning can then be achieved by the proposed VCO alone. In addition, by using an active load in the SCL divider, no inductors are needed, and the chip area can be minimized. The SCL divider may self-oscillate when no input signal is applied or when the ac current injected is too weak, which would be the case if the oscillation of the proposed VCO is relatively weak. In the worst case scenario, the self-oscillation of the divider would dominate and overcome the oscillation of the proposed VCO. This would shift the desired frequency ranges and degrade the total phase-noise performance. As a result, it is critical to design the divider carefully to make sure that it has a maximum locking range and it is not strong enough to dominate the oscillation. The stacking of the SCL divider directly onto the proposed VCO in series not only reduces the overall power consumption, but also enhances the design performance in the following way. In conventional design, the VCO outputs are connected directly to the gates of the input transistors in the divider. In contrast, the proposed VCO outputs are separated from the divider inputs. The VCO signals are transferred from the drain of the proposed VCO to the source of the divider in the current domain. In this way, the oscillation frequency of the proposed VCO is not affected significantly by the relatively smaller parasitic capacitance at the source of the divider. Moreover, because the connection is in the current domain, the resistive connection loss between the VCO and divider does not attenuate the VCO signals. Yet, ac current leaks through the parasitic shunt

44

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 12. Simulated voltage and current waveforms at the outputs of the proposed VCO and dividers.

Fig. 11. Schematic of the proposed VCO and divider.

capacitance of the metal connection. Fortunately, the drains of the proposed VCO are available in the layout for connection on the opposite side of the transformers. This facilitates the connection of the proposed VCO and the divider using short metal wires. This is critical to the performance of the proposed frequency synthesizer because the nonnegligible transmission-line effect of every connection may pose serious problems at such high frequency. The full schematic of the proposed design is shown in Fig. 11. The differential transient voltage outputs of the VCO and the divider, as well as the ac differential currents injected into the dividers, are simulated using SpectreRF and are shown in Fig. 12. The simulated oscillation frequency is 4.5 GHz with a differential output amplitude of 0.7 V. The simulated power consumption of the VCO together with the divider is only 5 mW under a 1-V supply. The divide-by-2 operation is clearly illustrated in this figure. Figs. 13 and 14 show the simulated sensitivity of the VCO and stacked divider to supply voltage and temperature variations. The result shows that the oscillation frequency of the VCO is quite stable because the voltage across the varactor is independent to the change in the supply voltage. Variation in the supply voltage only changes the junction capacitance of the transistors in the VCO and results in slight deviation in the overall oscillation frequency. Furthermore, the change in phase noise is within 4 dB in both cases. Phase noise deteriorates at high temperature because the thermal noise of the noise sources in the circuit increases and the output amplitude of the VCO reduces due to mobility reduction.

Fig. 13. Sensitivity of the VCO and stacked divider to supply voltage.

Fig. 14. Sensitivity of the VCO and stacked divider to temperature.

C. Other Building Blocks 1) Programmable Divider: A phase-switching programmable divider [11] is used because its first divider can be implemented by a simple divide-by-2 circuit in which only two flip-flops are required to operate at the maximum frequency. More complicated structures, such as the MUX, divide-bydivider, and state machines no longer limit the input frequency of the programmable divider because their operating frequency

LEUNG AND LUONG: 1-V 9.7-mW CMOS FREQUENCY SYNTHESIZER FOR IEEE 802.11a TRANSCEIVERS

45

Fig. 16. Third-stage eight-phase frequency divider. Fig. 15. Block diagram of the programmable divider using backward phase switching.

is already divided down. Their power consumption can also be significantly reduced. In order to remove the glitches due to improper signal timing from the phase control block [12] in the conventional phase-switching approach, a backward phase-switching technique [13] is used. Instead of advancing one cycle for every phase switching, one cycle is stepped back for every phase switching. The modulus of the programmable divider is changed by controlling the amount of phase switching in one cycle. Moreover, instead of using two divide-by-2 stages, one more divide-by-2 stage is added, which will generate eight phases for the phase-select stage, as shown in Fig. 15. This extra divide-by-2 operation further relaxes the frequency requirement of the phase select stage, which includes an 8-to-1 MUX, asynchronous dividers, a modulus control block, and an 8-bit shift register. This can help to reduce the power consumption as well. Since the minimum number of channels specified is eight, the number of input bits for the modulus control should be at least four. This is equivalent to a maximum number of 16 phase switching. For backward phase switching, the smallest modulus required (which, in this case, is 259), rather than the largest one, is used to determine the division ratios of the asynchronous divider. Together with the first three divide-by-2 operations, a division ratio of 34 is eventually selected for the asynchronous divider to cover the smallest modulus specified. The operation of the programmable divider can be summarized by the following equation:

where is the number of phase switching from 0 to 15

(7)

Since the maximum number of phase switching is 16, the modulus of the programmable divider ranges from 257 to 272, covering the entire frequency tuning range in the specification. 2) Second-Stage Divide-by-2 Circuit: It is implemented by an SCL frequency divider. Similar to the first-stage frequency divider, which is stacked onto the VCO, the second divide-by-2 circuit consists of two SCL active-load D-latches connected in a master–slave configuration. For operating at low-voltage supply, the tail current source is removed and ac coupling is used to bias the divider. Quadrature signals for the IQ path of a transceiver in a double-conversion topology are available at the outputs of the SCL divider.

3) Third-Stage Divide-by-2 Circuit: A divide-by-2 circuit can generate four-phase outputs. Thus, two divide-by-2 stages can be combined together to generate the eight phases required for the phase-select stage. However, in such a configuration, there may be two possible output states depending on the initial states of the latches. These possible states may cause errors in phase switching. A third-stage eight-phase divide-by-2 circuit is implemented to tackle such problem. The eight-phase divider consists of four D-latches cascaded in a ring, as shown in Fig. 16. Since the D-latches are connected in a ring, only one output state is possible. This avoids the aforementioned ambiguous states. The schematic of a D-latch is also shown in this same figure. nMOS is used for sensing and storing, while pMOS is used for pull-up operation. Except the clock inputs, it has no stacked transistor and, therefore, enables low-voltage and high-speed operation. Once the input is low, the latch will store the input value. Full-swing outputs are available, which eliminates the need for using buffers between the divider and the latter CMOS stages. Since the dc output of the second-stage divider is too high for the input pMOS transistor here, ac coupling is used to bias the divider. 4) Other Stages in the Programmable Divider: The phase-select and phase-control stages are simply an 8-to-1 MUX and an 8-bit shift register, respectively. The modulus control is also a MUX, in which the four inputs are used to control the 16 different moduli available in the divider. All of them are implemented using simple CMOS logic. The asynchronous dividers are composed of a simple divide-by-2 stage in cascade with a divide-by-17 stage. True single-phase clock (TSPC) logic is used to implement these two dividers. 5) PFD, Charge Pump, and Loop Filter: A typical PFD, implemented in static CMOS logic, is used. A single-to-differential converter is used at the output of the PFD to provide complementary outputs for the charge pump. In the charge pump, a unity-gain buffer is included to minimize the charge sharing effect and, thus, minimize the spurious tones at the VCO outputs. A third-order passive loop filter is connected at the output of the charge pump. The total capacitance required in the loop filter is less than 100 pF. The capacitors are implemented using metal–insulator–metal (MIM) capacitors available in the process technology. This only occupies a total area of around 300 300 m , which is a reasonable value to be put on the chip. V. EXPERIMENTAL RESULTS The proposed frequency synthesizer is fabricated in a V, V) 0.18- m CMOS process ( with six metal layers and a MIM capacitor. Fig. 17 shows

46

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 17. Die micrograph of the frequency synthesizer.

the die micrograph and floorplan of the proposed frequency synthesizer, which occupies a chip area of 1.28 mm only. No off-chip component is required. The transformer is realized with a two-port Shibata coupler structure [14]. It consists of two spiral rectangular inductors using the top metal, interwinding with each other. The primary coil has two turns, while the secondary coil is made of two single-turn inductors connected together in parallel. This can help to maximize the edge coupling between the two coils. The second topmost layer is used as the underpass to connect the coils to the output ports. The metal width of the coils is 20 m and the metal spacing is 3 m. The minimum spacing allowable in the process is used in order to increase the coupling between the coils. The outer dimension is 332 m 332 m, while the dimension of the inner hole is 154 m 154 m. The wideband model of the transformer, as shown in Fig. 18, is used to fit the measured -parameters of the transformer. After fitting with -parameters obtained in the two-port measurement of a standalone testing structure, the inductance of the primary coil is 1.66 nH with a of 6.6, while the inductance of the secondary coil is 0.37 nH with a of 6.5. The coupling between the two coils is measured to be 0.65. This corresponds to a measured turn ratio of 3.3, which is very close to the optimal value obtained in Section IV-B. This can verify that the use of this transformer can help to achieve good phase noise in the VCO. The performance of the proposed VCO is measured with the control voltage at the varactor being fixed externally. The output spectrum of the proposed VCO is measured by Agilent’s E4440A. The frequency tuning curve of the proposed VCO, as shown in Fig. 19, is then obtained by varying the control voltage at the varactor from 0 to 1.5 V. Each curve represents the frequency variation corresponding to the control voltage at the varactor with a different number of SCAs turned on. Only the linear part of the curve from 0 to 1 V is used in the frequency synthesizer for the best performance under a 1-V supply. The VCO gain is measured to be around 200 MHz/V in this linear region. By switching the SCA, together with the varactor, the proposed VCO can be tuned from 3.58 to 4.5 GHz. This corresponds to a tuning range of 920 MHz (23%). Yet the ultimate frequency tuning range in the proposed frequency synthesizer is determined by the division ratio of the programmable divider, which is designed to begin from 4.144 to 4.352 GHz. This is close to a frequency range of

Fig. 18. Wideband transformer fitting model from measured S -parameters.

Fig. 19. Frequency tuning curves of the proposed VCO by switching the SCAs.

200 MHz. Thus, a single combination of SCAs suffices for proper operation for the proposed frequency synthesizer. The phase noise of the proposed VCO alone is measured, which is 140.5 dBc/Hz at an offset of 20 MHz with the carrier frequency being 4.36 GHz. Its power consumption together with the first-stage divider is 5.17 mW. The loop is then closed with the connection of a 16-MHz reference input generated by Agilent’s E8247C. The division ratio of the programmable divider is set to be 272, which is the largest value in this design. Fig. 20 shows the output frequency spectrum and the phase-noise plot of the proposed frequency synthesizer, measured by Agilent’s E4440A. The measurement is done at the output of the 50- open-drain buffer. After calibration, the differential amplitude at the output of the VCO is around

LEUNG AND LUONG: 1-V 9.7-mW CMOS FREQUENCY SYNTHESIZER FOR IEEE 802.11a TRANSCEIVERS

47

Fig. 21. Measured output spectrum and constellation diagram of the transmitter. Fig. 20. Output frequency spectrum and phase-noise plot of the proposed frequency synthesizer. TABLE II COMPARISON OF PUBLISHED FREQUENCY SYNTHESIZERS TABLE I PERFORMANCE SUMMARY OF THE PROPOSED FREQUENCY SYNTHESIZER

0.6 V and is sufficiently large to drive the on-chip mixers of the transceiver. In order to reduce the output spur, a clean input reference is used and the bond wire of the input reference is arranged to be far away from the bond wire of the analog supply of the charge pump so that the coupling of the input reference signal to the output of the charge pump can be reduced. The spur is 75.5 dBc at an offset of 16 MHz from the carrier frequency of 4.352 GHz. The in-band phase noise at an offset of 10 kHz is 71.1 dBc/Hz and the out-of-band phase noise at an offset of 20 MHz is 140.1 dBc/Hz. The output waveform for the control voltage of the varactor in the proposed VCO is then obtained by changing the division ratio of the frequency synthesizer. The settling time is approximately 86 s. All the above measurements are done using a single 1-V supply. The total power consumption is only 9.68 mW. The performance summary of the proposed frequency synthesizer is listed in Table I. In order to evaluate the actual performance of the frequency synthesizer in an IEEE 802.11a OFDM system, the frequency synthesizer is integrated onto the same chip with a transmitter. The transmitter, which is composed of passive third-order LPFs, an up-conversion mixer implemented by a two-stage singlesideband mixer, and a two-stage class-A power amplifier (PA) uses a zero-second-IF dual-conversion topology [15]. A constellation diagram and EVM is then obtained at the output of the PA. Orthogonal frequency division multiplexing (OFDM) packets with the modulation, 64 QAM, using a rate of 3/4, are generated. The transmitted OFDM signals at the output of the PA are then measured by a spectrum analyzer and a vector signal

analyzer. With an output power of 10 dBm, the transceiver exhibits an EVM of 4.5% rms, which is still 1.6% below the maximum tolerable EVM in the IEEE 802.11a standard for a data rate of 54 Mb/s. By adding an external PA of 26 dB with good linearity, the output power can be increased to 16 dBm, which is the maximum allowable power in the standard. The OFDM output spectrum of the transmitter and the corresponding constellation diagram are shown in Fig. 21. The output spectrum is well under the required spectrum mask for the IEEE 802.11a standard. VI. BENCHMARKING The performance of the proposed frequency synthesizer is compared with that of other published designs in Table II. This study achieves the lowest power consumption and out-of-band phase noise with only a 1-V supply. The layout of the design in [16] occupies the smallest area and uses a 10-GHz VCO with its IQ outputs generated at the first-stage frequency divider. All its measurements are obtained at the output of that frequency divider. Yet the power consumption and the spur are much larger than this design. However, the in-band phase noise of the frequency synthesizer is larger than most of the other published synthesizers. As suggested in [15], it can be improved by lowering the phasenoise contribution of the reference input and the charge pump by increasing its charge pump current from 5 to 100 A and reducing the resistors in the loop filter from 80 and 30 k to 4 and 5 k , respectively. As a result, the largest close-in phase noise can be reduced to 85 dBc/Hz and the resultant single-sideband integrated phase noise is 34 dBc. Alternatively, calibration and compensation with a digital baseband [17] can be used to improve the in-band phase noise. As shown in [18], the common phase error can be removed by subtracting the mean phase for every symbol. This kind of compensation can be modeled by . This is equivalent to a high-pass filter, i.e.,

48

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 22. Phase noise with and without baseband compensation.

a further reduction of over 5 dB within a loop bandwidth of 80 kHz. Fig. 22 shows the phase noise plots of the frequency synthesizer with and without the baseband compensation after the charge pump is improved. The resultant single sideband integrated phase noise is 39 dBc. VII. CONCLUSION A 1-V CMOS frequency synthesizer for WLAN 802.11a transceivers is successfully demonstrated. A novel transformer-feedback VCO for low voltage and a stacked frequency divider for low power are used to enhance the performance of the synthesizer. It is implemented in a 0.18- m CMOS process. With a 1-V supply, the synthesizer measures a phase noise of 140.1 dBc/Hz at an offset of 20 MHz with a center frequency of 4.26 GHz and a frequency tuning range from 4.112 to 4.352 GHz. The proposed synthesizer occupies a chip area of 1.28 mm and dissipates only 9.7 mW. REFERENCES [1] Information technology—Telecommunications and Information Exchange Between Systems—Local and Metropolitan Area Networks— Specific Requirements: Part 11: WLAN Medium Access Control (MAC) and Physical Layer (PHY) Specifications (Amendment 1: High-Speed Physical Layer in the 5 GHz Band), IEEE Standard 802.11a-1999, 1999, pp. i-83. [2] M. Zargari et al., “A 5 GHz CMOS transceiver for IEEE 802.11a WLAN systems,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1688–1694, Dec. 2002. [3] M. Terrovitis et al., “A 3.2 to 4 GHz, 0.25 m CMOS frequency synthesizer for IEEE 802.11a/b/g WLAN,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig. Tech., Feb. 2004, pp. 98–515. [4] G. Leung and H. C. Luong, “A 1-V 5.2-GHz 27.5-mW fully-integrated CMOS WLAN synthesizer,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1873–1882, Nov. 2004. [5] L. Leung and H. C. Luong, “A 1-V 9.7-mW CMOS frequency synthesizer for WLAN 802.11a transceivers,” in VLSI Circuits Symp. Tech. Dig., Jun. 2005, pp. 252–255. [6] J. R. Anderson, “Design of a low-voltage 5 to 6 GHz voltage controlled oscillator for the 802.11 applications,” Appl. Microw. Wireless, pp. 24–38, Apr. 2002.

[7] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [8] K. Kwok and H. C. Luong, “Ultra-low-voltage high-performance CMOS VCOs using transformer feedback,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 652–660, Mar. 2005. [9] E. Hegazi, H. Sjoland, and A. A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 1921–1930, Dec. 2001. [10] A. Ng, G. Leung, K. Kwok, L. Leung, and H. C. Luong, “A 1-V 24-GHz 17.5-mW phase-locked loop in a 0.18-m CMOS process,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1236–1244, Jun. 2006. [11] J. Craninckx and M. S. J. Steyaert, “A 1.75-GHz/3-V dual-modulus divide-by-128/129 prescaler in 0.7-m CMOS,” IEEE J. Solid-State Circuits, vol. 31, no. 7, pp. 890–897, Jul. 1996. [12] N. Krishnapura and P. R. Kinget, “A 5.3-GHz programmable divider for HiPerLAN in 0.25-m CMOS,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 1019–1024, Jul. 2000. [13] K. Shu and E. Sanchez-Sinencio, “A 5-GHz prescaler using improved phase switching,” in IEEE Int. Circuits Syst. Symp., 2002, vol. 3, pp. 85–88. [14] K. Shibata, K. Hatori, Y. Tokumitsu, and H. Komizo, “Microstrip spiral directional coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 7, pp. 680–689, Jul. 1981. [15] L. Leung et al., “A 1-V 86-mW-RX 53-mW-TX single-chip CMOS transceiver for WLAN IEEE 802.11a,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1986–1998, Sep. 2007. [16] N. Pavlovic et al., “A 10 GHz frequency synthesizer for 802.11a in 0.18 m CMOS,” in Proc. 30th Eur. Solid-State Circuits Conf., 2004, pp. 367–370. [17] Lang et al., “Design and development of a 5.25 GHz software defined wireless OFDM communication platform,” IEEE Commun. Mag., vol. 42, pp. S6–S12, Jun. 2004. [18] F. Herzel and M. Piz, “System-level simulation of a noisy phase-locked loop,” in Proc. 13th Eur. Gallium Arsenide and Other Compound Semiconduct. Applicat. Symp., Oct. 2005, pp. 193–196. Lincoln Lai Kan Leung received the B.Eng. and M.Phil. degrees in electronic engineering from the Chinese University of Hong Kong, Hong Kong, in 1999 and 2001, respectively, and the Ph.D. degree in electronic and computer engineering from the Hong Kong University of Science and Technology, Kowloon, Hong Kong, in 2006. Following his doctoral graduation, he was a Research Associate with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, where he conducted research projects on the design of RF identification (RFID) tags for EPC C1G2 Protocol and the design of VCOs for vehicular radar systems. Since October 2007, he has been a Research Assistant Professor of electronic engineering with the Chinese University of Hong Kong, Shatin, Hong Kong. His research interests include low-voltage, low-power, and high-speed analog circuit design with a focus on RF VCO, frequency synthesizer, and wireless transceiver design.

Howard C. Luong received the B.S., M.S., and Ph.D. degrees in electrical engineering and computer sciences from the University of California at Berkeley, in 1988, 1990, and 1994, respectively. Since September 1994, he has been with the electronic and electrical engineering faculty of the Hong Kong University of Science and Technology, Clear Water Bay, Kowloon, Hong Kong, where he is currently an Associate Professor. In 2001, he took a one-year sabbatical leave to work with Maxim Integrated Products, Sunnyvale, CA, where he was involved with wireless products. His research interests are RF, analog, and mixed-signal integrated circuits and systems for wireless communication and portable applications. He coauthored Low-Voltage RF CMOS Frequency Synthesizers (Cambridge Univ. Press, 2004) and Design of Low-Voltage CMOS Switched-Opamp Switched-Capacitor Systems (Kluwer, 2003).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

49

Rapid Simulation of Linear PBG Microstrip Structures Using the Rayleigh Multipole Method D. E. Schaub, Student Member, IEEE, and D. R. Oliver, Member, IEEE

Abstract—An efficient technique is developed for the simulation of scattering parameters and band structure of linear microstrip structures possessing periodically modulated substrate permittivity. By assuming perfect magnetic conductor boundaries on the microstrip edges, analysis may be performed in two dimensions where the problem is readily modeled as a stack of diffraction gratings. This formulation permits the application of fast diffraction grating algorithms such as the Rayleigh multipole method. The advantageous speed of this approach over conventional simulation methods has been exploited to obtain device parameters that maximize the bandwidth of the stopband. The design was constructed to confirm the simulated performance. Index Terms—Electromagnetic-bandgap (EBG) material, microstrip filters, microwave filters, photonic-bandgap (PBG) material, Rayleigh multipole method.

I. INTRODUCTION HOTONIC-BANDGAP (PBG) or electromagneticbandgap (EBG) devices have received intense investigation for over a decade [1], [2]. Their possible uses range from light confinement to spurious mode suppression to highly directional antennas [3]–[5]. At microwave frequencies, 2-D PBG structures have been employed to reduce parallel-plate noise in high-speed circuits [6] and guide surface waves in applications such as radar [7]. 1-D microwave PBG structures frequently appear in linear microstrip structures where material properties are varied periodically in the direction of signal propagation. One class of these filtering structures utilizes periodic modulation of the signal line to induce a stopband [8]–[10]. Where modulation is realized as alternating segments of wide and narrow microstrip, the frequency response may be determined analytically by limiting analysis to linear impedance and solving the resulting 1-D bandgap problem [11], [12]. Greater accuracy, particularly for arbitrarily shaped metallizations, may be achieved with 2-D or 3-D full-wave approaches such as the method of moments described by Baccarelli et al. [10] A second class of filtering structures employs periodic voids in the ground plane. In their most elementary form, these voids may be regularly spaced circular holes in one or two dimensions [13], [14]. The bandgap characteristics may be tuned by

P

Manuscript received June 18, 2007; revised September 5, 2007. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC) and by the University of Manitoba. The facilities utilized were developed and supported by the Canada Foundation for Innovation (CFI), Western Economic Diversification Canada (WED), and CMC Microsystems. The authors are with the Department of Electrical and Computer Engineering, The University of Manitoba, Winnipeg, MB, Canada R3T 5V6 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.912244

utilizing voids of different shapes, modifying the series inductance and/or shunt capacitance [15], [16]. Such devices exhibit very strong stopband attenuation, allowing for compact designs that may require only one unit cell [15]. However, discontinuities in the ground plane as incorporated in this class of designs have been associated with the generation of radiation at power levels that may be sufficient to cause local interference problems [17]. A further design makes use of periodic “holes” in the dielectric substrate [18], [19]. Simulations show that large holes are required to achieve modest stopband attenuation [18]. Consequently, practical implementations may require the use of many unit cells. The unremarkable performance of these structures may be readily explained by the geometrical relationship between the regions of disparate permittivity. Lattices characterized by interconnected regions of high permittivity are generally associated with strong transverse electric stopbands for the transverse electric (TE) polarization, but only limited stopbands for the transverse magnetic (TM) polarization [11]. The converse holds for a lattice consisting of isolated high-permittivity regions, which generally favors stronger TM stopbands, suggesting that replacing the holes with rods in [18] and [19] may improve bandgap attenuation. Alternatively, increasing the ratio of high- to low-permittivity material may similarly improve stopband attenuation in a lattice of periodically spaced holes [11]. This study seeks to develop an efficient model of linear PBG structures whose periodicity is derived from 1-D or 2-D modulation of the substrate permittivity. In contrast to [18] and [19], which consider 2-D lattices of holes in a substrate of high permittivity, the design under consideration (Fig. 1) incorporates only a single row of periodically spaced disks of high permittivity lying in a dielectric of lower permittivity. The disks extend from the ground plane to the microstrip and are uniform in . Of considerable interest is the the -direction for complex dependence of electromagnetic properties on device geometry and material characteristics such as permittivity. Development of efficient simulation techniques to model structures such as shown in Fig. 1 aids in tuning device performance or exploring designs whose material properties may change during operation such as in dynamically tunable structures. Simulation of the structure using full-wave techniques such as 3-D finite difference time domain (FDTD) can yield accurate broadband results, the drawback being the expenditure of signifand low frequencies, perfect icant computing time. For magnetic conductor (PMC) boundaries can be assumed at the microstrip edges, and the structure may be treated as a diffraction grating of circular rods subject to in-plane illumination. This approximation retains the geometric features neglected by simple lumped-element models and allows the structure to be

0018-9480/$25.00 © 2007 IEEE

50

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 1. Microstrip line with dielectric disk placement overlaid. The y boundaries are x = 0 and x = w .

efficiently solved by semianalytical 2-D diffraction grating techniques. In particular, its advantageous speed may be used to rapidly tailor device parameters, such as finding the disk radius and spacing that optimize specific bandgap characteristics. In this paper, the Rayleigh multipole method [20], originally developed for solving diffraction gratings, is adapted to find the optimal radius and spacing and produce the scattering parameters and band diagram of the microstrip structure (Fig. 1). It is straightforward to note that this representation of the structure is indistinguishable from a parallel-plate waveguide model with the on-axis propagation character of a 2-D photonic crystal.

Fig. 2. Top view of the structure shown in Fig. 1. The dashed circles are the reflections/images of the disks looking into the PMC boundaries. The 2-D lattice of these disks may be treated as a periodic array of diffraction gratings.

TABLE I PARAMETERS OF THE WAVEGUIDE AND DIFFRACTION GRATING PROBLEMS

II. DIFFRACTION GRATING ANALYSIS OF MICROSTRIP STRUCTURE For application of 2-D diffraction grating analysis to the structure of Fig. 1, the field is assumed invariant in the -direction giving the TM case ( ) with PMC boundaries ( ) assumed at the microstrip edges. This limitation to TM modes is valid for frequencies (1) where is the speed of light in vacuum, is the relative permittivity of the background medium, is the cutoff frequency for the first TM mode, and therefore, is typically on the order of millimeters at microwave frequencies for typical substrate permittivities. The translational invariance of a 2-D diffraction grating (Fig. 2) permits the application of Bloch’s theorem and requires that the scattered field have a spatial period of in the -direction. Assuming field quantities are time harmonic with suppressed, the general expansion of the fields on either side of a single diffraction grating ( and , as defined in Fig. 2) are the TM modes [20] (2) where superposition of two modes for the symmetric case about as follows: (3)

Here and are the and components of the background wavenumber , and are summarized in the first two columns of Table I. In (2) and (3), the regions and in Fig. 2 are assumed homogeneous (free of scatterers) and the field is a weighted sum of these modes, as specified by . Imaginary values of produce evanescent modes and, consequently, only a few modes near propagate. In the case of the microstrip structure depicted in Fig. 1, PMC boundary conditions are assumed at the edges. Solutions satisfying these constraints are the TM parallel-plate waveguide modes (4) where the parameters of (4) are listed in the last column of Table I. Table I reveals that the modes supported by the microstrip structure are a subset of those of the symmetrically illuminated diffraction grating, the difference being the permissible values of . The presence of PMC boundary conditions in the waveguide case restricts to integer multiples of , producing a discrete spectrum of grating modes .

SCHAUB AND OLIVER: RAPID SIMULATION OF LINEAR PBG MICROSTRIP STRUCTURES USING RAYLEIGH MULTIPOLE METHOD

The foregoing discussion illustrates how the modal properand low frequencies ties of the microstrip structure for correspond precisely to those of a symmetrically illuminated diffraction grating. This correspondence allows the scattering by a disk to be found by solving the equivalent diffraction grating problem. For the circular disks of Fig. 1, the Rayleigh multipole method [20] is computationally efficient, an overview of which is found in the Appendix. Alternatively shaped dielectric discontinuities, such as square dielectric contrast elements, may be efficiently solved using a process based on Fourier expansion of the field and material permittivity [21]. III.

51

TABLE II PARAMETERS OF THE SIMULATED STRUCTURE

-PARAMETERS AND BAND DIAGRAM

The scattering parameters and band diagrams are derived from the interactions between the individual TM modes supported by the microstrip structure (4). These interactions are governed by the scattering processes induced by the dielectric discontinuities present in the waveguide. The scattered field due to a single grating, which may be a single disk or other discontinuity, is represented by reflection ( ) and transmission ( ) matrices that map the set of incident modes to reflected and transmitted modes. These matrices may be found using the techniques outlined in Section II and the Appendix. For multiple gratings and, as a consequence of the definition of (Table I), the field resulting from further diffraction of the diffracted orders remains within the initial set of diffracted directions. This holds for the reflected orders, including mixtures of reflections and diffractions. Mathematically this means the diffracted and reflected orders are closed under diffraction and reflection and allows the use of cascaded matrix products to compute successive diffractions and reflections and other quantities. For example, the reflection and transmission matrices and , respectively, for a double-grating structure is

(5) This process may be extended to any number of scattering gratings, producing the overall and matrices for the -grating structure depicted in Fig. 1. At low frequencies (where only the fundamental TEM mode propagates), the scattering matrix has and are the zeroth-order transmisa dimension of 2 and sion and reflection coefficients for normal incidence. Note that and in (5) explicitly include a diagonal propagator matrix that advances the phase between the disks

Fig. 3. Simulated S

The [20]

and

magnitude of the structure depicted in Fig. 2.

matrices also furnish the translation operator

(8) which translates modes in the -direction (Fig. 2). Modes supported by the microstrip structure are eigenmodes of this operator whose eigenvalues fall into two categories; those of unit modulus corresponding to eigenvectors of propagating Bloch modes and the remainder whose eigenvectors are evanescent modes. This information may be used to produce the photonic band diagrams [20], which provide a graphical representation of the propagating modes. Such a diagram may be used to analyze the dispersive characteristics of individual modes and confirm the presence or absence of a bandgap (stopband) region. IV. SIMULATION RESULTS

(6) where (7) and is the cell spacing, and and are the corresponding reflection and transmission matrices with a scattering reference plane at the center of the cell. Note that is once again the -component of the background wavenumber. Modification of the elementary and matrices in (5) or the cell spacing in (7) enables consideration of the -parameters for a nonperiodic structure as an aperiodic structure may be simulated using a combination of different diffraction grating arrays.

The microstrip device shown in Fig. 2 and described in Table II was simulated using the diffraction grating model magnitude (Fig. 3) and the band diagram to produce the (Fig. 4). These simulations were verified with Lumerical Solutions Inc.’s FDTD v. 4.0.4, a FDTD-based simulation (Fig. 3). The diffraction grating simulation of was performed by computing the zeroth-order transmission of a normally incident TM-polarized plane wave through a stack of ten diffraction gratings (below the cutoff frequency of the first TE mode at 300 GHz). While the and matrices of a single disk were computed to seven diffracted orders, the negligible power contained in the higher orders of the final matrix allowed the zeroth order to serve as a good approximation to .

52

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 4. Simulated band structure for structure described by Fig. 2.

The FDTD simulation was carried out on a 51 1005 uniform Cartesian grid with PMC boundaries along the microstrip and ) and absorbing boundaries at each edges ( end. The structure was excited with a plane wave traveling in -direction. The simulations are in good agreement. An the accurate 2-D FDTD simulation such as this required approximately 45 min on a PC, while the diffraction grating program completed the calculation in under 1 min on the same PC. The Rayleigh multipole method simulation data were also compared to data obtained using another standard simulation package HFSS V9.2 (Ansoft Corporation, Pittsburgh, PA). Using 2384 tetrahedra and 574 frequency points, similarly good agreement was found and, once again, the Rayleigh multipole method simulation was considerably faster (these HFSS calculations took approximately 1 h to complete). Fig. 4 shows the simulated band diagram arising from this calculation. As the disk period and microstrip width are equal, the structure is a square lattice and, consequently, has the Brillouin zone of a 2-D square PBG structure for the TM polarization. to multiples of confines the reciprocal The restriction of space to the cuts – and – in the irreducible Brillouin zone of a square lattice. The symmetry operations that leave the square lattice unchanged are described by the point group. These operations include rotations of , and reflecand . The latter property allows tions about – to replace – , giving a band diagram equivalent to the first two sections of a standard diagram defined by the traversal – – – [22]. While not strictly necessary, this equivalency permits previously computed band diagrams found in the literature to be readily applied to this microstrip filter. For example, the band diagrams found in [12] may be used to predict the electromagnetic characteristics of a microstrip structure fabricated from silicon disks ( ) whose radius equals 30% of the spatial period. The band diagram for the microstrip structure is further simplified as a result of the input/output ports being placed on the longitudinal axis of symmetry. This placement produces symmetric incident and scattered fields, restricting to 0 and to multiples of in (4). As a consequence, the Brillouin zone is limited to the line , which further reduces the diagram to just – . As expected, the edges of the bandgap match those of the stopband observed in the simulations of .

Fig. 5. Bandgap versus disk radius. The solid curves delineate the bandgap edges and the dashed curve represents the width of the bandgap (i.e., the difference between the bandgap edges). Note the maximum near r = 4 mm.

Fig. 5 shows the stopband edges as a function of radius for a fixed spacing of 19.625 mm. This figure was produced by running successive bandgap simulations (approximately 1 min per radius step) for radii ranging from zero to the spatial period of the lattice, and may be used to tailor the frequency response of the microstrip structure. In order to construct a filter that exhibits a maximized stopband, sapphire disks of radius 3.925 mm (commercially available from Silian Tech Company Ltd., Vancouver, BC, Canada) were used. V. EXPERIMENTAL RESULTS The planar diagram of the fabricated microstrip structure is shown in Fig. 6(a). From the equation that approximates the impedance of a microstrip line of constant substrate permittivity [23], (9) the structure’s impedance is found to be 9 in the regions that contain no disks. Two triangular tapers were used to match the microstrip line to the test equipment impedance of 50 . These tapers originate from the microstrip line and terminate at Ports 1 and 2 [see Fig. 6(a)]. The fabricated structure [see Fig. 6(b)–(d)] was constructed from three components. The ground terminals of the 50coaxial input/output connectors were fastened and soldered to the rectangular steel plate, which served as the ground plane [see Fig. 6(b)]. Adhesive copper tape (19.63-mm width, Venture Tape, 1697AT) was bonded to a piece of polypropylene sheet and connected to the center pins of the 50- coaxial input/output connectors, forming the second plate of the waveguide [see Fig. 6(c)]. The spacers and sapphire disks [see Fig. 6(c)] were placed with a spacing of mm between the two plates. These components were clamped using two flat rigid boards with the perforated polyethylene board [see Fig. 6(d)] serving as a spacer on the back of the waveguide to mimic an air medium above the microstrip (as in Fig. 1). Fig. 7 shows a comparison between and magnitude measured with a calibrated vector network analyzer (Anritsu Model 360B) and the simulated results in Fig. 3. There is good agreement apart from frequencies at the next passband where an attenuation of 15 dB is observed.

SCHAUB AND OLIVER: RAPID SIMULATION OF LINEAR PBG MICROSTRIP STRUCTURES USING RAYLEIGH MULTIPOLE METHOD

Fig. 6. (a) Plan view of the simulated microstrip PBG structure. All dimensions are in millimeters. The microstrip width equals the disk spacing. (b)–(d) Photograph of device including: (b) ground plane with SMA connectors fastened underneath, (c) microstrip, sapphire disks, and spacers resting on polypropylene sheet, and (d) polyethylene board used to minimize leaky modes.

53

Fig. 7. Simulated and measured S [see Fig. 7(a)] and S [see Fig. 7(b)] magnitude of the structure depicted in Fig. 2. Note good agreement and bandgap from 4 to 7 GHz, as shown in the band structure (Fig. 6) and design optimization (Fig. 4).

VI. DISCUSSION The low-frequency ripples may be caused by the impedance matching triangular tapers, while calibration error and the receiver noise floor error may be the source of error seen at the center of the bandgap. The attenuation above the bandgap can arise from insufficient contrast between and (fringing may give rise to leaky modes) and also from lack of uniformity in along the structure. The spacers and disks were machined and placed to minimize variance in and the microstrip backside (perforated polyethylene board) was a low material to inhibit leaky modes. Earlier trials involving similar structures with mm (i.e., ) exhibited still greater attenuation above the bandgap, suggesting that the contrast between and is the dominant cause of this discrepancy. As shown in Fig. 7, yields good agreement between experiment and theory and this ratio is suggested as a good guide for future study.

VII. CONCLUSION This paper has demonstrated that periodic modulation of substrate permittivity in microstrip structures is closely related to the theory of diffraction gratings and 2-D PBG structures. Furthermore, this association permits modeling such structures with diffraction grating analysis techniques such as the Rayleigh multipole method. This approach has completed equivalent simulations in a more computationally efficient manner than either Lumerical Solutions Inc.’s FDTD or Ansoft Corporation’s HFSS and may be used to rapidly tune device performance and calculate the -parameters and quasi-2-D band structure.

APPENDIX RAYLEIGH MULTIPOLE METHOD The reflection and transmission coefficient matrices are derived here using the Rayleigh multipole method outlined in [20]. A diffraction grating comprised of circular cylinders may be considered a 1-D linear array of field sources with the fields for the case described by

(A1) where is the incident field on the grating, is the vector describing the elementary lattice translation of the grating, is the background dielectric wavenumber of the incident field, and is the space occupied by the cylinders. Note that this expression is valid only for exterior to the cylinders. ) and In the annular region around the central cylinder ( up to its nearest neighbor, the field may be expressed as

(A2) where the Bessel function of the first kind includes the scattered field produced by the other cylinders and incident field. The

54

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

relationship between the and coefficients is given by the boundary conditions at the cylinder interface ( )

(A3) where denotes differentiation with respect to , denotes the refractive index of the cylinder relative to that of the background dielectric, and is the cylinder radius. Substituting (A3) into (A2) and equating the result with (A1) yields

Fig. 8. Domain and boundaries of integration for recovering diffracted and reflected orders.

is acknowledged that there are many pathways for numerical integration, some of which may complete these calculations more quickly. Following the work of [28], the diffracted orders may be found using the periodic free-space Green’s function

(A4)

(A9)

Using Graf’s addition theorem [24], this expression becomes where

(A5)

Inserting (A1) and (A9) into Green’s first theorem, and noting that is the domain formed by one unit cell and is the domain formed by the cylinder contained in the cell (Fig. 8),

Noting that both sides of (A5) are Fourier series with respect enables terms of a common index to be equated as to follows: (A6) (A10) are the terms of the cylindrical harmonic expansion where -polarized plane wave [24] and of an incident

Using properties of the Wronskian for Bessel functions of integer order [24] yields the diffracted orders and reflected orders for normal incidence ( )

(A7) In turn, this produces the linear system of equations (A8) (A11) This infinite dimensional system of equations must be truncated at a suitable for computation of . Equation (A7), often termed the lattice sum, is slowly convergent. An appropriate Poisson summation and careful choice of integral representation of the Hankel functions renders a more quickly converging series for [25] and [26]. For verification purposes, numerical integration of a transformed function [27] has yielded identical results, albeit with more computing time. It

(A12) These sums are evaluated to a finite and , which are indices for the diffracted orders and cylindrical harmonic orders,

SCHAUB AND OLIVER: RAPID SIMULATION OF LINEAR PBG MICROSTRIP STRUCTURES USING RAYLEIGH MULTIPOLE METHOD

respectively. Decomposing (A11) and (A12) into the diffracted and reflected orders produces the and matrices

(A13) (A14) When calculated with (normal incidence), these elements form the and matrices of (8), which were used to compute the optimal radius (Fig. 4) and simulate the band strucmagnitude of the structure (Figs. 3 and 4). ture and ACKNOWLEDGMENT The authors express gratitude to G. E. Bridges, Department of Electrical and Computer Engineering, The University of Manitoba, Winnipeg, MB, Canada, for constructive comments and discussions during preparation of this paper’s manuscript. REFERENCES [1] E. Yablonovitch, “Inhibited spontaneous emission in solid-state physics and electronics,” Phys. Rev. Lett., vol. 58, pp. 2059–2062, May 1987. [2] J. D. Joannopoulos, P. R. Villeneuve, and S. Fan, “Photonic crystals: Putting a new twist on light,” Nature, vol. 386, pp. 143–149, Mar. 1997. [3] J. S. Foresi, P. R. Villeneuve, J. Ferrera, E. R. Thoen, G. Steinmeyer, S. Fan, J. D. Joannopoulos, L. C. Kimerling, H. I. Smith, and E. P. Ippen, “Photonic-bandgap microcavities in optical waveguides,” Nature, vol. 390, pp. 143–145, Nov. 1997. [4] M.-H. Weng, R.-Y. Yuan, T.-H. Huang, H.-J. Chen, W.-N. Chen, and M.-P. Houng, “Spurious suppression of a microstrip filter using three types of rectangular PBG loops,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 52, no. 3, pp. 487–490, Mar. 2005. [5] F. Zhu, Q. Lin, S. He, J. Hu, and Z. Ying, “A high directivity patch antenna using a PBG cover together with a PBG substrate,” in Proc. 6th Int. Antennas, Propag., EM Theory Symp., Beijing, China, Nov. 2003, pp. 92–95. [6] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [7] Y.-J. Park, A. Herschlein, and W. Wiesbeck, “A photonic bandgap (PBG) structure for guiding and suppressing surface waves in millimeter-wave antennas,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1854–1859, Oct. 2001. [8] F. J. Glandorf and I. Wolff, “A spectral-domain analysis of periodically nonuniform microstrip line,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 3, pp. 336–343, Mar. 1987. [9] D. Nesic and A. Nesic, “1-D microstrip PBG bandpass filter without etching in the ground plane and with sinusoidal variation of the characteristic impedance,” in Proc. 5th Int. Telecommun. in Modern Satellite, Cable, Broadcast. Service Conf., Nis, Yugoslavia, Sep. 2001, vol. 1, pp. 181–183. [10] P. Baccarelli, C. D. Nallo, S. Paulotto, and D. R. Jackson, “A full-wave numerical approach for modal analysis of 1-D periodic microstrip structures,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1350–1362, Apr. 2006. [11] J. D. Joannopoulos, R. D. Meade, and J. N. Winn, Photonic Crystals. Princeton, NJ: Princeton Univ. Press, 1995, ch. 4.

55

[12] K. Inoue and K. Ohtaka, Photonic Crystals: Physics, Fabrication and Applications. Berlin, Germany: Springer-Verlag, 2004, ch. 2. [13] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [14] F. Falcone, T. Lopetegi, and M. Sorolla, “1-D and 2-D photonic bandgap microstrip structures,” Microw. Opt. Technol. Lett., vol. 22, pp. 411–412, Sep. 1999. [15] D. Ahn, J.-S. Park, C.-S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [16] C.-S. Kim, J.-S. Park, D. Ahn, and J.-B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guided Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2000. [17] N. Shino and Z. Popovic´ , “Radiation from ground plane photonic bandgap microstrip waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 1079–1082. [18] Q. Yongxi, V. Radisic, and T. Itoh, “Simulation and experiment of photonic bandgap structures for microstrip circuits,” in Proc. Asia–Pacific Microw. Conf., Hong Kong, Dec. 1997, vol. 2, pp. 585–588. [19] Q. Yongxi and T. Itoh, “Planar periodic structures for microwave and millimeter wave circuit applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, vol. 4, pp. 1533–1536. [20] L. C. Botten, R. C. McPhedran, N. A. Nicorovici, A. A. Asatryan, C. M. de Sterke, P. A. Robinson, and K. Busch, “Rayleigh multipole methods for photonic crystal calculations,” Progr. Electromagn. Res., vol. 41, pp. 21–60, 2003. [21] V. M. Fitio and Y. V. Bobitski, “Diffraction analysis by periodic structures using a method of coupled waves,” Opto-Electron. Rev., vol. 13, pp. 331–339, 2005. [22] K. Sakoda, Optical Properties of Photonic Crystals. Berlin, Germany: Springer-Verlag, 2001, ch. 3. [23] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005, ch. 3. [24] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Functions. New York: Dover, 1972, ch. 9. [25] F. Oberhettinger, Fourier Expansions. New York: Academic, 1973, ch. 3. [26] V. Twersky, “Elementary function representations of Schlömilch series,” Arch. Ration. Mech. Anal., vol. 8, pp. 323–332, Jan. 1961. [27] K. Yasumoto and K. Yoshitomi, “Efficient calculation of lattice sums for free-space periodic Green’s function,” IEEE Trans. Antennas Propag., vol. 47, no. 6, pp. 1050–1055, Jun. 1999. [28] N. Nicorovici, A. A. Asatryan, R. C. McPhedran, C. M. de Sterke, and P. A. Robinson, “Formulation for electromagnetic scattering and propagation through grating stacks of metallic and dielectric cylinders for photonic crystal calculations. Part I. Method,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 17, pp. 2165–2176, Dec. 2000. D. E. Schaub (S’01) received the B.Sc. degree in computer engineering from the University of Manitoba, Winnipeg, MB, Canada in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the University of Manitoba. Mr. Schaub was the recipient of the 2004 Faculty Gold Medal presented by the University of Manitoba.

D. R. Oliver (M’01) received the B.Sc. (Hons) degree in physics from the University of Western Australia, Perth, Australia, in 1993, and the Ph.D. degree from Monash University, Clayton, Australia, in 1998. In 2000, he joined the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada, where he is currently an Associate Professor. His research interests include the development of dynamic scanning probe techniques, designing metamaterials with switchable PBGs, and novel electrical stimulation protocols for relieving seizure-like activity.

56

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Broadband Active Receiving Patch With Resistive Equalization Daniel Segovia-Vargas, Member, IEEE, David Castro-Galán, Luis Enrique García-Muñoz, Member, IEEE, and Vicente González-Posadas

Abstract—The active integrated antenna (AIA) concept has been applied to design a broadband receiver front-end. A design procedure for active broadband patch antennas based on resistive equalization is presented. The use of the AIA has allowed the design of efficient RF front-ends by increasing its effective gain, noise figure, . In addition to improving the classical parameand merit ters, the resistive equalization technique allows enlarging the bandwidths of the active antenna parameters versus the corresponding ones in passive antennas. A receiving patch antenna (with one amplifying stage) with a measured noise figure lower than 0.6 dB in a bandwidth over 38% and an effective gain improvement of 13 dB over the corresponding passive antenna has been achieved. The -parameter has also been measured for both the active and passive antenna, resulting in a relative planar of 16 dB/K, with a ripple of 0.5 dB, over a 30% bandwidth for the active antenna versus a of 22 dB/K for a typical front-end with a passive antenna over 20% bandwidth. Index Terms—Active integrated antennas (AIAs), broadband, full integration, receiver.

I. INTRODUCTION

A

CTIVE integrated antennas (AIA) constitute a growing area of research in recent years [1], [2]. An AIA can be regarded as an active microwave circuit in which the output or input port is directly connected to the antenna probe without any matching network. This implies that the antenna must provide the optimal impedance for the corresponding circuit functions: amplifying, oscillating, or mixing. The main advantages of active receiving antennas over passive ones are the increase (by reducing the of its effective gain and its figure-of-merit equivalent noise figure). The effective gain has no mean from the radiation point of view since it is larger than the antenna directivity and it is related with the parameter introduced in the link budget equation. The active amplifying receiving antenna will consist of a MESFET transistor directly connected to a patch

Manuscript received January 11, 2007; revised June 17, 2007. This work was supported in part by the Spanish Ministry of Education and Science of Spain (MEC) under Project TEC2006-13248-C04-04/TCM and under the MEC Formación de Personal Universitario (FPU) Programme. D. Segovia-Vargas, D. Castro-Galán, and L. E. García-Muñoz are with the Department of Signal Theory and Communications, Carlos III University, 29811 Leganés, Madrid, Spain (e-mail: [email protected]; [email protected]; [email protected]). V. González-Posadas is with the Departamento de Ingeniería Audiovisual y Comunicaiones, Polytechnic University of Madrid (UPM), 28031 Madrid, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912008

antenna. A study of the impedance matching for a narrowband AIA has been investigated in [3]. The design of (broadband) active patch antennas has to simultaneously deal with the radiator itself and with the active element. From the antenna point of view, wideband or dual frequency characteristics are the result of coupled resonances. Stacked patch antennas, where the impedance parameter can be modified by changing the feeding point or displacing the upper patch over the main one, were proposed in [4] to achieve passive wideband antennas. In that case, the antenna impedance is real. For the case of a broadband active antenna, the antenna impedance is no longer real, but complex, and must not show a large variation with frequency. In addition, it has to be adjusted to the field-effect transistor (FET) optimum noise impedance (that usually does not present a large variation with frequency). Concerning the active element, several broadband amplifying topologies have been used [5]–[7]: reactive equalization, resistive equalization, feedback, and distributed amplifiers. The reactive technique has been discarded because of its instability problems (especially at lower frequencies) and noncompact design. Between the others, it is not clear which topology offers the overall best performance regarding the noise and gain levels and bandwidth flatness [6]. However, the resistive equalization technique with high-impedance lines presents the best noise performance and the most compact design to integrate with an active receiving antenna. The design of broadband active antennas has not received very much attention yet. In [8], a broadband active patch antenna was presented from a passive one [9] that was externally matched with a reactive network achieving an impedance bandwidth of 12.5% centred at 3.2 GHz. One or several amplifying stages (with FET transistors) were then added. This technique did not provide a compact design. In addition, stability problems can arise and cause the active receiving antenna to oscillate. To avoid oscillating problems, two resistors at the gate and drain were included. This could probably reduce the effective gain and increase the noise figure. In [10], a broadband active antenna based on a resistive equalization technique was presented. However, the radiating element was a resonant antenna and no study on the bias conditions and on the gate resistance was made. This (around fact yielded to lower values of the effective gain 3 dB) and their corresponding bandwidths. Recently, a different application on wideband AIA has been presented in [11]. This AIA deals with transmitting high-efficiency wideband transmitting antennas. This paper presents a new approach for the design of a broadband active receiving patch with resistive equalization

0018-9480/$25.00 © 2007 IEEE

SEGOVIA-VARGAS et al.: BROADBAND ACTIVE RECEIVING PATCH WITH RESISTIVE EQUALIZATION

57

technique. The design simultaneously focuses on both the antenna and MESFET. Firstly, the antenna is forced to work far away from its resonance region. Secondly, the antenna impedance variation in this area is softened by the inclusion of an equalizing resistor that also affects the active element. Finally, the overall noise of the broadband receiving antenna is not increased by including high-impedance lines and placing the antenna impedance in the MESFET optimum noise region. In this way, the active antenna bandwidth (with regard to gain ), effective gain, and are increased versus their or passive counterparts. II. DESIGN OF BROADBAND ACTIVE RECEIVING ANTENNAS BASED ON RESISTIVE EQUALIZATION As a design example, a broadband receiving patch antenna covering the DCS-1800 and Universal Mobile Telecommunications Service (UMTS) bands has been built. Its transmitting counterpart was presented in [12] where the starting point was optimizing the maximum power gain instead of the minimum noise figure. The most important difference between both designs is that the transmitting one was done under the assumption of a load impedance of , while the receiving design has been done with complex source impedance. For the receiving design, the generalized -parameter has to be used.

Fig. 1. Loci of the antenna impedance for a double stacked patch with negative displacement of the upper patch over the lower one for a position of the feeding point 4 mm away from the center of the lower patch.

A. Antenna Design The antenna consists of an offset displaced double stack patch antenna [4]. The displacement of the upper patch over the lower helps to separate in frequency the loci of the real impedances. The offset can be positive (towards the feeding point) or negative [4]. If the designed antenna were passive, the displacement would be positive. For the active antenna case, the direction of the displacement is not related to the design purpose. For this study, the negative displacement has been chosen. The charac, subteristics of this prototype are substrate permittivity, strate height of 6 mm, patch side of 38 mm, the negative displacement of the upper patch over the lower one is 10 mm, and the feeding point is placed 4 mm away from the center of the lower patch. For this case, a loop at the left part of the Smith chart (Fig. 1) shows that the two antenna impedance real values are around 1900 and 2200 MHz. In addition, the frequency antenna impedance variation is quite fast. The active element is the MESFET ATF34143 from Avagotech, San Jose, CA. The optimum noise region of this at 1.8 GHz). transistor is shown in Figs. 1 and 2 (i.e., 0.74 The upper patch and feeding point can be moved to change the antenna impedance locus in order to perform a direct integration of a previous antenna in a receiving AIA topology. For this case, the feeding point has been displaced (outwards to 9 mm) to rotate the antenna loop locus towards the FET optimum noise impedance region. The antenna then does not work in its resonance region any longer, but far away from it (Fig. 2). However, the nonresonant antenna also presents a large variation of its impedance. This does not fit the antenna impedance locus to the FET impedance locus in a wideband sense.

Fig. 2. Loci of the antenna impedance for a double stacked patch with negative displacement of the upper patch over the lower one for a position of the feeding point 9 mm away from the center of the lower patch.

The addition of a resistor (the optimum value of this resistor will be obtained in Section II-B) will get the antenna impedance loop narrower. In this way, a larger margin of frequencies are included in the loop. This fact fits the antenna impedance locus to the optimum noise FET impedance locus. This is shown in Fig. 3. It can be seen that the ATF34143 optimum noise impedance moves in a counter clockwise sense with frequency, while the antenna impedance moves in a clockwise sense with frequency (Fig. 3). This results in a very small mismatching at

58

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 3. Patch impedance (dashed line) and minimum noise (continuous line with ) for the DCS-UMTS bands.

the interface between the antenna and MESFET through the proposed bandwidth. B. Broadband Direct Integration Between the Antenna and Active Element The value of the previous resistor will have to be optimized in the overall integration so that the loss of the patch efficiency and the increase of noise parameter in the AIA are as low as possible. The design procedure for the integration between the antenna and MESFET can be summarized in the following three steps. Step 1) The first aim is twofold and can be formulated as follows: conjugate matching at the interface between the antenna and MESFET and minimum noise figure in a broad bandwidth at the same time. Step 2) Transducer gain as large as possible in a broad bandwidth. Step 3) Low reflection coefficient at the output of the active antenna. The fact that antenna impedance is complex and far away from the resonance condition makes the use of the generalized scattering parameters (see [13] and [14]) suitable for the design technique (instead of the normal -parameters). The matching condition then implies that (1) where denotes the MESFET -parameter matrix, super denotes the generalized scattering parameter, is index and are the low-noise the input MESFET impedance, amplifier (LNA) source and load impedances, denotes the is the antenna MESFET optimum noise impedance, and impedance. Without loss of generality, the equations for the generalized scattering parameters can be simplified for the case and ( and are the characteristic where impedance and admittance) as follows:

(2)

Fig. 4. Dependence on the generalized s (s parameter on the equivalent gate resistance.

) for a load condition of

The design conditions in (1) and the expression for (2) implies that

Z

,

in

(3) must The previous equation states that the conjugate of equal the minimum noise impedance. The inclusion of lossy shunt elements connected to the gate and drain of the FET ( and ) helps to modify the value of the -parameter, shown in (4) as follows:

(4) where the -parameters are the MESFET -parameters. A study of the variation of the -parameter versus the gate resistance (defined as the overall resistance present at the gate) and for a bias conditions of is shown in Fig. 4 (for V and V, mA; the optimum noise figure for this bias condition is around 0.25 dB). When no equalizing resistor is set, is near 1, resulting in a mismatching condition. The presence of an equalizing resistor makes reduce from a value near 1 to a value around 0.25 for an equivalent gate resistance of 40 . If the equivalent gate resistance is increased from that value, it can be seen that the -parameter shows worse performance. The inclusion of the lossy shunt elements modifies the noise parameters. For the common case where ( being the resistance of the equivalent noise voltage generator), the minimum noise figure is given by

(5)

SEGOVIA-VARGAS et al.: BROADBAND ACTIVE RECEIVING PATCH WITH RESISTIVE EQUALIZATION

59

Fig. 6. Simplified equivalent circuit for the FET at low frequencies.

Fig. 5. Noise figure of the active receiving antenna versus frequency with R =Z as parameter for a fixed R =Z ratio.

The sub-index indicates the correlation between the two noise generators (voltage and current), while the super index denotes the overall noise figure with the inclusion of the equalizing resistors given as (6) This results in an optimum noise admittance that depends on the equalizing gate admittance and the MESFET parameters that is given as

(7) From (5)–(7), we conclude that the optimal noise figure does not depend on the drain conductance, but only on the gate admittance. A study on the dependence of the noise figure (for the previous bias conditions) versus several gate conductances increases, so is presented in Fig. 5. It can be seen that as does the overall noise contribution. From Figs. 4 and 5, it can be seen that values around 40–50 present a suitable tradeoff between the low noise figure and the in a broad bandwidth. low as The second step is to achieve a transducer gain large as possible. This parameter is given in (8) as

(8) is fixed by the minimum noise impedance, the genAs depends entirely on the transmitting scattering eralized . Since the MESFET presents greater instability parameter analysis has been studied problems at lower frequencies, the at these frequencies, resulting in (9)

is the transconductance. Fig. 6 shows the simplified where equivalent circuit for the FET (inside the dashed square) at low frequencies. From Fig. 6 and (9), the following can be concluded. increases, the max• At very low frequencies, when imum transducer gain also does because small current passes through that resistance. For higher frequencies, larger values of make lower values of transfer gain. There then exists a value for which a planar gain occurs. At very high frequencies, does not substantially modify the transducer gain. The optimization has been done with Microwave Office from Applied Wave Research . (AWR), and has resulted in a value for • At low frequencies, as increases, the maximum available gain also does. It must also be noted that, at higher frequencies, low values of have large gains, while large values of have low gains. As the MESFET transfer characteristic decreases with frequency, the lossy elements introduced will have to equalize at lower frequencies without disturbing at higher ones. This will be achieved by connecting these lossy elements to the MESFET through high impedance lines that reduce the effect of losses at upper frequencies and equalize the active antenna at lower ones. As this gate conductance is connected to the antenna via high-impedance lines, the effect of increasing the noise level at higher frequencies would be significantly less important than at lower frequencies. After optimizing the overall circuit (through simulation the equalizing resistors and the high impedance lines with AWR), a value of has been chosen. Besides, the inclusion of these losses has avoided the MESFET oscillating at lower frequencies where the input impedance is close to an open circuit. A tradeoff for must then be done to find a planar gain the value of of the active antenna. • The last parameters considered in the design are the highimpedance lines. These lines are designed together with and to compensate the effect of losses at higher frequencies. An optimal value for these parameters is around 90 with an electrical length around 90 . Finally, concerning the return losses at the output of the active antenna, it can be concluded that the presence of the lossy shunt elements lowers the overall reflection coefficient of the active antenna.

60

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I SUMMARY OF ACTIVE ANTENNA PARAMETERS

Fig. 8. Active antenna.

Fig. 7. Schematic of the resistive equalized broadband active antenna.

All the design parameters are summarized in Table I. In addition, the schematic of the corresponding broadband active antenna is presented in Fig. 7. III. Experimental Results The passive antenna is a dual frequency antenna with two resonances: one at 1900 MHz (reflection coefficient of 10 dB with a bandwidth of 6% at 7 dB) and 2200 MHz (reflection coefficient of 25 dB with a bandwidth of 2% at 10 dB). The performance of this antenna is quite poor at the first frequency. The active antenna is based on a two-sided antenna where the active part (at the back side) directly feeds the radiating patch through a probe (at the front side). Fig. 8 is a photograph of the active antenna. The first parameter to be measured in active antennas is the effective gain. A discussion on the concept of gain on active antennas can be found in [15]. As was stated in Section I, the effective gain has no sense from the antenna point of view and can result in a value larger than the directivity of the corresponding passive antenna because of the amplifier transducer gain. The is given as expression for the effective gain

(10)

Fig. 9. Measured transmission coefficients between reference antenna and passive or active microstrip antenna.

where is the observing direction, sub index refers to the active antenna, represents the reflection coefficient, and denotes the losses. It must be pointed out that the directivity of the active antenna differs from that of the passive one since the active antenna is far away from the resonance condition. It is composed of three terms must also be emphasized that that cannot be directly measured since the amplifier is directly embedded in the antenna. Fig. 9 shows the measured effective gain of the passive and active antennas. The gain bandwidth can be defined according to the ripple seen in the gain pattern. In the active antenna, there is then an effective gain of 20 dB with a ripple of 3 dB from 1550 to 2150 MHz (32%), while in the passive antenna, a gain of 7 dB with a ripple of 3 dB extends from 1650 to 2200 MHz (29%). A slight increase in the effective gain bandwidth appears in the active antenna versus the passive one next to a little displacement in the radiation gain bandwidth. It is logical that this enlargement in the gain bandwidth is not large since the introduction of the MESFET does not substantially modify the radiation characteristics. Fig. 10 shows the measured and simulated transducer power gain of the amplifier, or when it is the same, the increase of the effective gain in the active antenna versus the passive one. It must be noted that the simulated transducer gain has been obtained by substituting 50 of the amplifier by an impedance

SEGOVIA-VARGAS et al.: BROADBAND ACTIVE RECEIVING PATCH WITH RESISTIVE EQUALIZATION

61

Fig. 10. Simulated (dotted line) and measured (solid line) transducer gain of the amplifier.

port whose value is the antenna impedance. This parameter has been optimized through a bandwidth where its value does not fall more than 3 dB. The simulation presents a gain improvement around 13 dB for a bandwidth between 1600-2400 MHz. However, the measured parameter slightly differs from the simulated one and shows a difference larger than 13 dB over a bandwidth from 1500 to 2400 MHz (46%). The peaks in this pattern appear in the corresponding output resonant frequencies. The difference between simulation and measurement in the gain values (e.g., 18 dB at 1600 MHz in the measurement versus 14 dB in the simulation) are due to the frequency displacement in the radiation gain. In active receiving antennas, the parameter that contains all the information on the performance of the active antenna is the . This parameter remains constant along the figure-of-merit entire receiver and, in the active antenna, its components ( or ) cannot be separately measured. A discussion on the -parameter in active antennas has been made in [16] and [17]. As the active element is directly connected to the antenna, the losses between the amplifier and antenna are only due to the radiation efficiency. These losses can be included in a new loss . It must also be noted that the actual active anfactor tenna temperature (not physically available) is not the same depends on the as the one in the passive system counterpart. overall antenna pattern, which, for the active antenna, is not exactly the same as that for the passive one. The figure-of-merit in an active antenna is then given as (11), shown at the bottom is the optimum noise figure, and of this page, where is the receiver equivalent noise temperature (the dependence on

Fig. 11. Test setup configuration for the passive and active antennas.

has been omitted). The noise contributions in the active antenna , which is the only parameter that are summarized in the can be directly measured by applying a conventional on–off method [18]. A comparison between the behavior of the passive antenna and the active antenna has been made by measuring both antennas in an anechoic chamber. The setup configurations for both the passive and active antennas are shown in Fig. 11. For the measurement procedure, the active antenna is placed in the anechoic chamber and connected to the receiver (with a noise figure of 8 dB) through a conventional 50- transmission line (with losses of 2 dB). The dual-frequency resonant passive antenna is connected to the LNA through a similar transmission line, but with different lengths. For one case, this transmission line has the same length as in the active antenna measurement setup; this implies losses of 2 dB. For the second case, the length of the transmission line is the minimum to connect the passive antenna to the LNA; this implies losses of 0.5 dB. Finally, the broadband LNA is similar to the used in the active antenna: resistive equalized in a 50- impedance system with a gain of 13 dB. The gain of the passive antenna is 7 dB with a ripple of 1.5 dB. of the passive antenna then follows the gain beThe havior and is 22 dB (for the case of 2-dB losses) and 20 dB

(11)

62

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 13. Noise figure of the amplifier embedded in the broadband receiving patch antenna: simulated and measured.

Fig. 12. (top) G=T measurements for the active antenna for bias conditions = 1:5 to 3:5 V and G=T for the passive antenna connected to the from V LNA through a 2-dB transmission line. (bottom) G=T measurement for the active antenna for V = 0:5 V and for the passive antenna connected to the LNA through lines with 2- and 0.5-dB losses.

(for the case of 0.5-dB losses) with a ripple of 1.5 dB, as can be seen in the lower part of Fig. 12. For the stated flatness, the bandwidth for the passive antenna extends from 1500 to 1900 MHz, implying a bandwidth of 20%. For the active antenna case, it can is 16 dB/K in a bandwidth between be seen (Fig. 12) that 1500–2050 MHz (a 30% bandwidth) with a ripple of 0.5 dB V and V. That for the bias conditions of implies an improvement in the active antenna between 6–4 dB over the corresponding passive antenna parameter. has been measured, the overall noise contribution Once from the active antenna can be determined from (11) resulting in standard anechoic chamber conditions (12) is the equivalent noise temperature for the active system. is large enough, the noise figure is mainly determined When by the first term in (12).

Fig. 14. Simulated (dotted line) and measured (solid line) output return loss parameters of the active receiving antenna in front of the measured reflection coefficient for the passive double stacked displaced antenna.

The noise figure of the attached amplifier is a measurement of the internal noise produced by the active circuitry in the active receiving antenna. It must be noted that as the LNA is a nonseparable part of the active antenna, it cannot be directly measured. However, if the conditions stated in (11) and (12) are followed for an antenna temperature of 290 K (anechoic chamber conditions), the noise figure can be obtained. Fig. 13 shows the noise and the simulated one. figure obtained from the measured A noise figure lower than 0.5 dB in a bandwidth between 1.7–2.4 GHz has been simulated. This value is coherent with the analysis presented in (12) since the antenna impedance approximately equals the optimum source impedance (noise figure of for the double stacked 0.25 dB) and the antenna efficiency displaced antenna has been measured and is around 95%. This implies an extra noise figure of 0.22 dB, which agrees with the value shown in the simulation. The measured value extracted

SEGOVIA-VARGAS et al.: BROADBAND ACTIVE RECEIVING PATCH WITH RESISTIVE EQUALIZATION

63

Fig. 16. Measured polarization pattern of passive (dotted line) and active antenna (solid line) for a bias condition of V = 2 V and V = 0:6 V at 2000 MHz.

0

Fig. 15. Measured E -plane radiation patterns for passive (dotted line) and active antenna (solid line) for a bias of V = 2 V and V = 0:6 V for 1800 and 2200 MHz.

0

from the measurement agrees quite well with the simulated value. The measured noise figure is relative flat and lower than 0.6 dB in a bandwidth from 1.75 to 2.55 GHz (a 2% frequency displacement has occurred). This results in a 38% bandwidth. Finally, Fig. 14 shows the impedance bandwidth of the passive and active antenna ( -parameter for the active case). The simulated parameter of the active antenna shows three resonant frequencies at 1700, 2100, and 2300 MHz. The reason for this new dip is the varying capacitor of the MESFET equivalent circuit. This capacitor changes its value with the gate-to-source . When this capacitor changes its value, the resovoltage nant circuit formed by the LC circuit of the patch itself and the varying capacitor of the MESFET can produce new resonances. A clear improvement in the impedance bandwidth of the active antenna versus the passive one has been obtained. (It can be noticed that the passive antenna is a dual frequency antenna, while the active one presents a broadband behavior).

From the radiation point of view, Fig. 15 shows the radiation patterns for the passive and active antennas. It can be appreciated that there is not great difference between the active and passive antenna radiation pattern, except that the beamwidth of the active antenna is slightly narrower. Besides, it can also be seen that, at the higher frequency (2200 MHz), there is a little distortion in the radiation pattern of the active antenna. Fig. 16 shows the polarization pattern for the -plane for a low noise bias condition at the central frequency of 2000 MHz. The maximum in this diagram is set at approximately 85 ; when the probe antenna rotates in the roll axis 90 , the minimum level in the diagram (at approximately 175 ) will denote the cross-polarization level. Fig. 16 shows that there is no generation of a cross-polarization component due to the presence of the active circuit. The cross-polarization level of 30 dB for the passive antenna has been slightly reduced to 28 dB in the active antenna. It can also be said that this ratio has been kept during the overall frequency bandwidth. The dependence of the polarization isolation with the bias conditions must also be mentioned. III. CONCLUSION In this paper, a new approach for the design of broadband active receiving patch antennas has been proposed. This method is based on a nonresonant radiating element integrated with a broadband amplifier with an impedance different from 50 . The gate and drain resistors and high-impedance lines have been values and large derived for the design conditions of large effective gain over broad bandwidths. A receiving patch antenna (with one amplifying stage) with a “measured” noise figure lower than 0.6 dB in a bandwidth over 38% and an effective gain improvement of 13 dB over the cor-paresponding passive antenna has been achieved. The rameter has also been measured for both the active and passive of 16 dB/K with antennas, resulting in a relative planar a ripple of 0.5 dB over a 28% bandwidth for the active antenna

64

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

versus a from a 20% bandwidth.

20 to

22 dB/K for the passive one over

ACKNOWLEDGMENT The authors wish to thank Prof. J. M. Gómez Pulido, University of Alcalá de Henares, Alcalá de Henares (Madrid), Spain, for his help in the measurement of the radiation patterns of the active and passive antennas. The authors also wish to thank Dr. C. M. Pascual, Carlos III University, Madrid, Spain, for the discussion on this paper. The authors also wish to thank the anonymous reviewers for their discussion during the review process. REFERENCES [1] K. Chang, R. A. York, P. S. Hall, and T. Itoh, “Active integrated antennas,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 937–944, Mar. 2002. [2] Y. Qian and T. Itoh, “Progress in active integrated antennas and their applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1891–1900, Nov. 1998. [3] A. S. Andrenko, Y. Ikeda, M. Nakayama, and O. Ishida, “Impedance matching in active integrated antenna receiver front end design,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 16–18, Jan. 2000. [4] E. Rajo-Iglesias, D. Segovia-Vargas, J. L. Vázquez-Roy, V. GonzálezPosadas, and C. Martín-Pascual, “Bandwidth enhancement in non-centered stacked patches,” Microw. Opt. Technol. Lett., pp. 53–56, Oct. 2001. [5] K. B. Niclas, “On design and performance of lossy match GaAs MESFET amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 11, pp. 1900–1907, Nov. 1982. [6] K. B. Niclas, “Multioctave performance single ended microwave solid state amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 8, pp. 896–908, Aug. 1984. [7] H. J. Carlin, “A new method of broadband equalization applied to microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 93–99, Feb. 1984. [8] H. An, B. Nauwelaers, and A. Van de Capelle, “Broadband active microstrip antenna design with the simplified real frequency technique,” IEEE Trans. Antennas Propag., vol. 42, no. 12, pp. 1612–1619, Dec. 1994. [9] H. An, B. Nauwelaers, and A. Van de Capelle, “Broadband microstrip antenna design with the simplified real frequency technique,” IEEE Trans. Antennas Propag., vol. 42, no. 2, pp. 129–136, Feb. 1994. [10] D. Segovia-Vargas, V. González-Posadas, D. Castro-Galán, J. L. Vázquez, and E. Rajo, “Broad band active receiving microstrip antenna for DCS-UMTS,” in IEEE AP-S Int. Symp., Jun. 20–25, 2004, vol. 4, pp. 3935–3938. [11] H. Kim and Y. J. Yoon, “Wideband design of the fully integrated transmitter front-end with power added efficiency,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 916–924, May 2007. [12] D. Segovia-Vargas, V. González-Posadas, E. Rajo, J. L. Vázquez-Roy, L. Inclán, and C. Martín, “An active broadband transmitting patch antenna for GSM-1800 and UMTS,” Microw. Opt. Technol. Lett., pp. 350–354, Jun. 2004. [13] R. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [14] K. Kurokawa, “Power wave and the scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 194–202, Mar. 1965. [15] A. J. Simmons and D. G. Bodnar, “Gain of active antenna systems: Antenna standards committee requests input,” IEEE AP-S Newslett., pp. 62–64, Oct. 1989. [16] J. J. Lee, “G=T and noise figure of active array antennas,” IEEE Trans. Antennas Propag., vol. 41, no. 2, pp. 241–244, Feb. 1993.

[17] U. R. Kraft, “Gain and G=T of multielement receive antennas with active beamforming networks,” IEEE Trans. Antennas Propag., vol. 48, no. 12, pp. 1818–1829, Dec. 2000. [18] J. Barbero, C. Martin, and P. Tejedor, “On the measurement of G=T of active antennas,” in Proc. AMTA 91, Oct. 1991, pp. 947–950. Daniel Segovia-Vargas (M’98) was born in Madrid, Spain, in 1968. He received the Telecommunication Engineering degree and Ph.D. degree from the Polytechnic University of Madrid, Madrid, Spain, in 1993 and 1998, respectively. From 1993 to 1998, he was an Assistant Professor with Valladolid University. Since 1998, he has been an Associate Professor with Carlos III University, Madrid, Spain, where he is in charge of the microwaves and antenna courses. He has authored or coauthored over 60 technical conference, letters, and journal papers. His research interests are printed antennas and active radiators and arrays and smart antennas, left-handed (LH) metamaterials, and passive circuits. He has also been member of the European Projects Cost260 and Cost284 and COST IC0603.

David Castro-Galán received the Telecommunications Engineering degree from the Carlos III University, Madrid, Spain, in 2003, and is currently working toward the Ph.D. degree in signal theory and communications at Carlos III University. His main research interests are the integration of active printed antennas and the application of metamaterials to microwave circuits, both active and passive.

Luis Enrique García-Muñoz (M’99) received the Telecommunications Engineer degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1999, and the Ph.D. degree in telecommunication from the Universidad Politécnica de Madrid, Madrid, Spain, in 2003. He is currently an Associate Professor with the Department of Signal Theory and Communications, Carlos III University, Madrid, Spain. His main research interests include radio-astronomy receivers, radiotelescopes, microstrip patch antennas and arrays, as well as periodic structures applied to electromagnetics.

Vicente González-Posadas was born in Madrid, Spain, in 1968. He received the Ing. Técnico degree in radio-communication engineering from the Polytechnic University of Madrid (UPM), Madrid, Spain, in 1992, the M.S. degree in physics from the Universidad Nacional de Educación a Distancia (UNED), Madrid, Spain, in 1995, and the Ph.D. degree in telecommunication engineering from Carlos III University, Madrid, Spain, in 2001. He is currently an Assistant Professor with the Technical Telecommunication School, Departamento de Ingeniería Audiovisual y Comunicaiones, UPM. He has authored or coauthored over 60 technical conference, letter, and journal papers. His research interest are related to active antennas, microstrip antennas, CRLH lines and metamaterials, and microwave technology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

65

Frequency-Selective Predistortion Linearization of RF Power Amplifiers Patrick Roblin, Member, IEEE, Suk Keun Myoung, Dominique Chaillot, Young Gi Kim, Member, IEEE, Ayub Fathimulla, Jeff Strahler, and Steven Bibyk, Member, IEEE Abstract—This paper presents a frequency-selective RF vector predistortion linearization system for RF multicarrier power amplifiers (PAs) affected by strong differential memory effects. Differential memory effects can be revealed in two-tone experiment by the divergence for increasing tone-spacing of the vector Volterra coefficients associated with the lower and upper intermodulations tones. Using large-signal vector measurement with a large-singal network analyzer, a class-AB LDMOS RF PA is demonstrated to exhibit a strong differential memory effect for modulation bandwidth above 0.3 MHz. New frequency-selective RF and baseband predistortion linearization algorithms are proposed to separately address the linearization requirements of the interband and inband intermodulation products of both the lower and upper sidebands. Theoretical verification of the algorithms are demonstrated with MATLAB simulations using a Volterra/Wiener PA model with memory effects. The baseband linearization algorithm is next implemented in a field-programmable gate array and experimentally investigated for the linearization of the class-AB LDMOS PA for two carrier wideband code-division multiple-access signals. The ability of the algorithm to selectively linearize the two interband and four inband intermodulation products is demonstrated. Adjacent channel leakage ratio of up to 45 dBc for inband and interband are demonstrated experimentally at twice the typical fractional bandwidth. Index Terms—Large-signal network analyzer (LSNA), linearization, memory effects, power amplifiers (PAs), predistortion, Volterra series.

I. INTRODUCTION

O

NE OF the most challenging issues in designing RF power amplifiers (PAs) is the linearity requirement. The spurious emissions from nonlinear RF PAs are spread out over Manuscript received May 11, 2007; revised September 24, 2007. This work was supported in part by the National Science Foundation (NSF) GOALI under Grant ECS-0622003 and by Epitaxial Technologies LLC under a grant. P. Roblin and S. Bibyk are with the Department of Electrical and Computer Engineering, The Ohio State University, Columbus OH 43210 USA (e-mail: [email protected]; [email protected]). S. K. Myoung was with the Department of Electrical and Computer Engineering, The Ohio State University, Columbus OH 43210 USA. He is now with the System Core Technology Laboratory, Telecommunication Research and Development Center, Samsung, Suwon, 443-742 Gyeonggi, Korea (e-mail: [email protected]). D. Chaillot is with the Department of Electrical and Computer Engineering, The Ohio State University, Columbus OH 43210 USA, on leave from the Commissariat à l’énergie atomique (CEA), 91191 Gif sur Yvette, France (e-mail: [email protected]). Y. G. Kim is with the Department of Electrical and Computer Engineering, The Ohio State University, Columbus OH 43210 USA, on leave from the Department of Data Communication Engineering, Anyang University, 430-714 Gyeonggi-do, Korea (e-mail: [email protected]; [email protected]). A. Fathimulla is with Epitaxy Technologies LLC, Baltimore, MD 21227 USA (e-mail: [email protected]). J. Strahler is with the Andrew Corporation, Westchester, IL 60154 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912241

neighbor channels. As more complex modulation techniques such as wideband code division multiple access (WCDMA) or orthogonal frequency-division multiplexing (OFDM) and wider signal bandwidth are used, higher peak-to-average power ratios (PAPRs) (e.g., 4.5 dB for handset and 12 dB for basestation in WCDMA) result, imposing stronger linearity requirements on RF PAs. Memory effects also become significant in high-efficiency PAs operating with wideband signals and need to be taken into account for their linearization. Memory effects can be classified into two main types: slow memory effects and fast memory effects [1], [2]. Slow memory effects, which encompass temperature effects [3], traps, and aging are usually observed for bandwidth below 1 MHz, whereas fast memory effects, which typically originate from the intrinsic transistor, as well as matching and bias networks are usually observed above 1 MHz [2]. The most performant broadband linearization systems have usually been based upon the feedforward technique [4]. However, RF and baseband predistortion linearization techniques have become an attractive solution owing to their reduced cost and complexity. For multicarrier PA applications, an effort has been placed to increase the bandwidth of predistortion linearization to combat fast memory effects. A direct approach relying on an RF predistortion algorithm with instantaneous adaptive feedback [5] has demonstrated improved performance. However, RF predistortion with instantaneous feedback remains limited by the computational speed of the digital signal processing (DSP) controller, and RF predistortion is affected, in general, by the timing mismatch between the input and predistortion signals of the vector modulator. On the other hand, model-based baseband predistortion algorithms, such as the frequency-selective algorithm presented here, do not require any such synchronization and can be designed to linearize RF PAs with fast memory effects while reserving narrowband adaptive feedback to slow memory effects. The development of broadband predistorters is now facilitated by the availability of a variety of behavioral models of PAs (for a review, see [3] and [6]) accounting for memory effects. To address fast memory effects in PAs in a practical and efficient fashion, memory polynomials were introduced in baseband predistortion and demonstrated experimentally to improve the linearization bandwidth [7], [8]. A broadband baseband linearization implementation with memory polynomials combined with narrowband adaptive feedback was then demonstrated using a genetic adaptive algorithm [9]. In this paper, we present an alternative baseband predistortion approach, which divides the modulation bandwidth in several bands, effectively assuming that the frequency dependence of

0018-9480/$25.00 © 2007 IEEE

66

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

the PA nonlinearities is piecewise quasi-memoryless. Unlike a frequency-selective algorithm we previously reported [10], the new theoretically based model presented here is developed from a third Volterra PA model and has the capacity of differentiating between six types of inband and interband third-order intermodulation distortion (IMD3) processes instead of just the lower sideband (LSB) and upper sideband (USB). This paper is organized as follows. First we will present in Section II the simple third-order Volterra PA model used for introducing differential memory effects. We will then experimentally characterize in Section III the differential memory effects taking place in the PA to be linearized. For this purpose, a large-signal network analyzer (LSNA) will be used to measure the power-dependent generalized third-order Volterra coefficients for a class-AB RF PA. Having demonstrated the large asymmetry between the LSB and USB generated by the nonlinearities, we will propose in Section IV a two-band frequency-selective RF predistortion algorithm, which can independently reduce four unwanted intermodulation bands generated by the PA for two-carrier WCDMA signals. In Section V, we will describe the baseband implementation of the frequency-selective predistortion linearization and present MATLAB simulation results for an amplifier with differential memory. In Section VI, we will present the field-programmable gate array (FPGA) test-bed and discuss the algorithms used for the experimental investigation. Using this digital test-bed, we will then experimentally demonstrate, in Section VII, the capability of the proposed frequency-selective vector predistortion (VPD) linearization to linearize the RF PA, which exhibits differential memory, as tested in Section III. Finally, in conclusion, we will summarize the results obtained and benchmark them. II. VOLTERRA/WIENER REPRESENTATION The most rigorous theory for including memory effects in not-strongly nonlinear systems is Volterra formalism [11]–[13]. In that formalism, the system is described by Volterra kernels of various orders. For example, a third-order kernel for a singleinput single-output (SISO) system is of the form

A simpler picture emerges for Volterra series with periodic signals when we switch to the frequency domain. Let us assume initially the nonlinearities of the amplifier to be well represented by a third-order Volterra system. When a two-tone excitation and of frequency and , respectively, is apat port 2 of the amplifier can be plied at port 1, the output verified to be of the following form (see Fig. 1): (1) (2) (3) (4)

Fig. 1. Third-order intermodulation for two-tone excitation.

The output features the two desired tones plus two intermodand . The complex coulation tones at , , , , , , , and efficients , which are calculated from the third Volterra series can be measured using an LSNA. For a memoryless PA with , we have , , and . The Volterra series has been extended to larger input power for stochastic input signals with constant average power levels by Wiener [12]. For larger deterministic input signals, one can also generalize the Volterra formalism by making the coefficients introduced above power dependent. III. NONLINEAR PA CHARACTERIZATION WITH LSNA The nonlinear characterization of the PA can be performed using an LSNA, as shown in Figs. 2–4. With an LSNA, we can measure the amplitude and phase of the incident and the transmitted periodically modulated waves at the fundamental and harmonics [14]. In this measurement, the vector source generator (ESG 4438C) is synchronized with the LSNA 10-MHz reference clock. In this study, the LSNA is used to characterize the third-order intermodulation response of a class-AB LDMOSFET 10-W PA at 895 MHz for two-tone excitation [10]. The data acquisition is performed under Labwindows control. The LSNA is used to measure both the amplitude and phase of the two-tone RF exciand incident on port 1, as well as the amtation plitude and phase of the transmitted intermodulation RF signals , , , and transmitted to port 2. Using these intermodulation signals, we calculated next and dethe generalized Volterra/Wiener coefficients fined in (5) and (6) as follows: (5) (6) and assuming . with Note that these coefficients are found to be reproducible measurement after measurement [10]. In the limit of small , these coefficients reduce to the usual power-independent Volterra coefficients. The amplitude and phase of these coefficients are plotted and in Fig. 2, respectively, as a function of tone spacing from 4 to 6 dBm. As input power shown in Fig. 2, the variation of and as a function

ROBLIN et al.: FREQUENCY-SELECTIVE PREDISTORTION LINEARIZATION OF RF PAs

Fig. 2. Comparison of amplitude and phase of H

Fig. 3. Difference between H

and H

versus !

and H

versus the tone spacing !

= ! 0!

67

0

for different power levels ( 4–6 dBm) (data from [10]).

reveals a strong differential memory effect above 1 MHz (data from [10]).

of tone spacing reveals the presence of memory effects (frequency dependent nonlinearity) in the PA. Above 0.3 MHz, the differences in amplitude and phase plotted in Fig. 3 increase

rapidly with tone spacing. This is to be referred to as differential memory. These generalized Volterra/Wiener coefficients indicate that memoryless linearization techniques will not perform

68

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 4. Large-signal test-bed used for nonlinear characterization. Fig. 6. Ideal IQ modulator used in RF predistortion.

Fig. 5. Scalar RF predistortion.

optimally for this PA for bandwidths above 0.3 MHz [10]. Note that the power dependence originates from the contribution of higher order nonlinearities (fifth, seventh, etc. orders) in the PA. The applicability of this generalized Volterra/Wiener model, which is adopted for the remainder of this paper, will be further validated for the PA considered by the ultimate linearization achieved. IV. RF VPD A conventional predistortion linearization system with thirdorder scalar compensation is shown in Fig. 5. The ideal in-phase and quadrature (IQ) modulator used in this predistortion system is shown in Fig. 6. The waveforms and calculated from the signal envelope are injected at the I and Q baseband inputs of the IQ modulator. Consider at port 1 of the a two-tone excitation modulator

with . The RF scalar predistortion (SPD) topology shown in Fig. 5 is not capable of addressing independently the inband and interband distortions. Note that using higher order and in and , respectively, can terms only bring a valid third-order correction at a single power level at a time. To allow for the additional degrees of freedom required for piecewise quasi-memoryless PAs, we shall introduce the RF VPD topology shown in Fig. 7. Let us first consider the interband linearization block, which . For added genrelies solely on the interband envelope and to be complex coefficients (phase erality, we allow ). The excitations and are shifting of then generalized to

with and , the phasor associated with of the two-tone excitation. The the interband envelope output of the modulator is then

where denotes the Hilbert of . The inband signal at the output of the IQ modulator is then

(8)

(7) where

are the Fourier coefficient weights

(9) Similarly, the IMD3 at the output of the IQ modulator is (10) (11)

The envelope obtained by low-pass filtering and interband be expanded in terms of inband components

can

Next the IMD3 correction signals and generated by the IQ modulator and amplified by the amplifier are superposed at the output of the PA with the IMD3 terms generated by the PA so that they cancel as follows:

(12)

ROBLIN et al.: FREQUENCY-SELECTIVE PREDISTORTION LINEARIZATION OF RF PAs

69

Fig. 7. RF VPD implementation for two bands featuring the LSB and USB inband stages followed by the interband stage.

(13) Retaining only the leading terms in (8) and (9), the following products can be evaluated:

(14)

Note that and are now both real. No phase shift is required and the conventional SPD linearization is sufficient for such quasi-memoryless PAs. The above linearization applies only to the cancellation of the interband terms of (1) and (4) generated by the PA, which corrupt adjacent bands. Let us consider now the cancellation of the inband terms (2) and (3) generated by the PA, which degrades the error vector measurement (EVM). , , , and control signals on First let us define the the inband modulators

(15) Substituting (14), (15), (10), and (11) into (12) and (13) we obtain the following systems of equations:

This linear system is easily solved for

and

as follows:

where we introduced and , the LSB and USB components of the inband envelope . The outputs of the inband modulaare tors for the two-tone input

and In general, the coefficients and are complex numbers. This implies that the baseband envelope signal sent to the port 3I and 3Q of the IQ modulator must not only be scaled, but also phase shifted. This is referred in this paper as VPD linearization. For a quasi-memoryless PA, the following identity holds:

It results that we have , and the and cients are simply given for quasi-memoryless PA by

coeffi-

We now need to calculate the required and control coefficients. We start from the output signal of the PA at port 2 as follows:

70

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

In the above equations, , the PA input, is the output signal from the interband modulator, which is expressed in the frequency domain using (8) and (9) as

Note that care was taken to include the parasitic inband comand ponent introduced by the interband modulator. The signals are themselves the output of the inband modulator and expressed in the frequency domain by

Fig. 8. Inband and interband third-order intermodulation in two-band model.

is effectively replaced by a matrix multiplication of the form

using We can now evaluate the control coefficients required for the cancellation of the leading inband distortion generated by the PA and the interband modulator to yield the PA output and

For example, for the two-tone excitation of (7), we have and with

It is to be noted that the inband linearization is affected by the interband linearization and it is necessary to first obtain the and to implement the interband linearization coefficients final inband linearization. V. BASEBAND VPD The derivation given above was conducted for simplicity assuming a two-tone excitation. In practice, the RF carrier is digitally modulated. The two-tone analysis is applicable to a twoand are the center frequency. Concarrier system where sider the simplest case where each of the modulated carriers can be represented with two tones per band, as shown in Fig. 8. Four tones (plain lines) are, therefore, injected at the input of the PA and 16 tones are observed at the output. This four-tone excitation permits us to clearly distinguish the interband and inband , , intermodulation distortions generated by the various , , , and coefficients. In the previous derivation, we focused on an RF implementation of VPD. Here, we will present a baseband implementation. For this purpose, and we shall recast the VPD theory in terms of the signal representation. Baseband predistortion is implemented by directly predistorting the and signals. For example, in the baseband implementation, the analog IQ modulator in Fig. 6,

In the RF VPD, we introduced a dc block in the calculation of the interband envelope . The dc components, which contains important data, were used in the inband intermodulation linearization. This frequency-domain manipulation is one of the requirements for the implementation of frequency-selective predistortion accounting for differential memory effect. Alternatively, in the baseband implementation, we will effectively separate the incoming frequency spectrum in terms of the LSB ) and the USB ( ) with being the carrier. ( To perform this two-band filtering, the easiest is to partition the input and in terms of their USB and LSB components as follows:

Note that we use the notation to denote the . One can readily verify that , , , and Hilbert of are obtained from and and their Hilbert using

The pair and generates the USB ( ) and the pair and generates the LSB ( ). We can evaluate the envelope in terms of this two-band IQ representation

ROBLIN et al.: FREQUENCY-SELECTIVE PREDISTORTION LINEARIZATION OF RF PAs

71

Fig. 9. Baseband VPD implementation for two bands featuring the LSB and USB inband stages followed by the interband stage.

where and components defined as

are interband and inband envelope

For a two-tone excitation, one can verify that and are the dc and ac components, respectively, of the envelope. When dealing with general multitone signals, they define the time-dependent envelopes needed, respectively, to correct for the inband and interband intermodulation distortions, as shown in Fig. 8. terms are used to first linearize the LSB and The and the USB and using the , , , and coefficients

The resulting are

,

,

, and

after the digital IQ modulator

The output of the inband predistortion linearization is then obtained by reconstituting and

This inband algorithm is schematically represented in Fig. 9. Next we need to calculate the interband intermodulation correction. In the Volterra theory, this correction is added to the

inband intermodulation correction we have just calculated. It can be verified both experimentally and in simulations that it is preferable to proceed with the interband intermodulation corcalculated using rection using the interband envelope and instead of and since they have already been updated by the inband correction. The interband digital modulator is fed the cross product terms of the signal envelope

where, according to the above discussion, we define

The output IQ is then obtained from the digital modulator

The calculation of and requires the availability of to perform the phase shift associated with the Hilbert of and (see Section IV). One can verify that the following algebraic formula provides an exact evaluation of the Hilbert for multitone baseband excitations:

Note that the calculation of Hilbert transforms is costly and the proposed third-order algorithm presented above (and also its fifth-order extension) only requires the Hilbert transforms of and since the remaining Hilbert transforms needed are all calculated from simple algebraic expressions. To verify our VPD algorithm in the time domain, a Volterra model of RF PAs was implemented in MATLAB. The USB intermodulation of the RF PA model was phase shifted in the third Volterra Kernels to implement differential memory effects. The results are plotted in Figs. 10 and 11 for two- and tentone excitations, respectively. The results represented by the symbol correspond to the case where interband corrections and ) are applied sequentially (serial connection) after ( , , , ). The results the inband corrections (

72

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 12. Digital test-bed for baseband VPD.

Fig. 10. Comparison of inband and interband VPD linearization in a RF PA with memory effects: two-tone case.

Fig. 13. 10-W class-AB RF PA operating at 895 MHz.

Fig. 11. Comparison of inband and interband VPD linearization in a RF PA with memory effects: ten-tone case.

represented by the symbol correspond to the case where the inband and interband linearizations are performed in parallel. The results represented by the symbol correspond to inband linearization only and the symbol to interband linearization only. As mentioned, the serial connection of the inband and interband linearization is slightly more effective in linearizing the Volterra model of an RF PA with differential memory effects. For the two-tone case, approximately 45-dB reduction of both inband and interband distortion is obtained in Fig. 10. On the other hand, for the ten-tone case, shown in Fig. 11, the inband and interband intermodulations are reduced by approximately 25 dB. VI. FPGA IMPLEMENTATION OF FREQUENCY-SELECTIVE PREDISTORTION ALGORITHM The linearization algorithm was implemented with an FPGA. The FPGA test-bed used is shown in Fig. 12. The PA block is an 895-MHz 10-W class-AB LDMOS RF ampliifer [2], [10]

Fig. 14. Frequency-dependent amplitude of Hilbert transformation.

shown in Fig. 13. This digital test-bed is based on an Altera DSP board (EP1S25). This development board includes two in-board A/D and D/A converters. The two A/D converters are 12 bits and the 2 D/A converters are 14 bits. The system clock (100 MHz) is distributed via synchronized and delayed clocks to the A/D and D/A converters in the DSP board. Two-stage analog boards were developed for the adaptation of the signals between the DSP board and IQ modulator. The first stage increases the voltage

ROBLIN et al.: FREQUENCY-SELECTIVE PREDISTORTION LINEARIZATION OF RF PAs

73

Fig. 15. Four-band frequency-selective: (a) USB interband, (b) USB inband and interband, (c) LSB interband, and (d) LSB inband and interband vectorial predistortion-linearization of a two-carrier WCDMA signal for a PA with differential memory.

level of the signals coming from the D/A converters and provides dc offset controls to manually adjust the LO leakage in the IQ modulator. The second stage analog board, which includes additional controls for the gain and differential dc offset, changes the mode of the IQ signals from single to complementary outputs to drive the differential IQ modulator. In addition to the manual dc offset and gain controls implemented in the analog boards, several software blocks were introduced in the FPGA test-bed to control directly from Labwindows the dc offset (local oscillator (LO) leakage rejection) and the gain and phase correction required to compensate for the IQ imbalance of the IQ modulator. The methodology used for the IQ balancing has been reported in [15]. The two-band predistortion algorithm relies on two Hilbert transformations for the phase shifting of the I and Q signals and calculating the various envelopes and their Hilberts. The digital implementation of the Hilbert transformation exhibits a number of limitation. This is revealed by inspecting the amplitude (Fig. 14) in the frequency domain of the Hilbert of a

cosine input waveform for various conditions: number of taps 16–256 and Hamming window. While the phase (not shown) can be verified to be constant (90 ) (no phase error), the amplitude is observed to vary as the modulation frequency is varied. The Hilbert algorithm used was implemented with 64 taps and a Hamming window to smooth the frequency response up to 10 MHz. VII. PREDISTORTION LINEARIZATION RESULTS The performance of the proposed linearization algorithm was investigated using wideband signals (multitones and WCDMA signals) either generated using a vector source generator (ESG4438C) or directly synthesized from a lookup table (LUT) in the FPGA. Frequency-selective balancing of the IQ modulator for the two inband band and the two intermodulation bands was performed before proceeding with the linearization. IQ balancing of 50 and 45 dBc at the fundamental and third interband frequencies was achieved, respectively.

74

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 16. (a) Nonlinearized spectrum and (b) joint inband and interband LSB and USB frequency-selective predistortion linearization of a two-carrier WCDMA signal in a PA with differential memory.

TABLE I COMPARISON WITH OTHER PUBLISHED STUDIES

loop required. The implementation of an adaptive algorithm for slow time variation should also be greatly facilitated by the frequency-selective nature of the linearization. VIII. CONCLUSION

Figs. 15 and 16 show the frequency-selective linearization of a two-carrier WCDMA signal for a 10-W LDMOS PA with 14-dB gain for 34.8-dBm (3 W) output power. The vertical scale is 5 dB per division and the horizontal scale is 15 MHz per division for a total span of 60 MHz. Each WCDMA band has a 5-MHz bandwidth. The center of both bands is separated by 15 MHz. As indicated in Fig. 16(a), each band is immediately flanked on both sides by spectral regrowth bands of approximately 5-MHz bandwidth, each originating from the interaction and and the adjacent of each band with itself via and . In addition, both bands interfere toband via and and generate two intergether due to the terms modulation bands at 22.5 and 22.5 MHz relative to the LO (center tone). The ability of the frequency-selective predistortion linearization algorithm to reduce the spectral interband regrowth of either the LSB only or the USB only is demonstrated in Fig. 15(a) and (c). Further, as shown in Fig. 15(b) and (d), not only the intermodulation bands at 22.5 or 22.5 MHz can be reduced, but also the inband spectral regrowth surrounding the original band themselves. The proposed differential algorithm can separately address six types of inband and interband spectral regrowth in the LSB and USB. Finally, Fig. 16(b) shows the combined reduction of the inband and interband spectral regrowth on both sides of the LO. These results demonstrate the capability of this algorithm to linearize a PA exhibiting differential memory effects. Note that the nonlinearities that extend over 50-MHz bandwidth are reduced here by using real-time processing with no feedback

In this paper, third-order nonlinear system coefficients characterizing an LDMOSFET PA were extracted directly from LSNA measurements. These measurements revealed the presence of strong differential memory effects between the USB and LSB above 0.3 MHz. We proposed a novel predistortion algorithm, which accounts for asymmetry in nonlinearity between the LSB and USB. That algorithm relies on six parameters to independently address the third-order distortion while accounting for the differential memory effects of the RF PA. Independent control of the LSB and USB spectral regrowth was then demonstrated for two-carrier WCDMA signals for an overall 45 dBc of ACPR. The extension of this theory to the linearization of fifth-order distortion has been demonstrated for multisine and will be reported elsewhere. As is shown in Table I, the worst case adjacent channel power ratio (ACPR) performance obtained compares well with other studies [7], [16]–[18], which reported experimental results for multicarrier PAs using the analog (A) or digital (D), baseband (B) or RF (RF) predistortion (PD) linearization methods. Also included ). Note that the for reference is the fractional bandwidth ( frequency-selective algorithm is demonstrated here with more than twice the fractional bandwidth of these previous studies. Finally note that the present frequency-selective topology could be combined with other linearization techniques (e.g., memory polynomials [7], [8] ) for further improved performance. The extension from two-carrier to multicarrier PAs can proceed by further dividing the bandwidth in additional bands [19] and applying the present algorithm to each individual pair of bands. An adaptive algorithm then becomes desirable to automatically calibrate the linearization [9], [20]. The implementation of such a calibration will be facilitated by the fact that each complex linearization coefficient can be extracted independently as verified in this study for two bands.

ROBLIN et al.: FREQUENCY-SELECTIVE PREDISTORTION LINEARIZATION OF RF PAs

ACKNOWLEDGMENT This paper is dedicated to the memory of late Prof. K. Breeding, The Ohio State University, Columbus, whose development of the OSU Digital Educational Laboratory made this study possible. The authors are also indebted to the Altera Corporation, San Jose, CA, for the donation of the FPGA DSP test-bed used in this study. Finally, the authors are grateful to the reviewers for their comments on this paper’s manuscript, which greatly improved its clarity. REFERENCES [1] J. S. Kenney, W. Woo, L. Ding, R. Raich, H. Ku, and G. T. Zhou, “The impact of memory effects on predistortion linearization of RF power amplifiers,” in Proc. 8th Int. Microw. Opt. Tech. Symp., Montreal, QC, Canada, Jun. 19–23, 2001, pp. 189–93. [2] W. Dai and P. Roblin, “Distributed and multi-time-constant electrothermal modeling and its impact on ACPR in RF predistortion,” in 62th ARFTG Conf. Dig., Denver, CO, Dec. 2003, pp. 89–98. [3] J. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifiers. Norwood, MA: Artech House, 2003. [4] R. Meyer, R. Eschenback, and W. Edgerley, Jr., “A wideband feedforward amplifier,” IEEE J. Solid-State Circuits, vol. SCC-9, no. 6, pp. 422–448, Jun. 1974. [5] W. Woo, M. D. Miller, and J. S. Kenney, “A hybrid digital/RF envelope predistortion linearization system for power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 229–237, Jan. 2005. [6] J. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [7] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Dec. 2001. [8] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [9] R. Sperlich, J. A. Sills, and J. S. Kenney, “Closed-loop pigtail predistortion with memory effects using digital pre-distortion and genetic algorithms,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1557–1560. [10] S. K. Myoung, D. Chaillot, P. Roblin, W. Dai, and S. J. Doo, “Volterra characterization and predistortion linearization of multi-carrier power amplifiers,” in 64th ARFTG Conf. Dig., Orlando, FL, Dec. 2004, pp. 65–73. [11] A. A. M. Saleh, “Matrix analysis of mildly nonlinear, multiple-input, multiple-output systems with memory,” Bell Syst. Tech. J., vol. 61, no. 9, pp. 2221–2243, Nov. 1982. [12] M. Schetzen, “Nonlinear system modeling based on the Wiener theory,” Proc. IEEE, vol. 69, no. 12, pp. 1557–1573, Dec. 1981. [13] J. J. Bussgang, L. Ehrman, and J. W. Graham, “Analysis of nonlinear systems with multiple inputs,” Proc. IEEE, vol. 62, no. 12, pp. 1088–1119, Dec. 1974. [14] J. Verspecht, F. Verspecht, and M. Vanden Bossche, “Network analysis beyond S -parameters,” in 56th ARFTG Conf. Dig., Broomfield, CO, Dec. 2000, pp. 1–4. [15] S. K. Myoung, X. Cui, P. Roblin, D. Chaillot, F. Verbeyst, M. Vanden Bossche, S. J. Doo, and W. Dai, “Large signal network analyzer with trigger for baseband modulation linearization,” in 64th ARFTG Conf. Dig., Orland, FL, Dec. 2004, pp. 189–195. [16] T. Kubo, N. Fudaba, H. Ishikawa, H. Hamada, K. Nagatani, H. Hayashi, T. Maniwa, and Y. Oishi, “A highly efficient adaptive digital predistortion amplifier for IMT-2000 base stations,” in Veh. Technol. Conf., 2003, vol. 4, pp. 2206–2210. [17] J. Cha, J. Yi, J. Kim, and B. Kim, “Optimum design of a predistortion RF power amplifier for multicarrier WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 655–663, Feb. 2004. [18] S. Boumaiza, J. Li, M. Jaidane-Saidane, and F. Ghannouchi, “Adaptive digital/RF predistortion using a nonuniform LUT indexing function with built-in dependence on the amplifier nonlinearity,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2670–2677, Dec. 2004.

75

[19] O. Hammi, S. Boumaiza, M. Jaïdane-Saïdane, and F. M. Ghannouchi, “Digital subband filtering predistorter architecture for wireless transmitters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1643–1652, May 2005. [20] N. Safari, J. P. Tanem, and T. Roste, “A block-based predistortion for high-power amplifier linearization,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2813–2820, Jun. 2006. Patrick Roblin (M’85) was born in Paris, France, in September 1958. He received the Maitrise de Physics degree from the Louis Pasteur University, Strasbourg, France, in 1980, and the M.S. and D.Sc. degrees in electrical engineering from Washington University, St. Louis, MO, in 1982 and 1984, respectively. In 1984, he joined the Department of Electrical and Computer Engineering, The Ohio State University (OSU), Columbus, where he is currently a Professor. He is the founder of the Non-Linear RF Research Laboratory, OSU. He developed two educational RF/microwave laboratories and associated curriculum for training senior undergraduate and graduate students at OSU. He coauthored the textbook High-Speed Heterostructure Devices (Cambridge Univ. Press, 2002). His current research interests include the measurement, modeling, design, and linearization of nonlinear RF devices and circuits such as oscillators, mixers, and PAs.

Suk Keun Myoung was born in Anyang, Kyunggi-do, Korea, in September 1968. He received the B.S. degree in mathematical education and M.S. degree in physics from Korea University, Seoul, Korea, in 1994 and 1996, respectively, and the Ph.D. degree in electrical and computer engineering from The Ohio State University, Columbus, in 2006. From 1996 to 2000, he has was a Researcher with Korea Telecom. He is currently with the System Core Technology Laboratory, Telecommunication Research and Development Center, Samsung, Suwon, Gyeonggi, Korea. His current research concerns adaptive digital predistortion for WiMax basestations.

Dominique Chaillot was born in Brive, France, in October 1963. He received the Maitrise de Physics degree from the Universite des sciences, Laboratoire IRCOM (now XLIM), Limoges, France, in 1985, the Ph.D. degree in electrical engineering from the IRCOM Laboratory, Limoges University, Limoges, France, in 1989, and the Master of Business Administration degree from Sorbonne University, Paris, France, in 1992. In 1990, he joined the Commissariat à l’énergie atomique (CEA) (the French Atomic Agency), Gif sur Yvette, France, as a Research Engineer. He is currently on leave with the Department of Electrical and Computer Engineering, The Ohio State University (OSU), Columbus, where he is an Invited Scholar. His expertise is on real-time signal-processing in RF systems. His current research interests include the measurement, design, and linearization of nonlinear RF devices and PAs.

Young Gi Kim (M’00) was born in Seoul, Korea. He received the B.S. and M.S. degrees in electronics engineering from Hanyang University, Seoul, Korea, in 1983 and 1984, respectively, and the Ph.D. degree from the University of Texas at Arlington, in 1993. From 1986 to 1997, he was with the Korea Telecom Research Laboratory, where he was engaged with long-distance optical fiber communication and developed monolithic microwave integrated circuits for wireless application. In 1996, he joined the Department of Data Communication Engineering, Anyang University, Gyeonggi-do, Korea, where he is currently a Professor. From 2005 to 2006, he was a Visiting Professor with the Mixed Signal Electronics Laboratory, The Ohio State University (OSU). His research interests include the design of RF integrated circuits and devices.

76

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Ayub Fathimulla received the B.Sc. degree in physics from Sri Venkateswara (S.V.) University, Tirupati, India, in 1963, the B.E. degree in electronics and communications engineering from Andhra University, , InVisakhapatnamdia, in 1966, the M.E. degree in electronics and communiation engineering from Osmania University, Hyderabad, India, in 1968, and the Ph.D. degree in electrical engineering from Rensselaer Polytechnic Institute (RPI), Troy, NY, in 1980. He is currently Vice President of Technology and Product Development with Epitaxial Technologies LLC, Baltimore, MD. He has developed and led the development of various optical, ultrahigh-speed digital, and microwave compound semiconductor technologies with Allied Signal (Honeywell). He was most recently Chief Engineering Fellow with Honeywell during which time he was responsible for developing and transferring microwave silicon-on-insulator (SOI)–CMOS technology to production.

Jeff Strahler received the B.S.E.E. degree from the University of Cincinnati, Cincinnati, OH, in 1989, and the M.S.E.E. degree in electrical engineering from The Ohio State University (OSU), Columbus, in 1991. He completed his master’s thesis in the area of computational electromagnetics with the ElectroScience Laboratory, Department of Electrical and Computer Engineering, OSU. From 1986 to 1990, he was involved in different roles for Comsat Laboratories, during which time he designed microwave circuits and antennas for communication satellite and earth station systems. In 1991, he joined AT&T Bell Laboratories (now Alcatel–Lucent), Columbus,

OH, as a Member of the Technical Staff (MTS) and later as a Distinguished Member of the Technical Staff (DMTS). As part of his duties, he has been a Team Leader for the design and development of wireless basestation amplifiers for AMPS, TDMA, GSM, and CDMA systems. In June 2001 he joined the Celiant Corporation, which was acquired by the Andrew Corporation, Westchester, IL, in June 2002. He was recently appointed an Andrew Fellow, where he continues research and develop activities for basestation PA products.

Steven Bibyk (S’78–M’80) received the combined B.S./M.S. and Ph.D. degrees from Case Western Reserve University, Cleveland, OH, in 1980 and 1983, respectively, all in electrical engineering and applied physics. In 1984, he joined The Ohio State University, Columbus, where he teaches courses in circuit design, communication systems, and project design. He has been a Visiting Research Scientist with the Space Electronics Division, National Aeronautics and Space Administration (NASA) Glenn Research Center and the Ohio Aerospace Institute, and was a Member of the Technical Staff with Sandia National Laboratories, Albuquerque, NM. He has authored or coauthored over 60 technical papers. He has advised 13 Ph.D. students and approximately 40 M.S. students to completion. His research concerns information systems in very large scale integration (VLSI), system-on-chip design methods, and RF/mixed-signal circuit design. Dr. Bibyk is a Life Member of the American Physical Society. He is a member of Tau Beta Pi and Eta Kappa Nu. He was a two-time recipient of a NASA Summer Faculty Fellowship and a National Research Council (NRC) fellowship with the U.S. Air Force.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

77

A Highly Efficient and Linear Class-AB/F Power Amplifier for Multimode Operation Daehyun Kang, Daekyu Yu, Kyoungjoon Min, Kichon Han, Jinsung Choi, Student Member, IEEE, Dongsu Kim, Boshi Jin, Myoungsu Jun, and Bumman Kim, Fellow, IEEE

Abstract—The class-AB/F power amplifier (PA), a multimode PA, which can operate at both class-AB and class-F modes, is analyzed and compared with the conventional class-F and class-AB PAs. The open-circuited third harmonic control circuit enhances the efficiency of the PA without deteriorating the linearity of class-AB mode of the PA. The voltage and current waveforms are simulated to evaluate the appropriate operation for the modes. To demonstrate the multimode PA, the PA is implemented using an InGaP/GaAs HBT process and it is tested with reverse-link IS-95A code division multiple access (CDMA) and PCS1900 global system for mobile communications signals in the personal communications service band. The class-AB operation for a CDMA signal delivers a power-added efficiency (PAE) of 38.9% and an adjacent channel power ratio of 49.5 and 56.5 dBc at the offset of 1.25 and 2.25 MHz, respectively, at the output power of 28 dBm. The maximum PAE of 64.7% under the class-F operation is measured at 32.5-dBm output power for a GSM signal. The class-AB/F PA is a good candidate for the multimode PA of next-generation wireless communication systems. Index Terms—Class AB, class AB/F, class F, code division multiple access (CDMA), efficient, global system for mobile communications (GSM), handset, heterojunction bipolar transistors (HBTs), IS-95A, linear, monolithic microwave integrated circuit (MMIC), multimode, PCS1900, power amplifier (PA).

I. INTRODUCTION IRELESS communication standards have been evolving to meet the market demands for high data rate, mobility, functionality, and low cost. Since different countries have adopted diverse standards, there is a variety of third-generation (3G) wireless communication systems. Moreover, the future generation technology requires that one terminal be used for the multistandards [1]. System technologies for software-defined

W

Manuscript received August 2, 2007; revised October 14, 2007. This work was supported by the Ministry of Education of Korea under Brain Korea 21 Projects, by the Electronics and Telecommunication Research Institute System-on-Chip Industry Promotion Center, under the Human Resource Development Project for Information Technology System-on-Chip Architect, and by the Ministry of Information and Communication, Korea, under the Information Technology Research Center Support Program supervised by the Institute of Information Technology Advancement (IITA-2007-C1090-0701-0037). D. Kang, K. Han, J. Choi, D. Kim, B. Jin, M. Jun, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk 790-784, Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). D. Yu and K. Min are with Wireless Power Amplifier Module (WiPAM) Inc., Seongnam, Gyeongi 463-824, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.911967

radio (SDR) have been developed, and RF transceivers have been researched to satisfy the requirements [2], [3]. One of the difficulties in designing such systems is the PA’s inability to cover the multimodes of operation. Wireless communication systems employ either envelope-varying signals or constant-envelope signals. The systems using envelope-varying signals are code division multiple access (CDMA), wideband code division multiple access (WCDMA), EDGE, wireless local area network (WLAN), and WiMAX. These systems modulate the amplitudes of signals to encode more information in the limited bandwidth. Power amplifiers (PAs) working for these systems amplify the input signals linearly, and thus they are called linear PAs [4]. In contrast, the AMPS, global system for mobile communications (GSM), and general packet radio service (GPRS) systems apply constant-envelope signals. Information under these environments is encoded in the phases of signals without changing the amplitudes. Since the PAs for the constant-envelope signals are not required to operate linearly, they are required only to amplify signals efficiently to increase the battery life. In the constant-envelope systems, PAs operate with the output power saturated, and thus they are called saturated PAs. Therefore, we need a PA operating as a linear PA for an envelope-varying signal and at the same time as a saturated PA for a constant-envelope signal. However, troublesome design issues exist. While the circuit is desired to operate linearly at the , it should backed-off region from the saturated power be designed to simultaneously have high efficiency around the . Efforts have been made to design multimode PAs for the CDMA and AMPS systems [5], [6]. With the classical design of the multimode PAs, however, PAs suffer from direct tradeoffs between linearity and efficiency. The designs focused on the linearity have a deteriorated efficiency for both the linear and saturated PAs. Likewise, improvement on the efficiency degrades the linearity of the linear PAs. In this paper, we propose a new concept of the multimode class-AB/F PA that operates linearly and efficiently not only for the envelope-varying systems, and efficiently also for the constant-envelope systems. The circuit topology of the PA is based on that of the class-F PA, and the mode of operation is selected by controlling the base bias. The basic operation is explained in Section II. Its operation is further examined by simulation and the design of the PA is explained in Section III. Section IV explains the on-chip implementation through the HBT process to demonstrate the multimode operation for both an IS-95A CDMA and a PCS1900 GSM signals across the personal communications service (PCS) band. The measured results show that the proposed concept is not only realizable, but also leads to high performances under the multimode operation.

0018-9480/$25.00 © 2007 IEEE

78

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

component to the maximum amplitude for the voltage waveas a function of [10]. The values form is defined to be of are

(3) Due to the odd harmonics, the fundamental component of the voltage waveform increases as increases. The amplitude of the voltage waveform is limited by the knee voltage from the dc level. The maximum amplitude of voltage waveform is . is the knee voltage of the transistors. Thus, the amplitude of the fundamental voltage is

(4) For the maximum power from the PA, the fundamental load impedance is determined to be

Fig. 1. Current and voltage waveforms of general PAs. (a) Current waveforms as a function of conduction angle ( ). (b) Voltage waveforms corresponding to the highest odd harmonic present (n).

(5) Output power is shown as functions of

and

as follows:

II. CONCEPT OF CLASS-AB/F PA (6) DC power required to obtain the output power is given by

A. General PAs Operation of general PAs is explained with the waveforms of current and voltage at the current source of the transistor model [7]. Fig. 1(a) shows the current waveforms as a function of conduction angle . The dc and fundamental components of the current are given by

(7) Thus, the efficiency is

(8) (1) (2) The voltage waveforms can be shaped to the square by harmonics, especially by the odd harmonics [8], [9]. Fig. 1(b) illustrates the voltage waveforms affected by the odd harmonics. The value of indicates the highest odd harmonic present. When the voltage harmonic components have enough power to fulfill the proper ratio, the ratio of the maximum achievable fundamental

, class B, As increases without any odd harmonic AB, and A PAs are determined sequentially. The class-B and class-A PAs have of and , respectively; the class-AB PA has an intermediate conduction angle from to . The ideal class-F PA has a conduction angle of and all the odd harmonics . This leads to efficiency of 100%. In the real case, limited odd harmonics ( or ) exist because of the difficulty in controlling the high-order terms. Moreover, a bias above the pinchoff is chosen to achieve the proper phase and magnitude relationship between the fundamental and third harmonic voltage components [10].

KANG et al.: HIGHLY EFFICIENT AND LINEAR CLASS-AB/F PA FOR MULTIMODE OPERATION

Fig. 2. Load lines for the class-AB/F PA (n = 3). (a) Class-F operation with the fundamental load R smaller than R (3; ) of the conventional greater class-F PA. (b) Class-AB operation with the fundamental load R than R (1; ) and R (3; of the conventional class-AB and class-F PAs.

79

Fig. 3. Performances of the class-AB/F PA as a function of the conduction angle and the odd harmonics, when 2.91 is chosen for R . The PA is in class-F and class-AB operations, respectively, when the ’s are close to  and greater than 1:1 . I = 2:3 A, V = 3:4 V, and V = 0:4 V. (a) Output power and general PA’s fundamental load R (3; ). (b) Efficiency.

B. Class-AB/F PA The class-AB/F PA is a multimode PA with an intermediate fundamental load to achieve both the class-AB and class-F operations. The load impedance of the PA is fixed and the value of the fundamental load is located at

(9) where and are the conduction angles for the class-AB and class-F operations, respectively, and is the highest odd harmonic present. and are the fundamental loads of the class-F PA with conduction angles of and , respectively [11]. is above, but near, , and is at a class-AB bias level. The harmonics are tuned up to th order. Fig. 2 shows the load lines of the class-AB/F PA. With the fundamental load of , the fundamental voltage and current of the class-AB/F PA are determined. The amplitude of the fundamental voltage is

(10)

As the maximum amplitude of the current is changed, the knee voltage is given by (11) where is the on resistance. By substituting (10) for in (11), the fundamental component of the current waveform is given by

(12) This gives from (2). The dc current of class-AB/F PA is from (1), which gives the dc power . The output power and the efficiency of the class-AB/F PA are given by

(13)

80

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 4. Schematic of the class-AB/F PA.

The PA operates in the class-AB and the class-F modes when and , respectively. The fundamental voltage the ’s are of the class-F operation is less than of the conventional class-F PA, as shown in Fig. 2(a) because of , and the class-F operation the lower load resistance of the PA has lower gain and efficiency than the class-F PA. of the class-AB operation is greater than In contrast, and of the conventional class-AB and class-F PAs. The class-AB operation has higher gain and efficiency, but it is less linear than the conventional class-AB PA. The performances of the class-AB/F PA are calculated from (12), and are plotted in Fig. 3. For the same fundamental load of , the class-F operation has higher efficiency and less output power than the class-AB operation. The class-F operation of the PA is nonlinear since it is achieved above, but near, the pinchoff bias level. However, the class-AB operation of the PA is achieved at a class-AB bias. Thus, the class-AB operation is more linear than the class-F operation. Basically, the linearity of class-AB operation follows that of the conventional class-AB PA. One difference between the topologies of the conventional class-AB PA and the class-AB operation of the PA is the open-circuited third harmonic control circuit, while both PAs have the short-circuited second harmonic control circuit. The third harmonic power is produced by the nonlinearities, and clipping effect of the I–V curve [12], [13] and is trapped by the third harmonic control circuit forming a standing wave. The second harmonic power is suppressed. The third harmonic power supports the square voltage waveform without consuming any power, while the current waveform remains as it is. However, there is a feedback path through the capacitance between the base and collector of a transistor, and the third harmonic power feeds back to the base through the capacitance. The third harmonic interacts with the fundamental and second harmonics, regenerating the fourth and fifth harmonics. This deteriorates the characteristic of the fifth-order intermodulation distortion (IMD5), but the effect is insignificant. In contrast, it does not affect the characteristic of third-order intermodulation distortion (IMD3) since IMD3 is not generated due to the second harmonic short circuit. The other advantage of class-AB operation of the PA is that it has lower output harmonics power because the harmonics are trapped by the harmonics control circuits without passing to the output load.

III. DESIGN AND SIMULATION OF CLASS-AB/F PA A. Topology of the Class-AB/F PA The class-AB/F PA is designed based on the class-F topology with the harmonic control circuits, as shown in Fig. 4. At the dependent current source of transistor, the second harmonic impedance is zero using a series resonant circuit, and the third harmonic impedance is infinite using a parallel resonant circuit [14], [15] in order to achieve a proper ratio of voltage harmonics [10] as follows: (14) (15) At the output stage of the PA, the inductors should be chosen carefully since they cause the loss of output power and occupy a large area on the chip. Thus, small inductances are implemented, or bond wires can replace the lossy inductors. With the chosen inductances, the capacitances for the harmonic control circuits are given by the resonance conditions (16) (17) where is the operating angular frequency. When the components of , , , and form an infinite impedance by resonance at the third harmonic frequency, the parallel of and becomes an open circuit. is the collector–emitter junction capacitance of the transistor, and the value of is calculated by (14) as follows: (18) where

(19)

KANG et al.: HIGHLY EFFICIENT AND LINEAR CLASS-AB/F PA FOR MULTIMODE OPERATION

81

Fig. 6. Determination of the fundamental load R : (a) One-tone test under the class-F operation. (b) Two-tone test under the class-AB operation. The fundamental load of 4.2 is chosen considering the tradeoff between efficiency and linearity. Fig. 5. (a) Input impedance of the power stage with a sweep of R from 2.6 to 4.6 . (b)  parameter for the large-signal stability with/without the ballasting resistor R when the input impedance of the power stage is matched. Class-F operation is unstable without R .

TABLE I VALUES OF THE COMPONENTS FOR THE CLASS-AB/F PA

The series of and is inductive at the third harmonic frequency, and the required bond-wire inductance is given by (20) where

The fundamental load impedance at the dependent current source of the transistor is normally a real value given by (9). Assuming that is matched conjugately to the impedance of components in front of , the value of is determined as follows: (21) and are the reactance of the third and second harwhere monic control circuits at the operating frequency. and

are the reactance of and , respectively. After is determined, the output matching components are easily calculated by the normal impedance matching methods. The class-AB/F PA consists of a drive stage and a power stage, as illustrated in Fig. 4, and the base currents are provided by the active bias circuits [16]. The operation mode of the class-AB/F PA is controlled by the voltage of . A resistor is connected to the emitter of the bias transistor to prevent thermal runaway. Fig. 5(a) shows the input impedance variation of the power stage according to the operation mode and . The class-AB and class-F operations have different input impedances because of different bias. The inputs of both operations are matched to 50 of resistance. Fig. 5(b) shows the

82

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 7. Simulation results for performance comparison between the class-AB/F PA and the conventional class-AB PA. Supply voltages of 3.4 V and idle currents of 55 and 0.8 mA for the class-AB and class-F operations. (a) One-tone test for the gain and the PAE. (b) Two-tone test with a 2-MHz tone spacing for the IMD characteristics. The power is backoff from the P 1 dB of 31.6 and 32.1 dBm, respectively, for the conventional class-AB PA and the class-AB operation of the PA. Fig. 8. Voltage and current waveforms of the each power cell. (a) Class-F operation of the class-AB/F PA. (b) Class-AB operation of the class-AB/F PA. (c) Conventional class-AB PA.

stability of the power stage, given by a large-signal -parameter test [17]. Both operations are stable before an input enters the PA. When an input turns on and saturates the PA in the class-F mode, the PA is unstable. The class-F operation of the PA becomes stable with of 1 . The design goal of the PA is a multimode operation for an IS-95A CDMA and a PCS1900 GSM signals in the PCS band, i.e., 1.85–1.91 GHz. Over 32 dBm of saturated maximum power is required for PCS1900 PAs and over 31 dBm of the dB output power for the CDMA system. Thus, the PA is designed to drive over 31.2 dBm of dB output power. The supply voltage is 3.4 V and the knee voltage of the device is approximately 0.4 V. For the class-AB operation, the fundamental load is determined by (9). The conduction angle is determined such that the PA under the class-AB operation is as efficient as possible while satisfying the linearity requirement. is at a class-AB bias level. For the class-F operation, is close to . For the fundamental load, tradeoffs in performance should be considered for the class-AB and class-F operations. Fig. 6 shows how to determine the fundamental load

for the multimode operation. The fundamental load is selected to maximize the performances of PAE and output power under class-F operation while satisfying the linearity of class-AB operation. The IMD3 needs to be below 30 dBc at 28 dBm of output power for the class-AB operation. Thus, 4.2 of the fundamental load is selected for the multimode operation. Table I shows the values of the matching circuit elements for the class-AB/F PA. B. Simulated Performance Comparison Two modes of the class-AB/F PA and conventional class-AB PA are simulated for comparison. The conventional class-AB PA has the second harmonic control circuit without the third harmonic control circuit. Their fundamental load impedances are identical to 4.2 . The operations of the class-AB/F PA are achieved by changing only of the bias circuit—the idle

KANG et al.: HIGHLY EFFICIENT AND LINEAR CLASS-AB/F PA FOR MULTIMODE OPERATION

Fig. 9. Chip photograph.

currents for the class-AB and class-F operations are 55 and 0.8 mA, respectively. Fig. 7(a) shows the performance of the PAs. The class-AB operation of the PA with the third harmonic control circuit has a maximum PAE approximately 9.2% higher than the conventional class-AB PA. The maximum PAE of the class-F operation is 65%, which is 3% higher than that of the class-AB operation of the PA. The gain of the class-F operation is lower than that of the class-AB operation because of the lower bias. Due to the third harmonic control circuit, the class-AB operation produces an output power 0.4 dB greater than the conventional class-AB PA. The class-AB operation generates an output power 0.3 dB higher than the class-F operation of the PA. Fig. 7(b) shows the linearity of the PAs. The IMD3 of the class-AB operation follows that of the conventional class-AB PA, while IMD5 is increased by one or two decibels owing to the third harmonic control circuit described earlier in Section II-B. The voltage and current waveforms illustrated in Fig. 8 are helpful in understanding the operation of the class-AB/F PA and the effect of the third harmonic control circuit. The nonlinearity of class-F operation caused by the bias close to a class B is shown in Fig. 8(a). The PA operates nonlinearly and has the wrong phase relationship of voltage harmonics at a low power dBm . However, when the device generates more harmonics due to the nonlinear behavior, the third harmonic control circuit causes a flat voltage waveform, which has close to 180 of phase difference between the fundamental and third harmonic voltage components. The second harmonic of the voltage waveform is suppressed by the L–C series resonant circuit, while the third harmonic of the voltage waveform is enhanced by the L–C parallel resonant circuit. Fig. 8(b) shows the waveforms for the class-AB operation of the class-AB/F PA. The waveforms at a low power are sinusoidal, which enables the linear operation. The voltage waveform in the high power region is square so that it enhances the efficiency. Fig. 8(c) shows the conventional class-AB PA without the third harmonic circuit. It operates linearly in a low power region. The current waveform in the saturated power region is affected by the third harmonic induced, which is not suppressed. The voltage waveform maintains a round shape such that the efficiency of the PA is lower than the others.

83

Fig. 10. Measured CW tests for the class-F and class-AB operations. Supply voltages of 3.4 V, and idle currents of 72 and 0.8 mA for the class-AB and class-F operations.

Fig. 11. Measured IMD characteristics of the class-AB/F PA under the class-AB operation.

IV. IMPLEMENTATION AND EXPERIMENTAL RESULTS The class-AB/F PA is fabricated by an InGaP/GaAs 2- m HBT process. The PA is integrated in a chip with the die size of 1.2 1.2 mm , except for two capacitors of the output matching circuit, a photograph of which is presented in Fig. 9. The inductance for the third harmonic circuit is implemented by a slab inductor instead of a spiral inductor. The slab inductor has a higher -factor than the spiral inductor [19], achieving higher third harmonic impedance and lower loss. A capacitor is implemented on the chip for the second harmonic control circuit, and the gold bond wires complete the L–C series circuit. The chip is assembled on a two-layer FR-4 board and a continuous wave (CW) signal is applied to the class-AB/F PA using Agilent’s E4433B signal generator. The performances of the class-AB and class-F operations are measured and depicted in Fig. 10. The operating frequency is set at 1.88 GHz, which is the center of the PCS band of 1.85–1.91 GHz. For the class-AB bias, the dc supply voltage is 3.4 V, and the idle current is 72 mA with of 2.9 V. The class-AB operation has PAE of

84

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 12. Measured performance of the class-AB operation for an IS-95A CDMA signal. (a) Gain and PAE. (b) ACPR.

59.5% and 61.3% at 31.5 dB of dB and 32.5 dB of , respectively. The maximum power and the PAE of the class-F operation are 32.3 dBm and 63.8%, respectively. These results show that the class-F operation delivers less power and higher PAE than the class-AB operation. The intermodulation distortion (IMD) characteristics of the class-AB operation are measured using a two-tone signal with 2-MHz tone spacing, and they are depicted in Fig. 11. The class-AB/F topology with the third harmonic control circuit does not deteriorate the IMD3 characteristics, and the IMD5 characteristic is degraded a little due to the third control circuit, but its effect is not serious. The result of IMDs clearly shows that the class-AB operation is an adequate linear amplifier. A modulated signal reverse-link IS-95A CDMA with a chip rate of 1.2288 Mc/s at 1.88 GHz is tested to verify the class-AB operation in the real environment, and the test result is illustrated in Fig. 12. The gain and PAE are 30.5 dB and 38.9%, respectively, at the average output power of 28 dBm. The ACPRs are below 49.5 and 56.5 dBc at the offsets of 1.25 and 2.25 MHz, respectively, for the average output power of 28 dBm and below. For the class-F operation measured for a PCS1900 GSM input signal, the highest PAE is 64.7% at the 32.5-dBm output power with a 3.5-V dc supply

Fig. 13. Measured performances of the class-F operation for a PCS1900 GSM signal. (a) Gain and PAE. (b) Sweep of supply voltage with a constant input power. (c) Sweep of frequency across the bandwidth of the signal.

voltage, as shown in Fig. 13(a). The idle current is 0.8 mA with of 2.45 V. In Fig. 13(b), the output power and PAE at the input power of 7 dBm are shown as a function of the dc supply voltage [18], and Fig. 13(c) shows the responses of the PA across the PCS frequency band. Table II shows the measured

KANG et al.: HIGHLY EFFICIENT AND LINEAR CLASS-AB/F PA FOR MULTIMODE OPERATION

TABLE II MEASURED HARMONICS POWER OF CLASS-AB/F PA

85

TABLE III PERFORMANCE COMPARISON WITH CLASS-AB OPERATION OF CLASS-AB/F PA

for a reverse-link CDMA signal in the PCS band fabricated using InGap/GaAs HBT process TABLE IV PERFORMANCE COMPARISON WITH CLASS-F OPERATION OF CLASS-AB/F PA

the class-F operation of the class-AB/F PA is compared with other comparable PAs. These results allow us to conclude that the class-AB/F PA has an acceptable performance for the multimode applications. V. CONCLUSIONS

Fig. 14. Measured output spectra. (a) For a reverse-link IS-95A CDMA signal. Channel bandwidth is 1.25 MHz and resolution bandwidth is 30 kHz. (b) For a PCS1900 GSM signal.

harmonics power at the each mode of PA. Due to the harmonics control circuit, low harmonics power is measured in both modes of the PA. The class-F operation delivers more harmonics than the class-AB operation because of lower bias. Fig. 14(a) and (b) shows the output spectra of the class-AB/F PA for each signal. The class-AB operation performs appropriately for an IS-95A CDMA signal at the average output power of 28 dBm satisfying the linearity specification of 44 and 52 dBc at the offsets of 1.25 and 2.25 MHz, respectively. The spectrum of output power for a PCS1900 GSM signal is also sufficiently covered by the spectrum mask over the full range of output power. In Table III, the performance of class-AB operation is compared with that of other PAs for a CDMA signal in the PCS band, and in Table IV,

We have proposed a new concept of a class-AB/F PA for multimode operation. The class-F operation of the PA is highly efficient and comparable to the class-F PA. The class-AB operation is also highly efficient and linear because it is a standard class-AB PA, but with the third harmonic open circuit. The open circuit generates a little fifth harmonic, but the efficiency and power density are enhanced. The proper multimode operation is achieved by selecting an intermediate fundamental load that considers the two modes of operation. The simulation results show that the class-AB operation of the class-AB/F PA has a PAE 9.2% higher than the conventional class-AB PA, which does not deteriorate the IMD characteristics. The PAE of the class-F operation is found to be 3% higher than that of class-AB operation of the PA. The PA is implemented by an InGaP/GaAs HBT process to demonstrate the operations and tested. The class-F operation has a maximum PAE of 64.7% measured at 32.5-dBm output power for a PCS1800 GSM signal, while the class-AB operation has a PAE of 38.9% and the adjacent channel power ratios (ACPRs) of 49.5 and 56.5 dBc at the offsets of 1.25 and 2.25 MHz, respectively, are measured at the average output power of 28 dBm for an IS-95A CDMA signal. These results show clearly that the class-AB/F PA is a good candidate for the multimode PA of the next-generation wireless communication systems. ACKNOWLEDGMENT The authors would like to thank Wireless Power Amplifier Module (WiPAM) Inc., Seongnam, Gyeongi, Korea, for the advice and the chip fabrication. The authors would also like to acknowledge the support of Dr. H. Park, K. Lee, and H. Jung, all with the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea.

86

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

REFERENCES [1] L. Zhen, Z. Wenan, S. Junde, and H. Chunping, “Consideration and research issues for the future generation of mobile communication,” in Proc. IEEE Can. Elect. Comput. Eng. Conf., Mar. 2002, vol. 6, no. 1, pp. 44–55. [2] B. Bing and N. Jayant, “A cellphone for all standards,” IEEE Spectr., vol. 39, no. 5, pp. 34–39, May 2002. [3] B. Bakkaloglu and P. A. Fontaine, “Multi-mode, multi-band RF transceiver circuits for mobile terminals in deep-submicron CMOS processes,” in Proc. IEEE Radio Freq. lntegrated Circuits Symp., Jun. 2005, pp. 483–486. [4] E. McCune, “High-efficiency, multi-mode, multi-band terminal power amplifiers,” IEEE Micro, vol. 6, no. 1, pp. 44–55, Mar. 2005. [5] P. D. Tseng, L. Zhang, G. B. Gao, and M. F. Chang, “A 3-V monolithic SiGe HBT power amplifier for dual-mode (CDMA/AMPS) cellular handset applications,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1338–1344, Sep. 2000. [6] S. Maeng, S. Chun, J. Lee, C. Lee, K. Youn, and H. Park, “A GaAs power amplifier for 3.3 V CDMA/AMPS dual-mode cellular phones,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2839–2844, Dec. 1995. [7] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [8] F. H. Raab, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [9] C. Duvanaud, S. Dietsche, G. Pataut, and J. Obregon, “High-efficient class F GaAs FET amplifiers operating with very low bias voltages for use in mobile telephones at 1.75 GHz,” IEEE Microw. Guided Wave Lett., vol. 3, no. 8, pp. 40–48, Aug. 1993. [10] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “On the class-F power amplifier design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, no. 2, pp. 129–149, 1999. [11] P. Colantonio, J. A. Garcia, F. Giannini, C. Gomez, N. B. Carvalho, E. Limiti, and J. C. Pedro, “High efficiency and high linearity power amplifier design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 15, no. 5, pp. 453–468, 2005. [12] W. Kim, S. Kang, K. Lee, M. C. Chung, J. Kang, and B. Kim, “Analysis of nonlinear behavior of power HBTs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1714–1722, Jul. 2002. [13] W. Kim, S. Kang, K. Lee, M. C. Chung, Y. Yang, and B. Kim, “The effects of Cbc on the linearity of AlGaAs/GaAs power HBTs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1270–1276, Jul. 2001. [14] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for high efficiency class-F and inverse class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1969–1974, May 2006. [15] S. Gao, “High-efficiency class-F RF/microwave power amplifiers,” IEEE Micro, vol. 7, no. 1, pp. 40–48, Feb. 2006. [16] Y. Noh and C. Park, “PCS/W-CDMA dual-band MMIC power amplifier with a newly proposed linearizing bias circuit,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1096–1099, Sep. 2002. [17] M. L. Edwards and J. H. Sinsky, “New criterion for linear 2-port stability using a single geometrically derived parameter,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. [18] K. C. Tsai and P. R. Gray, “A 1.9-GHz 1-W CMOS class-E power amplifier for wireless communications,” IEEE J. Solid-State Circuits, vol. 34, pp. 962–970, Jul. 1999. [19] I. Aoki, S. Kee, D. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [20] Y. Yang, “High pass output matching technique with enhanced third harmonic rejection for CDMA power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 2051–2054. [21] J. Kim, Y. Noh, and C. Park, “A bias controlled HBT MMIC power amplifier with improved PAE for PCS applications,” in Proc. Int. Microw. Millimeter-Wave Technol. Conf., Aug. 2002, pp. 725–728. [22] J. Muller, P. Baureis, O. Berger, T. Boettner, N. Bovolon, R. Schultheis, G. Packeiser, and P. Zwicknagl, “A small chip size 2 W, 62% efficient HBT MMIC for 3 V PCN applications,” IEEE J. Solid-State Circuits, vol. 33, no. 9, pp. 1277–1283, Sep. 1998. [23] E. A. Jarvinen and M. J. Alanen, “GaAs HBT class-E amplifiers for 2-GHz mobile applications,” in Proc. Radio Freq. lntegrated Circuits Symp., Jun. 2005, pp. 421–424. [24] R. Koller, A. Stelzer, K. Abt, A. Springer, and R. Weigel, “A class-E GSM-handset PA with increased efficiency,” in Proc. 2003 33rd Eur. Microw. Conf., Oct. 2003, vol. 1, pp. 257–260.

Daehyun Kang received the B.S. degree in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea. His main research interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF PA design.

Daekyu Yu received the B.S. degree in electrical engineering from Hanyang University, Seoul, Korea, in 2001, and the Ph.D. degree from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2007. In 2007, he founded Wireless Power Amplifier Module (WiPAM) Inc., Seongnam, Gyeunggi, Korea. His research interests include the design of highly efficient and linear RF PAs for fourth–generation (4G) wireless communication and high-speed optimization of InP-based HBTs.

Kyoungjoon Min received the B.S. degree in electronic engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at POSTECH. In 2007, he founded the Wireless Power Amplifier Module (WiPAM) Inc., Seongnam, Gyeunggi, Korea. His research interests include highly linear and efficient RF PA for 4G mobile communication.

Kichon Han received the B.S. and M.S. degrees in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 1996 and 1998, respectively, and is currently working toward the Ph.D. degree at POSTECH. From 2000 to 2006, he was with Future Communications IC (FCI) Inc., Seongnam, Gyeongi, Korea, where he designed PAs and transceivers for cellular handsets. His interests include GaAs HBT RF PAs and SiGe HBT RF transceivers.

Jinsung Choi (S’07) received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2004, and is currently working toward the Ph.D. degree at POSTECH. His main research interests are CMOS RF circuits for wireless communications, mixed-mode signal-processing integrated-circuit design, and highly efficient and linear RF transmitter architectures.

Dongsu Kim received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2007 and is currently working toward the Ph.D. degree at POSTECH. His research interests are CMOS RF circuits for wireless communications with a focus on highly efficient and linear RF transmitter design.

KANG et al.: HIGHLY EFFICIENT AND LINEAR CLASS-AB/F PA FOR MULTIMODE OPERATION

Boshi Jin received the B.S. and M.S. degrees in electrical and communication engineering from the Harbin Institute of Technology, Harbin, China, in 2003 and 2005, respectively, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea. His current research interests include the fully integrated RF CMOS PA and its linearity techniques.

Myoungsu Jun received the B.S. degree in electrical and computer engineering from Pusan National University, Pusan, Korea, in 2007, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea. His current research interests include highly efficient CMOS RF PA design.

87

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). He was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena, in 2001. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

88

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Analysis and Experiments of Compact Folded Substrate-Integrated Waveguide Wenquan Che, Member, IEEE, Liang Geng, Kuan Deng, and Y. Leonard Chow, Life Member, IEEE

Abstract—The novel folded substrate-integrated waveguide (FSIW) is 50% narrower than the substrate-integrated waveguide, but both have similar propagation characteristics. This paper derives the analytical formulas of propagation and cutoff characteristics of the FSIW, as well as the formulas to calculate the width and gap of the central metal septum. Very good agreements ( 2% error) are observed among the results of the theoretical formulas, numerical simulations, and hardware experiments over wide frequency and parameter ranges. Index Terms—Folded substrate-integrated waveguide (FSIW), ridged waveguide, substrate-integrated waveguide (SIW). Fig. 1. Configurations of SIW and FSIW. (a) SIW. (b) FSIW.

I. INTRODUCTION

T

HE rectangular waveguide (RWG) structures have advantages over other planar transmission lines for millimeter-wave band applications because of its low loss and high . However, they are difficult to manufacture and integrate with planar circuitry due to their 3-D geometry. In recent years, some scholars have proposed the substrate-integrated waveguide (SIW) to solve these problems. The original SIW, as shown in Fig. 1(a), largely preserves the advantages of conventional RWGs [1], [2], i.e., high , easy connection with microstrip or coplanar circuit, and easy adoption into filters and antennas [3]–[6]. Similar to the microstrip, the SIW also has the advantages of low cost, low profile, and light weight, but unlike the microstrip, it has high and high power capacity. Although the SIW has a low profile and is lightweight, its width may be too large for some circuits. Therefore, width reduction and compact integration is still desirable. To reduce the width, Chen et al. [7] studied the folded regular waveguide (FRWG), i.e., of solid walls. Grigoropoulos et al. [8], [11], Sanz Izquierdo et al. [9], [10], and Kim and Lee [12] then introduced the concept and geometry of the folded SIW (FSIW) with walls of cylindrical vias; several devices have been studied to demonstrate this structure. They found that if the width of the FSIW is nearly half of the width of the original SIW

Manuscript received March 28, 2007; revised September 13, 2007. This work was supported in part by the National Science Foundation of China under Grant 60471025. W. Che, L. Geng, and K. Deng are with the Department of Electrical Engineering, Nanjing University of Science and Technology, 210094 Nanjing, China (e-mail: [email protected]; [email protected]; [email protected]). Y. L. Chow is with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.911955

and its height is twice that of the SIW, the FSIW has nearly the same propagation and cutoff characteristics as the SIW. However, to date, no rigorous theoretical analyses have been available to give comprehensive design formulas. This paper derives the desired formulas of FSIW, i.e., the propagation constant and cutoff frequency as a function of all the parameters of the SIW, as well as the gapwidth between the two wings of the FSIW. Very good agreement is observed among the formulas, the numerical High Frequency Structure Simulator (HFSS) simulations, and the measurements of the prototype. II. THEORETICAL DERIVATION OF THE SIW FORMULAS The geometry of an SIW is shown in Fig. 1(a) and that of an being the width of the FSIW (i.e., folded) in Fig. 1(b), with FSIW and being the gap between the central metal layer and of the FSIW of the right cylindrical sidewall. The thickness Fig. 1(b) is twice that of the of the SIW in Fig. 1(a), while its is nearly half of . width A. Conversion of the SIW (Unfolded and Folded) to Regular Waveguides of Solid Wall For the folding operation, to avoid distraction of the cylinder walls, a solid wall shall be assumed first on the waveguide (RWG and FRWG, regular and folded). In Fig. 1(a) and (b), this is equivalent to having the cylinder radius equal to one-fourth [13]. of the cylinder separation B. Conversion of a Folded Waveguide Into a Ridged Waveguide of Solid Walls This conversion is done by a synthetic asymptote constructed in a number of steps from two regular asymptotes, near and far. Symbols and , without prime, denote the width and gap of the waveguide of the solid wall. Near Asymptote: With the solid wall, as long as the gap is asymptotically small, it is known from [14] that the propa-

0018-9480/$25.00 © 2007 IEEE

CHE et al.: ANALYSIS AND EXPERIMENTS OF COMPACT FSIW

89

Synthetic Asymptote: The combination of the near and far asymptotes then gives

(2)

The derivation up to this point has considered only the major fields in the structure; as a result, some minor fringe fields (e.g., multiple images of the slot by the top and bottom solid plates) have been neglected. This neglect is easily corrected by introducing a small factor to (2) to obtain the following:

Fig. 2. (first line) Cross sections of an FRWG. (a) Near and (b) far asymptotes. (second line) (c) Unfolding of the near asymptote of FRWG. (d) Equivalent resonant circuit of the near and far asymptotes.

gation of an FRWG of Fig. 2(a) is equivalent to a thin-ridged waveguide (unfolded) of Fig. 2(c). The small gap gives a capacitance ; then as shown in Fig. 2(d), the cross section of this ridged waveguide is equivalent to a 2-D transmission with a shunt at the middle. The 2-D transmission line (i.e., parallel plate) [15] is the same as the usual 3-D transmission line (e.g., twin lead), except that the distributed capacitance is in (F/m)/m or F/m . The extra “/m” is for the third direction, i.e., into the paper in Fig. 2(c) and (d). Similar extra “/m” is to be included in the distributed inductance and the shunt capacitance of the above. The electric field across the small is a magnetic current along the third direction. The duality (between magnetic currents of a slot antenna and the electric currents of a dipole antenna) indicates that the capacitance is (1) where the first factor of 4 comes from the duality above and the Ampere’s law on the magnetic current , the second factor of and the guide thickness to 2 and the gap doubling to comes from the imaging of the gap in Fig. 2(a), and the effect of seems to be zero when from the empirical observation of [14]. Far Asymptote: In Fig. 2(b), let the gap be asymptotically . This gives a series of consequences as large to approach follows: 1) ridge vanishes; ; 2) length of the equivalent 2-D transmission line is now resonant length can be effectively achieved in the 3) this (i.e., inductance circuit of Fig. 2(d) by letting and approaching zero); of the far asymptote (of ) can be 4) this in (1) obtained by replacing the variable by without disturbing the value of the near asymptote (of ).

(3)

The analyses have shown that the factor (of the order of unity) changes slowly with different types of walls, solid or SIW, of interest to this paper is that folded or unfolded. The one for the FSIW of the folded. This value is given in (14). C. Propagation of the FRWG (Folded Rectangular Waveguide) The characteristic admittance of the 2-D parallel-plate transmission line along the cross section of a waveguide propagating mode is the fundamental (4) where is the propagation constant in a homogeneous medium , is the propagation constant along the is the transverse propagation constant along . In SIW, and Fig. 2(d), with a short at each end and a central , the 2-D transmission line is a cavity with a transverse propagation constant given by (5) Substituted by (3) and (4),

of (5) can be written as

(6)

with (7) With

known, the propagation constant

along the FRWG is (8)

90

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

The cutoff frequency occurs when the propagation constant is zero. From (6), the is given by

(9)

Equation (9) completes the derivation for FRWG of Fig. 2(a) from the folding of the RWG of Fig. 2(c). of Fig. 2(a) In a compact circuit design, the FRWG width (with the FSIW version to follow) may be restricted for a certain passband above its cutoff frequency . It may be necessary to find a formula of the gapwidth to satisfy such requirements. From the cutoff frequency formula (9), we obtain Fig. 3. Comparison of propagation constants, simulated and calculated, in SIW and FSIW. " = 2:65, h = 0:8 mm, R = 0:45 mm, W = 1:2 mm, a = 16 mm, a = 8:12 mm, g = 0:58 mm.

(10)

After a straightforward manipulation of (10), for propagation above the cutoff , we get the required gapwidth as (11)

where is the change in waveguide widths from RWG to SIW in Fig. 1(a). The same applies from the FRWG to FSIW since after folding the FSIW still has cylinder walls on two opposite sides, as observed in Fig. 1(b). Also, is the width of the SIW, is the cylinder spacing, is the cylinder radius, and is the width of the equivalent RWG. The propagation constant of the FSIW is given by

The FSIW version of (11) is in (15) and (16). (13)

D. Conversion From the Folded Waveguide (FRWG) to the FSIW Fig. 2(a)–(c) shows the folding of the ridged RWG to FRWG of the solid wall. Upon folding the SIW to FSIW, one may notice that in addition to the two original cylinder sidewalls, an extra cylinder sidewall is created in Fig. 2(a), at the right of the FSIW. This corresponds to Fig. 2(c) of the SIW, as well as the RWG (with ridge)—a set of cylinders shorting across a slot cut along the longitudinal centerline on the bottom wall. For small waveguide thickness in Fig. 2(a), the central slot in Fig. 2(c) is narrow. An old fashioned “slotted line” of RWG has exactly the same cut with little measurable effect on the propagation (i.e., with or without the ridge). The effect is even smaller with the set of cylinders shorting across the slot. The above argument indicates that the same conversion of a cylinder wall to the equivalent solid wall of SIW to RWG can be applied equally to the folded version of FSIW to FRWG. The actual conversion is now given in (12). For an SIW, its two cylindrical via end-walls can be analytically converted to two solid end-walls for a slightly different waveguide width. This conversion, with high accuracy and based on the surface impedance of the SIW wall of cylinders [13], [16], is given as follows:

The cutoff frequency of the fundamental FSIW is then

mode in the

(14) It should be noted that is taken to be equal to and . This one value is found to provide a good match ( 2% error) between the formulas, e.g., (13) and (14), and the simulated results by HFSS for a wide range of the FSIW structures, e.g., Figs. 3–6 and Table I. While (13) and (14) are for the FSIW, the gapwidth parameter is still that of the FRWG. For the FSIW, the gapwidth is (15) Using (15), as well as (13) and (14), the dispersion and cutoff characteristics of the FSIW can be calculated. In addition, considering the width deviation between the SIW and its equivalent RWG, the width of FSIW is thus expressed as (16)

(12)

The case of the SIW is similar: the cylinder spacing in the and less than . FSIW is required to be less than

CHE et al.: ANALYSIS AND EXPERIMENTS OF COMPACT FSIW

Fig. 4. Calculated and simulated propagation constants of the FSIW, " 2:65, h = 0:8 mm, R = 0:45 mm, W = 1:2 mm, a = 16 mm, a 8:12 mm. (a) g = 0:7 mm. (b) g = 0:9 mm.

91

= =

Fig. 5. Comparison between the calculated and simulated propagation constants of FSIW at two substrate heights (a) and (b). " = 2:65, R = 0:45 mm, W = 1:2 mm, a = 16 mm, a = 8:12 mm. (a) h = 0:4 mm, g = 0:6 mm. (b) h = 1:6 mm, g = 1:5 mm.

III. ANALYTICAL AND NUMERICAL VERIFICATIONS OF THE FORMULAS An example of the FSIW is taken below to verify our abovederived formulas. The SIW and FSIW are all constructed into , substrate height of the same dielectric substrate with the SIW mm, cylinder radius mm, cylinder mm, and the SIW width mm. The spacing FSIW width and gap can be found from (16) and (15), i.e., mm and the gapwidth mm. The calculated propagation constants of the FSIW from (13) are illustrated in Fig. 3, as well as the numerical simulations of the SIW and FSIW. Very good agreement for the FSIW can be observed between the simulated results and the calculations from our formula; the maximum deviation is approximately 1%, demonstrating the validity and accuracy of our formula. In addition, it can also be observed that the FSIW preserves nearly the same propagation and cutoff characteristics with the SIW if the FSIW width and the gap satisfy (16) and (15), respectively. In addition, the propagation constants of the FSIW are also mm calculated in cases of two different gapwidths, i.e., mm. In these two cases, all the parameters, except and

Fig. 6. Measured propagation constants compared to the calculated and simulated results. " = 2:65, h = 0:8 mm, cylinder radius R = 0:45 mm, W = 1:2 mm, a = 16 mm, a = 8:12 mm, g = 0:7 mm.

the gapwidth, are the same as those in Fig. 3. The results are illustrated in Fig. 4(a) and (b), as well as the numerical simulations with HFSS commercial software. Good agreement can

92

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I SIMULATED AND CALCULATED CUTOFF FREQUENCIES OF FSIW FOR DIFFERENT GAPWIDTHS g . " = 2:65, h = 0:8 mm, R = 0:45 mm, W = 1:2 mm, a = 16 mm, a = 8:12 mm

at error of 3.6% averaged from 6 to 12 GHz. The width is the cutoff frequency in the substrate . This is convenient since it can be stated if the substrate thickness of the FSIW is thicker at the cutoff), the formulas than the quasi-static limit (of would give rise to a larger error in the propagation (e.g., 4%). IV. EXPERIMENTAL RESULTS AND DISCUSSIONS

also be observed in these two figures, again demonstrating the validity of our formulas. To further demonstrate the validity of the derived formulas, the cutoff frequencies of the dominant mode in the FSIW are simulated and calculated, respectively; the results are listed in Table I. The parameters of the SIW are given in Table I, which lists the cutoff frequencies in cases of different gapwidths of the mm. FSIW structure. From (16), the width of FSIW is the simulated cutoff frequency by HFSS In Table I, is the calculated cutoff frequency from software, while (14). As shown in Table I, very good agreement between the simulated and calculated cutoff frequencies of the dominant mode in the FSIW can be observed. The deviation between and is small: all are less than 1% when gapwidth does not go beyond the substrate height . When the propagation constant of the SIW equals the propagation constant of the FSIW, the gapwidth of the FSIW from (14) is 0.58 mm. In this case, the deviation between the simulated and calculated cutoff , is 0.173%, and is much below the exfrequencies, i.e., pected tolerance of the HFSS simulation software. As shown in Table I, the maximal deviation is still less than 3% when the gapwidth equals 1.2 mm. This demonstrates the accuracy of the proposed formulas. It should be noted that, in practical engineering design, the gapwidth usually approaches the substrate height of the SIW structure; in such cases, almost the same propagation characteristics with the original SIW structure can be retained. The FSIW formulas are derived based on the equivalence to a 2-D transmission line at (5)—along the cross section of the waveguide. The implied TEM propagation along the 2-D line then requires a thin substrate with respect to the waveguide . It is, therefore, of interest to obtain the ratio width , i.e, of at which the error of the formulas begins to increase. mm before, the Bracketing the substrate height of mm and mm are calculated and cases of simulated; the results are given in Fig. 5(a) and (b). As expected, mm , Fig. 5(a) at a small thickness of seems to have a small error compared to those in Figs. 3 and mm or ). However, at a larger thickness 4( mm , Fig. 5(b) shows a more noticeable of

The theoretical analysis of the FSIW has been carried out above. Three formulas have been obtained; very good agreement has been observed between the numerical simulations and theoretical calculations of cutoff frequencies. To further verify the validity of the proposed formulas, one prototype of the FSIW with a linearly tapered microstrip line transition (LTML) [17] has been fabricated and measured. The dimensions of the FSIW are the same as in Table I. The width of the FSIW is found to mm from (16) and the gapwidth is found to be be mm from (15), respectively. The parameters of the FSIW are given in Fig. 6. In Fig. 6, the simulated theoretically calculated [from (13)] and measured (one prototype) propagation constants of the FSIW are given. All three propagation constants agree surprisingly well with deviations of less than 1% between one another over the full frequency range. This indicates the accuracy of the formula. For measurement of propagation , two FSIW sections of and , are constructed with matched stripdifferent lengths, line transitions at the ports. Between the ports of each section, or is then measured. the phase difference . In this way, the need to account for the extra phases from the strip-line transitions is avoided. The very close agreements among the results from entirely different methods (the measurement, numerical simulation by HFSS, and derived formulas of theory with only one simple confor minute fringes) should give one confidence on the stant validity of the formulas of the FSIW in this paper. As to the FSIW structure in this study, the fringing field correction factor is chosen as 1.3. However, it must be noted that the further analyses also indicate that the selection of would ranges not greatly affect the accuracy; when the value of from 1.1 to 2, the derivation between the calculated results and the simulated results are still maintained below 3%, as demonstrated in Table I and Figs. 3–5. V. CONCLUSIONS The theoretical formulas of propagation and cutoff characteristics of the FSIW have been derived for the first time in this paper and with very high accuracy (error 2%). Upon folding, the width of the FSIW is nearly half of the SIW, implying approximately 50% size reduction; this will be very useful for the design of more compacted microwave devices. The FSIW formulas are derived based on the theoretical equivalence between the cross sections of a waveguide and a 2-D transmission line of TEM propagation. TEM propagation requires a thin substrate in the SIW and its folded version of the FSIW. A numerical simulation shows that the substrate may of the quasi-static limit not be very much thicker than with being the wavelength of the cutoff frequency and in the substrate . It is understood that the TEM propagation along

CHE et al.: ANALYSIS AND EXPERIMENTS OF COMPACT FSIW

the cross section (2-D) implies the fundamental mode in the SIW or FSIW in 3-D. Non-TEM propagation in 2-D then implies the appearance of higher order waveguide modes in 3-D. It has been found [18] that with the cylinder separation along the sidewalls of the SIW being equal to or smaller than of the static limit, both the leakage and ohmic losses along the SIW are minimal, comparable, or even smaller than the RWG (regular waveguide of solid walls). Based on the above derivation, the same is expected for the FSIW. REFERENCES [1] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propag., vol. 46, no. 5, pp. 625–630, May 1998. [2] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [3] Y. Cassivi, L. Perregrini, P. Arcionic, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [4] Z.-C. Hao, W. Hong, J.-X. Chen, X.-P. Chen, and K. Wu, “Compact super-wide bandpass substrate integrated waveguide (SIW) filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2968–2977, Sep. 2005. [5] Y. Cassivi and K. Wu, “Low cost microwave oscillator using substrate integrated waveguide cavity,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 48–50, Feb. 2003. [6] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [7] G. L. Chen, T. L. Owens, and J. H. Whealton, “Theoretical study of the folded waveguide,” IEEE Trans. Plasma Sci., vol. 16, no. 2, pp. 305–311, Apr. 1998. [8] N. Grigoropoulos, B. S. Izquierdo, and P. R. Young, “Substrate integrated folded waveguides (SIFW) and filters,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 829–831, Dec. 2005. [9] B. Sanz lzquierdo, P. R. Young, N. Grigoropoulos, J. C. Batchelor, and R. J. Langley, “Substrate-integrated folded waveguide slot antenna,” in Proc. IEEE Small Antennas Novel Meta Mater., Sep. 2005, pp. 307–309. [10] B. S. lzquierdo, P. R. Young, N. Grigoropoulos, J. C. Batchelor, and R. J. Langley, “Slot antenna on C type compact substrate integrated waveguide,” in Eur. Microw. Conf., Oct. 2005, vol. 1, pp. 1109–1112. [11] N. Grigoropoulos and P. R. Young, “Compact folded waveguides,” in 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 973–976. [12] D.-W. Kim and J.-H. Lee, “Partial H -plane filters with partially inserted H -plane metal vane,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 351–353, May 2005. [13] W. Che, L. Xu, D. Wang, L. Geng, K. Deng, and Y. L. Chow, “Equivalence between substrate-integrated rectangular waveguide (SIRW) short-circuit load and its equivalent rectangular waveguide short-circuit load,” Microw. Opt. Technol. Lett., vol. 48, no. 9, pp. 1694–1698, Sep. 2006. [14] J. D. Kraus and D. A. Fleisch, Electromagnetics With Applications, 5th ed. New York: McGraw-Hill, 1999, p. 292. [15] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [16] W. Che, L. Xu, D. Wang, K. Deng, and Y. L. Chow, “Short-circuit equivalence between rectangular waveguides, of regular sidewalls (RWG) and of sidewalls of cylinders (SIRW), plus its extension to cavity,” IET Microw. Antennas Propag., vol. 1, no. 3, pp. 639–644, 2007. [17] C. L. Edwards, S. Cheng, and R. K. Stilwell, “A simplified analytics CAD model for linearly tapered microstrip lines including losses,” IEEE Trans. Microw. Tech., vol. 52, no. 3, pp. 823–830, Mar. 2004.

93

[18] W. Che, D. Wang, K. Deng, and Y. L. Chow, “Leakage and ohmic losses investigation in substrate-integrated waveguide,” Radio Sci., vol. 42, no. 10, pp. 1–8, 2007.

Wenquan Che (M’01) received the B.Sc. degree from the Shanghai, China, in 1990, the M.Sc. degree from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 1995, and the Ph.D. degree from City University of Hong Kong (CITYU), Hong Kong, in 2003. In 1999, she was a Research Assistant with CITYU. From March 2002 to September 2002, she was a Visiting Scholar with the Polytechnique de Montréal, Montréal, QC, Canada. From July 2005 to September 2005 and July 2006 to September 2006, she was a Research Fellow with CITYU. She is currently an Associate Professor with NUST. She will conduct academic research for one-year with the Institute of High Frequency Technology, Technische Universität München, Munich, Germany. She has authored or coauthored over 50 papers in referred journals. Her interests include electromagnetic computation, ferrite and ferroelectric devices in microwave and millimeter-wave frequency, and planar/coplanar structure circuits and subsystems in millimeter-wave frequency. Dr. Che was the recipient of the 2007 Humboldt Research Fellowship presented by the Alexander von Humboldt Foundation of Germany.

Liang Geng received the B.Sc. degree in electrical engineering from Chang’an University, Xi’an, China, in 2005, and is currently working toward the M.phil. degree in electrical engineering at the Nanjing University of Science and Technology, Nanjing, China. His research interest is related to the engineering design of microwave passive devices and the theoretical analysis of FSIW structures.

Kuan Deng received the B.Sc. degree in electrical engineering from Zhengzhou University, Zhengzhou, China, in 2001, and the M.Sc. degree from the Nanjing University of Science and Technology, Nanjing, China, in 2006. For six months, he was a Research Assistant with the Department of Electronic Engineering, City University of Hong Kong. His research interests are related to the engineering design of RF devices for wireless communication and the theoretical analysis of SIW structures.

Y. Leonard Chow (S’60–M’65–LM’02) received the Ph.D. degree from the University of Toronto, Toronto, ON, Canada, in 1965. From 1964 to 1966, he was with the National Radio Astronomy Observatory, where he designed the array configuration of the VLA of 27 25-m dishes of Soccoro, NM. In 1966, he was with the University of Waterloo (UOW), Waterloo, ON, Canada, where he was involved with numerical methods and simplification of electromagnetic theory for monolithic microwave integrated circuit (MMIC) designs. In 1996, he retired from UOW and became a Professor with the City University of Hong Kong (CITYU), Hong Kong. In 2002, he returned to UOW as Professor Emeritus. He has authored or coauthored over 250 journal and conference papers. He holds seven U.S. and Canadian patents.

94

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Stability and Accuracy of a Finite-Difference Time-Domain Scheme for Modeling Double-Negative Media With High-Order Rational Constitutive Parameters Ana Grande, José A. Pereda, Member, IEEE, Oscar González, and Ángel Vegas, Member, IEEE

Abstract—This paper introduces an extension of the original finite-difference time-domain (FDTD) method for modeling doublenegative media characterized by high-order frequency-dependent permittivity and permeability. The approach basically consists of adding electric and magnetic current densities to Maxwell’s curl equations and considering Ohm’s law as a constitutive relationship. Current densities are discretized by using a weighted average in time and Ohm’s law by applying the Mobius transformation technique. The extended FDTD formulation is validated and its numerical features are carefully examined. More specifically, analytical stability conditions are derived for several types of double-negative media and the numerical dissipation issue is discussed. In addition, the numerical dispersion equation for general high-order doublenegative media is given and the order of accuracy of the scheme is studied. Finally, the definition of numerical refractive index is addressed and it is shown that, when the discretization parameters of the problem are not properly chosen, a negative refractive index may become a positive one in the discrete world, thus changing the physics of the problem. Index Terms—Double-negative media, finite-difference timedomain (FDTD) methods, Mobius transformation, numerical dispersion, stability.

I. INTRODUCTION OUBLE-NEGATIVE media are metamaterials exhibiting both negative permittivity and permeability in a certain frequency band. These media were theoretically investigated by Veselago in 1968 [1]. However, his pioneering work remained forgotten for almost 30 years, until 1998 when Pendry et al. presented its first possible realization [2]–[4], suggesting that they could be manufactured by combining metallic wires and split-ring resonators. Any physical realization of a double-negative medium is dispersive, i.e., its effective constitutive parameters are complex functions of the frequency. To account for this frequency dependence, a simple plasmonic model is usually adopted to characterize the electric response of the wires, and a resonant Lorentz

D

Manuscript received April 23, 2007; revised July 13, 2007. This work was supported by the Spanish Ministerio de Educación y Ciencia under Project TEC2006-13268-C03-03/TCM. The authors are with the Departamento de Ingeniería de Comunicaciones (DICOM), Universidad de Cantabria, 39005 Santander, Cantabria, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912195

model is generally used to describe the magnetic behavior of the split-ring resonators. Nevertheless, when losses in the matrix material are taken into account, these widely accepted models need to be modified. The form of the permeability function depends on the nature of the dominant loss mechanism in the substrate [5]. Moreover, it has been pointed out that the effective permittivity is strongly affected by the electric response of the split-ring resonators [6]. Furthermore, in order to obtain a suitable electromagnetic response, all types of design possibilities have been extensively investigated, yielding a huge variety of different artificial media, whose effective medium parameters cannot be characterized by the aforementioned simple models. That is the case, for instance, of some of the double-negative materials designed and tested in [7], whose permittivity and permeability present multiple resonances. In [8] and [9], a metamaterial based on -shaped inclusions is proposed. This medium shows two magnetic resonances, which overlap with an electrical plasmonic behavior leading to a material with two double-negative bands. In the same way, the medium reported in [10] shows a refractive index that reaches negative values in two frequency bands. Thus, a realistic characterization of a double-negative medium should account for all the resonances of its constituents. Any accurate model of the permittivity and permeability should be given by superimposed Lorentz-like resonances. Hence, a high-order frequency-dispersive model for and seems to be the best choice to properly simulate the interaction of an electromagnetic wave with a double-negative medium in a broad frequency band. The finite-difference time-domain (FDTD) method is one of the most popular tools in computational electrodynamics [11], [12]. In recent years, the original FDTD scheme has been extended to the modeling of double-negative media [13]–[20]. However, the formulations reported thus far only stand for simple Drude or Lorentz models of the permittivity and the permeability, and they need to be reformulated for any other frequency dependence of the constitutive parameters. Recently, a new extension of the FDTD method for modeling high-order dispersive double-negative media was briefly introduced in [21]. This formulation permits the incorporation, into FDTD simulators, of effective constitutive parameters described by high-order rational functions of the frequency. The approach basically consists of adding electric and magnetic current densities to Maxwell’s curl equations and considering Ohm’s law as the constitutive relationship. In [21], the current density terms

0018-9480/$20.00 © 2007 IEEE

GRANDE et al.: STABILITY AND ACCURACY OF FDTD SCHEME FOR MODELING DOUBLE-NEGATIVE MEDIA

were discretized by using central average in time and Ohm’s law by applying the Mobius transformation technique [22]. This paper analyzes the numerical features of the formulation introduced in [21]. Moreover, with the aim of providing a more general study, alternative approximation possibilities for the current density terms are explored. Specifically, central average in time is generalized to weighted average in time. For instance, the electric current density in Ampère’s law is ap, where is proximated as . The values a real-valued parameter in the range and correspond to the backward, central, and forward are referred approximations, respectively. The cases to as backward-like schemes and the cases as forward-like schemes. This generalization to weighted average in time was first introduced in [23] and it is a natural extension of previous works dealing with lossy dielectrics [24] where the and are two common choices. values A finite-difference approximation of a differential model (in our case, Maxwell’s equations) leads to a difference model that satisfies a dispersion equation that is, in general, different from the one corresponding to the original differential problem. As a consequence, the phase and attenuation constants of the simulated waves also differ from the exact ones, resulting in numerical dispersion and numerical dissipation, i.e., cumulative phase errors and possible artificial attenuation. With the label “numerical,” we denote the simulated quantities to distinguish them from their differential (exact) counterparts. The study carried out herein begins by deriving analytical stability conditions for several types of double-negative media by means of the von Neumann method. The numerical dissipation issue is directly discussed from the stability polynomial. In addition, the numerical dispersion equation for general high-order double-negative media is given and the order of accuracy of the extended FDTD scheme is studied. Finally, the definition of a numerical refractive index is addressed, and it is shown that, when the discretization parameters of the problem are not properly chosen, a negative refractive index may become a positive one in the discrete world. Throughout this study, the impact of the parameter on the numerical features of the FDTD scheme is carefully examined.

The permeability

95

and permittivity

can be expressed as

(3a) (3b) and are the values of the permittivity and the perwhere and are the elecmeability at high frequency and tric and magnetic conductivities, respectively. We assume these conductivities to be rational functions of the complex frequency such as

(4a)

(4b)

where and are integers denoting the order of the model for and , respectively, and , , , and are real-valued coefficients. In cases where, in (4), the degree of the numerator is not equal to that of the denominator, the order of the model is given by the maximum value of both degrees. The model described by (3) and (4) is a natural generalization of the commonly used Drude and Lorentz models. Moreover, it allows more realistic models such as those recently reported in [5]–[10] to be considered. In addition, there are well-known fitting methods that can be used to obtain the coefficients in (4) directly from the experimental characterization of the materials. Substituting (2) into (1) and rearranging terms, we arrive at (5a) (5b) where and are the electric and magnetic current densities, respectively. They are related to the field intensities through Ohm’s law as

II. THEORY A. Differential Model

(6a)

Considering Maxwell’s curl equations in the time domain for linear isotropic media and using the property , we obtain the following Laplace-domain expressions: (1a) (1b) The constitutive relations, in the Laplace domain, for doublenegative media are given by

(6b) This representation of the differential governing equations in terms of field intensities and current densities is sometimes referred to as the JE formulation [25]. Our goal now is to derive a discrete approximation to (5) and (6) in the time domain, consistent with the conventional FDTD scheme. B. Difference Model

(2a) (2b)

To obtain a discrete approximation to (5), we first use the property . We then approximate the

96

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

resulting time-domain expressions according to Yee’s scheme with weighted averages in time for the current densities yielding

(7a)

and are related to and where the coefficients and the time step . Analogously, and are related to , , and . General expressions to compute these coefficients for an arbitrary model order are given in [22]. A similar rational modeling has also been considered in [28] for the case of dispersive dielectrics. Substituting (10) into (8) and considering the -transform property , the constitutive relations can be written in difference form as follows:

(7b) and with are located The field components in space according to Yee’s cell. The current densities and are located at the same spatial points as and , respectively. For brevity, curl terms are written in compact form, detailed expressions for these terms can be found in [11]. The parameter is assumed to be an unknown coefficient in the range . We should now derive discrete-time expressions for the constitutive relations (6). An attempt to achieve this goal may consist of first transforming (6) into the time domain by again using the property , and then approximating the resulting pair of high-order ordinary differential equations by using finite differences. However, the direct discretization of high-order ordinary differential equations is cumbersome, and moreover, it is not clear which is the best way to do it. Even for a simple second-order case, there are several discretization schemes that lead to different algorithms with different numerical properties [26]. In this study, constitutive relations (6) are discretized by first transforming them into the domain, resulting in

(8a) (8b)

(11a) (11b) The direct implementation of (11) requires additional variables per cell. These memory requirements can be reduced by interpreting (10) as infinite-impulse response digital filters and using the transpose direct form II to implement them [29]. This type of implementation is a canonical form, which guarantees the minimum number of delay elements, thus the minimum number of additional storage variables. Applying this approach, the resulting difference equations are (12a) (12b) (12c) where

in (12b) and (13a) (13b) (13c)

and are the -domain magnetic and electric where conductivities, respectively. There are different techniques to obtain and . A popular approach, in the FDTD literature, is the -transform method [27]. Here, however, we adopt a more systematic approach that we refer to as the Mobius transformation technique [22], which simply consists of applying the change of variable (9) to the conductivities

and

given in (4), obtaining

in (13b). where The set of equations (12) is evaluated at the same spatial positions as , and (13) at the same spatial positions as . Note that (12) is coupled to (7a), and (13) is coupled to (7b). Recalling that is still a free parameter, a good choice might be since it overcomes the coupling problem. However, the value of affects the numerical features of the resulting FDTD algorithm. Unfortunately, as we will discuss below, the FDTD algorithm in lossless double-negative media becomes unconditionally unstable for . Eliminating in (12), and in (13), and substituting (12a) into (7a) and (13a) into (7b), we arrive at the following set of decoupled difference equations:

(10a)

(10b) (14)

GRANDE et al.: STABILITY AND ACCURACY OF FDTD SCHEME FOR MODELING DOUBLE-NEGATIVE MEDIA

(15a) (15b)

97

, this condition implies that the stability polynomial is in reciprocal.1 In our case, starting from (7), (12), and (13) and following the same procedure as in [26], we arrive at the stability polynomial (18)

(15c) with in (15b),

with

(19a) (19b) (16)

and

and (20)

(17a) (17b) (17c) in (17b). with With respect to the original FDTD method, the implementation of (14)–(17) requires only additional storage variables per cell. These equations lead to an extended FDTD algorithm with the following steps in each time iteration. is computed everywhere in the mesh using Step 1) (14). and the auxiliary variables are Step 2) updated by means of (15). is calculated using (16). Step 3) Step 4) Finally, and the auxiliary variables are obtained by means of (17). This finite-difference scheme permits the modeling of wave propagation in media characterized by permittivity and permeability parameters, which are high-order rational functions of the frequency. The validation of this formulation along with the study of its numerical features will be addressed in Section III. III. VALIDATION AND NUMERICAL FEATURES A. Stability To study the stability of the extended FDTD scheme introduced above, we adopt the von Neumann method. Starting from the governing difference equations, this technique leads to a stability polynomial [26]. The condition for stability is that all the roots of must be inside, or on, the unit circle in the -transform domain, i.e., . In this context, the complex variable is often referred to as the amplification factor since it gives the growth of the fields in one time iteration, i.e., . In the absence of losses, information about the numerical dissipation of a finite-difference scheme can be readily obtained from its stability polynomial. More specifically, the condition for a finite-difference scheme to be nondissipative is that all the roots of have moduli equal to unity, i.e., . For a real-coefficient polynomial without roots

and are, respectively, the numerical wavenumber where and the size of the discretization cell in the -direction and . The number of roots of is and their location depends on the particular medium under consideration. Obtaining stability conditions for the FDTD scheme requires a study of the root locus of (18) as a function of the parameters of interest ( , , and the parameters of the medium). This study usually needs an exhaustive numerical root search, which makes the obtention of closed-form stability conditions a difficult task. This situation can be alleviated by combining the von Neumann method with the Routh–Hurwitz criterion, as was proposed in [26]. This approach consists of first applying the bilinear transformation (21) , resulting in a new polynomial in the -plane. This to transformation maps the exterior of the unit circle in the -plane onto the right-half side of the -plane. Thus, the stability condition is now that must have no roots in the right-half side of the -plane. Routh’s table is then built up for and the stability conditions are found by enforcing the entries of the first column of this table to be nonnegative quantities. This combined technique has allowed us to obtain closed-form stability conditions for some types of double-negative media of interest, as is shown in the following. 1) Drude Double-Negative Media: Consider a double-negative medium whose constitutive parameters follow a Drude model, e.g., a metamaterial implemented by loaded transmission-line networks [30]. For this material, the magnetic and electric conductivities, in the Laplace domain, are given by (22a) (22b) 1We

SZ

N Z

SZ

say that a polynomial ( ) of degree is reciprocal if ( ) = ). Thus, if is a root of ( ), then is also a root, and the coefficients of ( ) are the same when read backwards or forwards.

Z S (Z

SZ

Z

SZ

98

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

where and are the plasma frequencies and, and are the collision frequencies. Applying the transformation (9) to (22), the conductivities and are obtained. Substituting these -domain conductivities into (19), letting and , and , we obtain the folassuming no losses, i.e., lowing stability polynomial: (23) with

Fig. 1. Root locus of S (Z ) for a lossless Drude double-negative medium with  = 0:5 and  varying from 0.2 to 1.02.

Considering the definition (20) and taking the worse case sce, i.e., , (24b) can be nario for expressed as where and . Now applying the transformation (21) to (23), the following polynomial is obtained in the -plane:

with

For this polynomial, Routh’s table is

where enforcing the entries of the first column to be nonnegative quantities, we obtain the following stability conditions: (24a) (24b)

(25) with , which is recognized as the stability limit of the conventional FDTD method [31]. The parameter is referred to as the stability factor. It is worth mentioning that, according to (24a), backwardlike schemes (i.e., schemes with ) are unconditionally unstable for lossless Drude double-negative media. Of course, this includes the case . Since (23) is a real-coefficient polynomial without roots in , the stability polynomial (23) has to be reciprocal to obtain a nondissipative algorithm. This condition is only satisfied when . Hence, for any other value of , the scheme exhibits numerical dissipation. To better visualize the theoretical results derived above, we have considered a lossless Drude double-negative metamaterial with rad/s [13]. For this medium, we have numerically calculated the four roots of in (23). These computations have been done with ps, varying and . Fig. 1 shows the root from 0.2 to 1.02, and for locus for . It can be seen that all the roots are on the unit circle for , thus the corresponding FDTD scheme is nondissipative. For , one of the roots leaves the unit circle, making the FDTD algorithm unstable. Fig. 2 depicts the root locus for . In this case, for , all the roots are inside the unit circle, thus the FDTD algorithm exhibits numerical dissipation. As in the case , for , the FDTD method becomes unstable. For lossy Drude double-negative media, (24a) may be relaxed, i.e., can take values smaller than 0.5. However, this can only be done at the cost of reducing . To illustrate this idea, Fig. 3 shows the root locus for a lossy Drude double-negative medium with . The collision frequencies were set to rad/s and the remaining parameters were the same as in the previous example. It can be seen that now for , some of the roots of are outside the unit circle, thus the FDTD scheme is stable only for .

GRANDE et al.: STABILITY AND ACCURACY OF FDTD SCHEME FOR MODELING DOUBLE-NEGATIVE MEDIA

99

TABLE I STABILITY CONDITIONS OF THE FDTD SCHEMES AS A FUNCTION OF 

factor. Again, for simplicity, a lossless case is assumed. Furthermore, we take . Under these conditions, the resulting stability polynomial is

(28)

Fig. 2. Root locus of S (Z ) for a lossless Drude double-negative medium with  = 1 and  varying from 0.2 to 1.02.

where

where , , and . The second factor in (28) is a reciprocal polynomial, thus the FDTD scheme is free of numerical dissipation. Transforming (28) into the -plane and applying the Routh–Hurwith criterion, we obtain the following stability conditions: (29a) (29b)

Fig. 3. Root locus of S (Z ) for a lossy Drude double-negative medium with  = 0:4 and  varying from 0.2 to 1.02.

2) Electric Drude and Magnetic Lorentz Media: Consider a double-negative medium composed by an array of metallic thin wires and split-ring resonators. For this type of media, a simple Drude model is usually adopted to account for the frequency dependence of the permittivity and a Lorentz model for the permeability [32]. Thus, the conductivities of these materials are given by

(26) (27) where is the permeability at zero frequency, is the magnetic frequency of resonance, is the electric plasma frequency, is the collision frequency, and is the damping

where (29b) is equivalent to the Courant stability criterion (25) of the standard FDTD method. 3) Lorentz Double-Negative Media: For a medium whose constitutive parameters both follow a Lorentz model, the stability polynomial of the central scheme, i.e., , is the same as the one obtained in [16, eq. (13)]. As shown there, in this case, the stability condition of the original Yee scheme is preserved. The main conclusions regarding the stability conditions of the proposed FDTD scheme are summarized in Table I. B. Numerical Dispersion Equation The numerical dispersion equation can be readily obtained by simply evaluating on the unit circle in the -transform domain and equating the result to zero, thus by letting in (18). The following numerical dispersion relation is obtained for a general high-order double-negative medium:

(30)

100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

where and are the numerical permeability and permittivity, respectively. They are given by

(31a)

(31b)

and

Fig. 4. Permittivity, permeability, and refractive index of a medium with two double-negative bands.

are the magnetic and electric numerical conductivities. Comparing the above expressions with the continuous-time frequency-domain conductivity (4) evaluated at , it can be observed that the discretization of (6) by the Mobius transformation approach involves a mapping (32) from the continuous-time onto the discrete-time frequency domains. This mapping can be interpreted as an approximation of by a tangent function. For simplicity, in the following discussion, we consider the 1-D problem consisting of plane waves propagating in the -direction. For this case, letting in (30), we obtain the following expression for the numerical propagation constant: (33) , and , are the numerical attenwhere uation and phase constants, respectively. To validate (33), we consider a metamaterial with two double-negative bands. The frequency dependence of the permittivity and permeability of the medium is assumed to present two Lorentz-like resonances as follows:

where

,

,

,

, GHz,

GHz, and

, ,

,

, GHz,

GHz, , , , . Fig. 4 shows the dependence on frequency

Fig. 5. Exact physical phase and attenuation constants for the medium with two double-negative bands in Fig. 4.

of the constitutive parameters and the refractive index . It can be clearly seen that this medium presents two frequency bands where reaches negative values. We have performed FDTD simulations and numerically computed the dispersion and dissipation errors for and . The size of the cell was m and the stability factor was . To provide an insight into the exact physical phase and attenuation constants and into the considered sampling resolution, Fig. 5 shows the products and as a function of the frequency. The absolute errors obtained with the FDTD simulations for each value of are displayed in Fig. 6. These errors are in agreement with the analytical ones calculated using (33). It can be seen that the forward formulation introduces larger dispersion and dissipation errors. It should also be noted that the errors for and have opposite signs, which suggests the existence of an optimum value of , which minimizes the error. Certainly, for the example considered here, the value of that minimizes the error in the entire frequency band is approximately . C. Second-Order Accuracy As was shown in [22], the application of the Mobius transformation method to (6) is equivalent to the approximation of the

GRANDE et al.: STABILITY AND ACCURACY OF FDTD SCHEME FOR MODELING DOUBLE-NEGATIVE MEDIA

Fig. 6. Absolute errors in the phase and attenuation constants for  . 

=1

101

= 0:5 and

Fig. 8. Absolute error of the phase constant at 10 and 15 GHz as a function of for two values of .

1

Fig. 7. Absolute error in the phase constant for  . values of

1

= 0:5 and for three different

derivatives in the corresponding high-order ordinary differential equations by

. Fig. 7 shows the absolute error of the phase constant for and for three different values of . It can be seen that, in the entire frequency range, the error decreases as does. Actually, the convergence follows a second-order rate in the entire frequency band. To illustrate this property, Fig. 8 depicts the error as a function of at two different frequencies, i.e., 10 and 15 GHz, and for two values of . It can be observed that, for , the convergence lines have a slope equal to 2, which reveals an accuracy of second order. However, for , the slope of the lines is 1, as corresponds to a scheme with first-order accuracy. D. Numerical Refractive Index For isotropic media, the continuous dispersion equation is

(34) is the order of the ordinary differential equation and where and are, respectively, the central difference and central average operators, defined as

(35) and the continuous refractive index is defined as (36) are complex numbers that can be written as and . Thus, will have a negative real part when with [33]. In the continuous case, the definition (36) is equivalent to where

These difference operators have an accuracy of second order, thus, (34) is also an approximation of second order. Therefore, the Mobius transformation technique preserves the second-order accuracy of the conventional FDTD method. For , the discrete Maxwell equations in (7) are second-order accurate in time, however, for , the accuracy is only of first order. Therefore, the accuracy of the extended FDTD algorithm is of second order in time only for . To illustrate this feature, we have simulated the propagation of a wave through the material with two double-negative frequency bands considered in Section III-B. We have computed the phase constant for different values of the time and space increments. In all the simulations, the stability factor was

and

where is the phase velocity in the medium. The discrete version of (35) for the proposed formulation is given by (30). Thus, identifying terms, we could define a complex numerical refractive index as (37) and with tutive parameters and

, and where the discrete constiare given by (31).

102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 9. Real part of n and n ~ for three values of .

Fig. 10. Imaginary part of n and n ~ for three values of .

Notice that definition (37) only takes into account the temporal discretization of the problem. Another possible definition of the numerical refractive index, what introduces the spatial discretization, would be given by the ratio between , the numerical phase velocity in free space, and , the numerical phase velocity in the medium

slightly shifted; and for , the discrete medium does not present a double-negative band. Fig. 10 shows the imaginary part of and . In this case, it , the imaginary part of is interesting to observe that for becomes positive in the upper part of the band, which corresponds to an unstable FDTD algorithm. This outcome agrees with the results derived from the analysis of the stability in Section III, where we found that, for in the range , the maximum permitted value of the stability factor decreases as does.

(38) which, in the 1-D case, can be written as

IV. CONCLUSION

Both definitions of , i.e., (37) and (38), depend on through the discrete constitutive parameters and given by (31). As a result, the value of the parameter does not only modify the numerical features of the FDTD algorithm, but it also influences the physical properties of the discrete medium, even being capable of changing the nature of the simulated medium. As an example, we consider a 1-D medium whose permittivity and permeability follow a Lorentz model with , , , , GHz, GHz, , and . For this material, and according to definition (38), we have calculated the numerical refractive index . The space discretization was mm and the stability factor . Obviously, when and tend to zero, for any value of , the discrete refractive index converges to the continuous one. Nevertheless, our aim here is to make evident the effect of the parameter on the physical properties of the simulated medium. Fig. 9 shows the real part of and for three different values of the parameter . It can be seen that the continuous material presents a double-negative band. However, the properties of the discrete medium change depending on the value of . For , the double-negative band is widened; for , the band is

In this paper, the formulation briefly presented in [21] for modeling high-order frequency-dependent double-negative media has been generalized by using weighted average in time to approximate the current density terms. This generalization introduces a new parameter , which can be used to tune the properties of the algorithm. The numerical features of the resulting FDTD scheme have been studied. We have shown that the stability limit of the standard FDTD method is preserved as long as . When dealing with lossless media, the extended FDTD method is nondissipative only for . Analogously, the scheme maintains the second-order accuracy only for . When considering general lossy media, the value of can be optimized to minimize the dispersion and dissipation errors in a given frequency band. The optimum would be, in general, different from 0.5, which means that the corresponding extended FDTD method would lose the second-order accuracy. However, it should be noted that the order of accuracy is just a rate of convergence. Finally, the definition of the numerical refractive index has been discussed, showing that an inappropriate choice of the discretization parameters may lead to a change in the physical properties of the simulated medium. This idea has been illustrated with an example where a negative refractive index becomes a positive one in the discrete world.

GRANDE et al.: STABILITY AND ACCURACY OF FDTD SCHEME FOR MODELING DOUBLE-NEGATIVE MEDIA

REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of  and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, 1968. [2] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Lowfrequency plasmons in thin wire structures,” Phys. Condens. Matter, vol. 10, pp. 4785–4809, 1998. [3] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2081, Nov. 1999. [4] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966–3969, Oct. 2000. [5] P. M. T. Ikonen and S. A. Tretyakov, “Determination of generalized permeability function and field energy density in artificial magnetics using the equivalent-circuit method,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 92–99, Jan. 2007. [6] T. Koschny and M. Kafesaki, “Effective medium theory of left-handed materials,” Phys. Rev. Lett., vol. 93, no. 10, pp. 107402–107402, Sep. 2004. [7] R. W. Ziolkowski, “Design fabrication, and testing of double negative metamaterials,” IEEE Trans. Antennas Propag., vol. 51, no. 7, pp. 302–306, Jul. 2003. [8] H. S. Chen, L. X. Ran, J. T. Huangfu, X. Zhang, K. Chen, T. M. Grzegorczyk, and J. A. Kong, “Metamaterial exhibiting left-handed properties over multiple frequency bands,” J. Appl. Phys., vol. 96, no. 9, pp. 5338–5340, Nov. 2004. [9] H. S. Chen, L. X. Ran, J. T. Huangfu, X. Zhang, K. Chen, T. M. Grzegorczyk, and J. A. Kong, “Magnetic properties of S-shaped split ring resonators,” Progr. Electromagn. Res., vol. 51, pp. 231–247, 2005. [10] P. Markos and C. Soukoulis, “Transmission properties and effective electromagnetic parameters of double negative metamaterials,” Opt. Exp., vol. 11, no. 7, pp. 1516–1529, Apr. 2003. [11] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–306, May 1966. [12] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [13] R. W. Ziolkowski and E. Heyman, “Wave propagation in media having negative permittivity and permeability,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 64, no. 5, 056625. [14] M. K. Karkkainen and I. Maslovski, “Wave propagation, refraction, and focusing phenomena in Lorentzian double-negative materials: A theoretical and numerical study,” Microw. Opt. Technol. Lett., vol. 37, no. 1, pp. 4–7, Apr. 2003. [15] M. W. Feise, J. B. Scheneider, and P. J. Bevelacqua, “Finite-difference and pseudospectral time-domain methods applied to backward-wave metamaterials,” IEEE Trans. Antennas Propag., vol. 52, no. 11, pp. 2955–2962, Nov. 2004. [16] A. Grande, J. A. Pereda, O. Gonzalez, and A. Vegas, “On the equivalence of several FDTD formulations for modeling electromagnetic wave propagation in double-negative metamaterials,” IEEE Antennas Wireless Propag. Lett., vol. 6, no. 1, pp. 324–327, Dec. 2007. [17] D. Correia and J.-M. Jin, “3D-FDTD-PML analysis of left-handed metamaterials,” Microw. Opt. Technol. Lett., vol. 40, no. 3, pp. 201–205, Feb. 2004. [18] W.-Y. Wul, A. Lai, K. M. K. Leong, C.-W. Kuo, B. Houshmand, and T. Itoh, “Efficient FDTD method for analysis of left-handed mushroom structure using system identification method,” in Eur. Microw. Conf., Paris, France, Oct. 2005, vol. 2, 3 pp. [19] A. Rennings, C. Caloz, and I. Wolff, “Stable and efficient time-domain simulation of metamaterials with an extended equivalent circuit (EEC) graded mesh FDTD,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1069–1072. [20] Y. Zhao, P. Belov, and Y. Hao, “Improvement of numerical accuracy in FDTD modelling of left-handed metamaterials,” in Inst. Eng. Technol. Metamater. for Microw. and (Sub)Millimeterw. Applicat. Seminar, Sep. 2006, pp. 153–157. [21] A. Grande, J. A. Pereda, O. González, and A. Vegas, “FDTD modeling of double negative metamaterials characterized by high-order frequency-dispersive constitutive parameters,” in IEEE AP-S Int. Symp., Albuquerque, NM, Jul. 2006, pp. 4603–4606. [22] J. A. Pereda, A. Vegas, and A. Prieto, “FDTD modeling of wave propagation in dispersive media by using the Mobius transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1689–1695, Jul. 2002. [23] L. F. Velarde, J. A. Pereda, A. Vegas, and O. Gonzalez, “A weightedaverage scheme for accurate FDTD modeling of electromagnetic wave propagation in conductive media,” IEEE Antennas Wireless Propag. Lett., vol. 3, pp. 302–305, 2004.

103

[24] J. A. Pereda, O. García, A. Vegas, and A. Prieto, “Numerical dispersion and stability analysis of the FDTD technique in lossy dielectrics,” IEEE Microw. Guided Wave Lett., vol. 8, no. 7, pp. 245–247, Jul. 1998. [25] J. L. Young and R. O. Nelson, “A summary and systematic analysis of FDTD algorithms for linearly dispersive media,” IEEE Antennas Prop. Mag., vol. 43, no. 1, pp. 61–77, Feb. 2001. [26] J. A. Pereda, L. A. Vielva, A. Vegas, and A. Prieto, “Analyzing the stability of the FDTD technique by combining the von Neumann method with the Routh–Hurwitz criterion,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 377–381, Feb. 2001. [27] D. M. Sullivan, “Frequency-dependent FDTD methods using Z transforms,” IEEE Trans. Antennas Propag., vol. 40, no. 10, pp. 1223–1230, Oct. 1992. [28] W. H. Weedon and C. M. Rappaport, “A general method for FDTD modeling of wave propagation in arbitrary frequency-dispersive dielectrics,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 401–410, Mar. 1997. [29] A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Processing. Upper Saddle River, NJ: Prentice-Hall, 1999. [30] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, pp. 2702–2712, Dec. 2002. [31] A. Taflove and M. E. Brodwin, “Numerical solution of steady-state electromagnetic scattering problems using the time-dependent Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 8, pp. 623–630, Aug. 1975. [32] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [33] Y.-F. Chen, P. Fischer, and F. W. Wise, “Negative refraction at optical frequencies in nonmagnetic two-component molecular media,” Phys. Rev. Lett., vol. 95, Aug. 2005, 067402.

Ana Grande was born in Lugo, Spain, in 1976. She received the Licenciado and Ph.D. degrees in physics from the University of Valladolid, Valladolid, Spain, in 2000 and 2005, respectively. From 2001 to 2005, she was with the Department of Electricity and Electronics, University of Valladolid. In 2005, she joined the Communications Engineering Department, Universidad de Cantabria, Santander, Cantabria, Spain. Her research concerns numerical methods for electromagnetics and characterization of electromagnetic properties of materials.

José A. Pereda (S’93–M’95) was born in Madrid, Spain, in 1966. He received the Licenciado and Ph.D. degrees in physics from the Universidad de Cantabria, Santander, Cantabria, Spain, in 1989 and 1995, respectively. In 1989, he joined the Electronics Department, Universidad de Cantabria. From 1996 to 2001, he was an Assistant Professor with the Departamento de Ingeniería de Comunicaciones, Universidad de Cantabria, and in 2001, he became an Associate Professor in electromagnetism. His research interests include electromagnetic-field theory and numerical methods for solving electromagnetic problems.

Oscar González was born in Santander, Spain, in 1978. He received the Telecommunications Engineering degree from the Universidad de Cantabria, Santander, Cantabria, Spain, in 2002, and is currently working toward the Ph.D. in telecommunications engineering at the Universidad de Cantabria. His research interests include numerical methods in electromagnetics, characterization of electromagnetic properties of materials, and microwave devices.

104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Ángel Vegas (M’98) was born in Santander, Spain. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Cantabria, Santander, Cantabria, Spain, in 1976 and 1983, respectively. From 1977 to 1983, he was with the Department of Electronics, Universidad de Cantabria, where he became an Associate Professor in 1984. He has been involved with electromagnetic wave propagation in plasmas and microwave interferometry. His current research and teaching interests include electromagnetic theory, computer methods in electromagnetism, and microwave measurements.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

105

Certified Computation of Optimal Multiband Filtering Functions Vincent Lunot, Fabien Seyfert, Stéphane Bila, and Abdallah Nasser

Abstract—In this paper, we focus on the problem of computing multiband filtering characteristics with a guarantee on their global optimality with respect to a Zolotarev-like criterion. An iterative algorithm based on linear programming is presented. This algorithm ensures quadratic convergence to the optimal solution. We also provide an equiripple-like criterion that allows one to check in a very simple manner whether a computed filtering function is optimal or not. The latter is used to analyze two practical design examples based on asymmetric dual-band specifications. In particular, it is shown that the selectivity of the dual-band response does not necessarily increase with the filter’s order. This study yields some striking results when compared to the usual single-band situation, and introduces the idea that for certain asymmetric specifications some of the filter order values are more suitable than others. Finally, the practical implementations of the filtering devices in inline dual-mode cavities and stacked single-mode cavities are detailed. Index Terms—Differential correction algorithm, filter synthesis, multiband filter, Zolotarev problem.

I. INTRODUCTION

I

N BOTH space and terrestrial communications, advanced filtering characteristics have become a major way of improving and simplifying the architecture of systems. In particular, a dual-band filtering characteristic allows one to incorporate the two passbands within the single filter structure. The latter is an interesting replacement for the doubly multiplexed solution that combines single-band filters with a junction at the input/output. The main advantage is to save mass and volume, but also to simplify both the manufacturing and the tuning of the hardware since the multiband filter architecture can be realized with topologies and technologies commonly used for singleband filter design. Some recent studies [1], [2] exposed methods using frequency transformations to design multiband filters. However, these lack generality. Indeed, the response is limited by symmetric specifications or by the position of the transmission zeros. For general specifications, some optimization methods are known [3], [4]. However, they do not guarantee the optimality of the response. In [5], an iterative algorithm based on linear programming was proposed in order to compute the “best” filtering function

in the Zolotarev sense. Unlike general optimization methods that might end up at a local, but nonglobal optimum, the latter method guarantees the global optimality of the response. A drawback, however, is its poor rate of convergence, yielding a high number of necessary iterations to obtain a reasonable convergence. In this paper, modifications are introduced in the main computation loop of the algorithm that ensure quadratic convergence to the optimal solution. We also give a simple characterization of the optimal filtering function in terms of an alternation property, whereas in the single-band case, this characterization reduces to the classical equiripple property this is no longer true for the multiband situation: we give an example of an optimal, but nonequiripple response. Two examples are constructed of the design of dual-band filters when beginning from asymmetrical frequency specifications. The ability to certify the optimality of the computed responses appears to be crucial and leads to some striking results when compared to the usual single-band situation. In the latter, the selectivity of the response increases with the filter’s order. This is no longer true for dual-band specifications for which specific degrees appear to be more adapted than others. A trivial example of this is given by symmetric dual-band specifications: in this case, one can show that the optimal filtering function is always of even order. Adding an extra reflection zero to an even-order characteristic will only deteriorate the response. This type of phenomenon also occurs in a less predictable manner when dealing with asymmetric frequency specifications. To illustrate this, we analyze frequency specifications for which the best characteristic with, at most, ten reflection zeros and three transmission zeros is shown to be of 9–3 type. Finally, we detail the practical implementation of the filtering devices respectively in aligned dual-mode cavities and stacked single-mode cavities.

II. STATEMENT OF THE SYNTHESIS PROBLEM A. Polynomial Structure of the Scattering Matrix The scattering matrix associated with the classical low-pass lossless circuit prototype [6] has the following structure: (1)

Manuscript received April 15, 2007. V. Lunot and F. Seyfert are with the Institut National de Recherche en Informatique et en Automatique (INRIA), 06902 Sophia Antipolis, France (e-mail: [email protected]; [email protected]). S. Bila and A. Nasser are with XLIM, University of Limoges, 87060 Limoges, France (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.912234

where is the number of resonators. The polynomial is of and satisfies the condition degree (which implies that the set of transmission zeros is symmetric is with respect to the imaginary axe, i.e., paraconjugated).

0018-9480/$25.00 © 2007 IEEE

106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

of degree and monic and the denominator is the unique Hurwitz polynomial satisfying the following spectral equation: (2) Using the latter equations, the squared modulus of the transmission parameter is expressed as (3)

is known as the filtering or characteristic where function. In the case of a single passband and for given transmission zeros (i.e., is fixed), the classical formula using the function [6] allows the computation of a polynomial that yields an equiripple quasi-elliptic filtering characteristic. The latter formula, in fact, gives the solution to the so-called third Zolotarev optimization problem that, roughly speaking, specifies in mathematical terms the notion of a “best” filtering function for a bandpass filter, whereas in the multiband situation, explicit formulas no longer exist for , we show in the following that the original Zolotarev problem adapted to a single passband can easily be extended to take into account several passbands and stopbands. B. General Zolotarev Problem Given a set of passbands (see Fig. 1), i.e., a collection of intervals on the real axes (which union we call ), as well as (which union we call ), the a collection of stopbands “best” multiband response is such that the modulus of the transmission is as big as possible on the intervals (passbands) and as small as possible on the intervals (stopbands). The latter translates in a straightforward manner to the following normalized optimization problem specifying what the best filtering function is: (4) solve

(5)

Fig. 1. Graph of function F=P with one transmission zero in z , two passbands I and I , and one stopband J .

to the “complex” Zolotarev problem can achieve better results (because it is less restricted). III. LINEAR PROGRAMMING AND POLYNOMIAL APPROXIMATION PROBLEMS This section is meant as a short tutorial on the use of linear programming in connection with polynomial approximation problems like the one we just stated. Suppose we and one passband only have one stopband . We are interested in the all-pole filter of order 2 that solves the related Zolotarev problem, i.e., among all polynomials of degree less than 2 that are bounded by 1 on , find the one with the fastest growth on . The solution to this problem is, of course, known to be the Chebyshev polynomial . We will now see that this result can be recovered from a numerical algorithm in a guaranteed manner. The advantage of this procedure is that it will extend to multiband situations for which closed-form formulas are not known. Once a sign has been chosen for the polynomial on (say, positive), the original Zolotarev problem can be formulated as the following optimization problem: solve

where is the set of polynomials of degree less than and is the sup norm over the set (see Fig. 1). Indeed, if is an optimal solution of (5) with monic, one may verify that and yields setting a scattering matrix with structure (1), with the lowest possible transmission on all the stopbands , provided on the passbands . C. Real Zolotarev Problem In this study, we consider solving (5) under the additional condition that and are polynomials with real coefficients. This in particular implies that the synthesized scattering matrix and that the reflection zeros are paraconjusatisfies gated, which is clearly an extra condition. On the one hand, the latter guarantees, for example, that the response can be synthesized in a cul-de-sac topology, but on the other hand, the solution

subject to

(i) (ii) (iii).

Here, is an auxiliary variable, which expresses the minimum of the polynomial over . Evaluating inequalities (i) at sample points in the interval and inequalities (ii) and (iii) at sample points in the interval yields a set of linear inequalities in the variables . In this manner, the original Zolotarev problem is cast into a linear optimization problem under linear constraints: a linear program (LP). These types of problems have been widely studied and efficient software to solve them in a guaranteed manner exist (e.g., Cplex, MATLAB, Maple, LpSolve). Using the LP solver of MATLAB and taking 100 sample points over the intervals and yields the solution , , and .

LUNOT et al.: CERTIFIED COMPUTATION OF OPTIMAL MULTIBAND FILTERING FUNCTIONS

The advantage of this method as compared to closed-form formulas is that it generalizes to any number and any arrangement of the intervals and . We strongly encourage the reader to derive from what precedes a simple algorithm to solve the multiband synthesis problem in the special case of all-pole filters. In the following sections, the general problem of filters with transmission zeros at finite frequencies is tackled. This amounts to dealing with rational fractions instead of polynomials. The general algorithmic framework remains, however, similar and relies in particular on the use of linear programming. IV. SIGN COMBINATIONS AND CHARACTERIZATION OF THE SOLUTION A. Sign Combinations Our goal is now to eliminate the absolute value in (5) to get a is an optimal solution “linear” version of the problem. If of (5) and is irreducible ( ) then, as the value of in (5) is positive, has no zero in and, as the the is bounded by 1 over has no zero absolute value of has a constant sign on every interval and in . Therefore, has a constant sign on every interval . Thus there exists a ) that is constant on every sign function (such that such that has a representative in the interval and convex set

(6) where signifies that the coefficient of in is equal to 1. Of course, we do not know the signs in advance, but there are only a finite number of possible combinations of them. For every combination of signs on the intervals, we therefore define a signed version of (5) by solve

(7)

Solving (7) for all possible sign combinations and retaining the overall best solution yields an optimal solution of (5). B. Characterization of the Solution For a given sign function , we now give a way of testing whether a rational function of “full rank” (where no simplification between numerator and denominator occurs) is a solution of (7). The latter is based on an alternation property. on . We call Let be the value of the minimum of (respectively, ) the union of intervals such that (respectively, ). We define the following sets of “extreme” points:

In Fig. 1, ten “extreme” points are plotted.

107

A sequence of consecutive points is called “alternant” if its points belong alternatively to the sets and . In Fig. 1, an alternant sequence of nine consecutive points can be found (points A and B belong to the same set and cannot, therefore, appear consecutively in an alternating sequence). “Extreme” points allow to determine whether a function is the solution of (7) or not. The following indeed holds. • A concave maximization problem, i.e., (7), admits a unique solution. is an optimal solution of “full rank” if and only if • there exists a sequence of frequency points such that its elements belong aland with ternatively to the sets . The latter alternant sequence is, therefore, a proof of optimality for a given filtering function. In the single-band case, the characterization we gave is equivalent to the classical equiripple property in the passband and stopbands. However, in the multiband case, this is no longer true in general. Fig. 2(a) shows the optimal 6–4 function for the , , , and for the passbands stopbands , . The attenuation level attained in the stopbands is of 32.2 dB, whereas the return loss is set to 20 dB. The 12 “extreme” points certify that this 6–4 nonequiriple function is the optimal solution with respect to the specifications. As pointed out by some reviewers, one might enlarge a bit the passbands and try to obtain an equiripple response with different return-loss levels in the passbands. This was done by solving the and and problem with following passbands return loss levels of, respectively, 25 and 20 dB. As shown in Fig. 2(b), the optimal frequency response for these new specifications is equirriple. These new specifications are harder to meet than the preceding ones (larger passbands and higher return loss in one passband) and result in a poorer optimal attenuation level of 22.4 dB. Here again, 12 “extreme” points certify the optimality of the response. In the following, we present a quadratic convergent algorithm for (7). V. ALGORITHM A. Geometry of the Sub-Problem We will now study (7) from a geometric point of view. If we denote by the value of the criterion in (7) for a given ( can be seen as the rejection level of in the stopbands), then the convex set defined by (8) is, in a way, the set containing all the functions that have at least in the stopbands. Let be the value of the a rejection level criterion in (7) ( is the best possible rejection). By , is then the set of representatives definition of the . of the optimal function The key point for computing the solution of (7) is that, for , the following holds (see Fig. 3).

108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Therefore, a dichotomy method testing emptiness can be used to compute the optimal rational filtering function. alIt is crucial to notice that the convexity of the set lows to check nonemptiness using linear programming. B. Detailed Equations for Checking Emptiness in

is to find One way of checking the emptiness of , which maximizes the following function : (9)

Computation of can be done by discretizing the and intervals. Indeed, in this way, the equations of the constraints become linear in the coefficients of and . Thus, the in problem of finding is done by solving the LP problem solve subject to (a)

for all for all for all for all (10) where [respectively, ] are a discretization of (respectively, ). If the maximum is positive, then in , which , has been computed, therefore, the set is maximizes , the set is empty. nonempty. Else, if Accuracy depends, of course, on the number and placement of chosen points. On each interval, taking approximately 20 Chebyshev points gives satisfactory results. C. Differential Correction-Like Algorithm

(b) Fig. 2. (a) Optimal, but nonequiripple filtering function with six poles and four zeros. (b) Optimal 6–4 response with enlarged passbands and unequal returnloss levels in the passbands.

Instead of using dichotomy as previously suggested, we now in a more efficient way by come to an algorithm that adjusts using the information gained from solving (10). The latter is an adaptation of the differential correction algorithm introduced by Cheney [7] for rational approximation. Step 0: Initialization. in

Choose polynomials

. Compute (11)

Fig. 3. Sets

E (M ) for M < M < M .

Step : Compute for

, which solves the LP problem (10) (12)

• . • . . • Indeed, by making a hypothesis on the possible rejection level and by checking the emptiness of , the following information on is known. is empty, . • If is nonempty, . • If

If

, return

else compute

(13)

This iterative algorithm is proven to converge to the solution of (7) in the nondegenerated case (degree ). Instead of

LUNOT et al.: CERTIFIED COMPUTATION OF OPTIMAL MULTIBAND FILTERING FUNCTIONS

109

taking the leading coefficient of equal to 1, another normalization can be taken to ensure convergence in the general case. in does not inFor initialization, the choice of fluence the solution. One way of computing possible is to solve (12) with properly chosen (e.g., generally works). D. Improvements: Specifications and Quadratic Convergence This algorithm can be easily generalized to compute the best filtering function subject to arbitrary specifications ( is a positive function) in passbands and stopbands: in , replace by and in , replace bound 1 over by . Furthermore, the rate of convergence can be greatly increased . Indeed, for a fast comby a slight modification of function putation of the solution with respect to specifications , replace at step by Fig. 4. Optimal transmission and reflection parameters (example 1).

(14) The associated LP problem is defined by solve for all subject to

for all for all for all

An adaptation of the proof for rational approximation (see [8]) shows that the convergence is quadratic whenever the solution is of “full rank.” VI. EXAMPLES We consider two design examples based on asymmetric frequency specifications. Here we discuss the computation of the filtering functions, whereas their practical implementations are considered in Section VI-A. Example 1 A first example is taken from [5] with the following electrical specifications: • return loss at 20 dB in the passbands ( and on the -axis, normalized frequency); • rejection at 15 dB in the lower and upper stopbands ( and ) and 30 dB in the intermediary stopband ( ). One may first think of computing a 10–3 filtering characteristic to fit in the latter specifications. Using the previously presented algorithm and working for practical reasons with finite intervals (so the two chosen “outside” stopbands are set to and [1.212, 10]), we obtain the filtering function plotted in Fig. 4. Only nine transmission zeroes and 14 “extreme” points appear on the graph, which seems at first glance to contradict

the theory or to indicate that something is wrong with our numerical implementation. A closer inspection of the obtained function indicates, however, that the lacking “extreme” point is , situated in the left limit of the first stopband, i.e., in . together with a reflection zero that was rejected at If we increase the size of the left stopband, the reflection zero is rejected further towards infinity. This amounts to saying that the optimal characteristic with, at most, ten reflection zeros (respectively, at most, three transmission zeros) is, in fact, of 9-3 type. In some sense, the optimization process indicates that there is no way to improve this 9-3 filtering function by adding an extra reflection zero. Note that here the ability to certify the optimality of the computed filtering function is crucial. Someone using a generic optimizer may insist on finding a better starting point for his optimization process or try by all means to restrict the location of reflection zeros: by the optimality argument, this can only yield a poorer result. Example 2 A second example from [9] is taken whose electrical specifications are defined by the following: • return loss at 23 dB in the passbands ( and on the -axis); ), rejection is set • in the lower stopband ( and 15 dB on . at 10 dB on Rejection is set at 20 dB in the intermediary stopband and 40 dB in the upper stop). band ( Here again, one may think of using an 8–3 characteristic for a realization in extended box topology [11]. However, the same phenomenon as in example 1 occurs, and the optimal solution appears to be of type 7–3. The slight difference between the filtering function in Fig. 5 and the one in [9] is due to the fact that reflection zeros were originally laboriously optimized “by hand.” Here, transmission and reflection zeros are optimized simultaneously. In the upper stopband, the rejection level is lower than in [9], but is improved in the other stopbands and, contrary

110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 5. Optimal transmission and reflection parameters (example 2).

Fig. 7. Implementation of the nine-pole three-zero dual-band filter with inline dual-mode cylindrical cavities, network topology illustrated in Fig. 6.

Fig. 6. Extended-box coupled resonator network for the realization of the ideal 9–3 dual-band response in Fig. 4.

to the original solution, the return loss is equiripple in the two passbands. VII. DESIGN AND IMPLEMENTATION AT MICROWAVE FREQUENCIES Example 1: Nine-Pole Three-Zero Dual-Band Filter Implemented in Inline Dual-Mode Cavities The low-pass specifications given in Fig. 4 correspond to the following passbands and stopbands at microwave frequencies: GHz the two passbands are, respectively, GHz and the three stopbands are, reand GHz, GHz, and spectively, GHz. From these ideal parameters, a coupled resonator network has to be derived for realizing the desired number of transmission and reflection zeros. The network is chosen to be an extended-box one (see Fig. 6) since this topology allows a practical implementation of the filtering function with aligned dual-mode cavities. The technology selected for realizing the microwave filter consists in cylindrical cavities working on their dual-mode and coupled by rectangular irises, as shown in Fig. 7. Applying an exhaustive coupling matrix synthesis [11], 22 real solutions have been found to realize the optimal function with the extended-box network. A particular solution is then selected and a computer-aided design (CAD) model is tuned, applying a coupling matrix identification at each tuning step [10]. However, in this case, an exhaustive computation of all the solutions to the coupling matrix

Fig. 8. Measurements and simulation of the nine-pole three-zero dual-band filter physically illustrated in Fig. 7.

synthesis problem is necessary for recognizing the solution to be tuned. In case of ambiguity between several identified solutions, the solution that corresponds to the CAD model can be recognized by perturbing some coupling elements (dimensions of irises or screws) and by studying the coherency on the solution modifications (corresponding coupling values). The CAD model is a finite-element model. Metallic losses are not considered during CAD tuning for facilitating comparison with the synthesized lossless rational function. Moreover, no particular action, i.e., predistortion, is done for compensating losses in the current synthesis. A hardware prototype of the filter has been built with brass. The unloaded quality factor is approximately 4000, but can be improved using silver-plated cavities. However, measured and simulated results are in good agreement, as shown in Fig. 8. Insertion losses are 2.15 dB in the first passband and 1.45 dB in the second one.

LUNOT et al.: CERTIFIED COMPUTATION OF OPTIMAL MULTIBAND FILTERING FUNCTIONS

Fig. 9. Pseudo extended-box coupled resonator network for the realization of the ideal 7–3 dual-band response in Fig. 5.

111

user with some optimal placements for the reflection and transmission zeros while validating the result. Based on the latter, we showed that, for some dual-band specifications, an increase of the filter’s order does not necessarily yield an improvement of its selectivity: this is a major difference as compared to the usual single-band situation and, to the best of our knowledge, the analysis of this phenomenon is new to the filtering community. Finally, the process was validated by the design of two asymmetric dual-band bandpass filters. REFERENCES

Fig. 10. Measurements and simulation of the 7–3 dual-band filter, network topology illustrated in Fig. 9.

Example 2: Seven-Pole Three-Zero Filter Implemented in Stacked Single-Mode Cavities At microwave frequencies, the low-pass specifications shown in Fig. 5 match into two passbands, respectively, at GHz and GHz, and GHz, three stopbands, respectively, at GHz, and GHz. The coupled-resonator network, which is selected for realizing the latter filtering function, is the pseudo extended-box topology presented in Fig. 9. This configuration of the coupled-resonator network leads to three real solutions for realizing the ideal filtering characteristic. A solution is chosen for being implemented in stacked singlemode rectangular cavities, as described in [9]. The CAD model and the practical hardware are tuned using an exhaustive coupling matrix identification. Measurement results of the brassmade prototype are compared with simulations in Fig. 10. Insertion losses are, respectively, 1.4 and 1.25 dB in the passbands. VIII. CONCLUSION AND PERSPECTIVES We have presented an iterative algorithm with a quadratic convergence rate to perform the optimal synthesis of multiband filtering functions. We also provided a simple equiripple-like optimality criterion that allows one to check for the optimality of any filtering function. The main advantages of this approach, as opposed to direct optimization schemes, are to provide the

[1] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [2] G. Macchiarella and S. Tamiazzo, “Design techniques for dual-passband filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3265–3271, Nov. 2005. [3] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1563, Sep. 2000. [4] M. Mokhtaari, J. Bornemann, K. Rambabu, and S. Amari, “Couplingmatrix design of dual and triple passband filters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3940–3946, Nov. 2006. [5] V. Lunot, S. Bila, and F. Seyfert, “Optimal synthesis for multi-band microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 115–118. [6] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [7] E. W. Cheney, Approximation Theory. New York: Chelsea Press, 1982. [8] D. Braess, Nonlinear Approximation Theory. Berlin, Germany: Springer-Verlag, 1986. [9] S. Bila, R. J. Cameron, P. Lenoir, V. Lunot, and F. Seyfert, “Chebyshev synthesis for multi-band microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1221–1224. [10] S. Bila, D. Baillargeat, S. Verdeyme, M. Aubourg, P. Guillon, F. Seyfert, J. Grimm, L. Baratchart, C. Zanchi, and J. Sombrin, “Direct electromagnetic optimization of microwave filters,” IEEE Micro, vol. 2, no. 1, pp. 46–51, Mar. 2001. [11] R. J. Cameron, J. C. Faugère, and F. Seyfert, “Coupling matrix synthesis for a new class of microwave filter configuration,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 119–122. Vincent Lunot was born in Chambray-lès-Tours, France, in June 1978. He received the Master’s degree in cryptography and coding theory from the University of Limoges, Limoges, France, in 2002, and is currently working toward the Ph.D. degree in applied mathematics at the Institut National de Recherche en Informatique et en Automatique (INRIA), Sophia Antipolis, France. His research interests include optimization, rational approximation, and algorithmics and their applications to the synthesis of microwave filters.

Fabien Seyfert received the Engineering degree and Ph.D. degree in mathematics from the Ecole superieure des Mines (Engineering School), St. Etienne, France, in 1993 and 1998, respectively. From 1998 to 2001, he was with Siemens, Munich, Germany, where he was a Researcher specializing in discrete and continuous optimization methods. Since 2002, he has been a Full Researcher with the Institut National de Recherche en Informatique et en Automatique (INRIA), Sophia Antipolis, France. His research interest focuses on the conception of effective mathematical procedures and associated software for problems from signal processing including computer-aided techniques for the design and tuning of microwave devices.

112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Stéphane Bila was born in Paris, France, in September 1973. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 1999. He then held a one-year post-doctoral position with the Centre National d’Etudes Spatiales (CNES), Toulouse, France. In 2000, he became a Researcher with the Centre National de la Recherche Scientifique (CNRS), and joined IRCOM (now XLIM), Limoges, France. His research interests include numerical modeling, optimization, and computer-aided techniques for the advanced synthesis of microwave components and circuits.

Abdallah Nasser was born in Baalbek, Lebanon, in 1980. He received the Engineer degree from the Lebanese University, Beyrouth, Lebanon, in 2004, and is currently working toward the Ph.D. degree in high-frequency electronics and opto-electronics at XLIM, University of Limoges, Limoges, France. His research interests include synthesis methods based on computer-aided techniques for the design and optimization of microwave components and circuits for space applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

113

Mixed Finite-Element Time-Domain Method for Transient Maxwell Equations in Doubly Dispersive Media Burkay Donderici and Fernando L. Teixeira, Senior Member, IEEE

Abstract—We describe a mixed finite-element time-domain algorithm to solve transient Maxwell equations in inhomogeneous and doubly dispersive linear media where both the permittivity and permeability are functions of frequency. The mixed finite-element time-domain algorithm is based on the simultaneous use of both electric and magnetic field as state variables with a mix of edge (Whitney 1-form) and face (Whitney 2-form) elements for discretization of the coupled first-order Maxwell curl equations. The constitutive relations are decoupled from the curl equations and cast in terms of (auxiliary) ordinary differential equations involving time derivatives. Permittivity and permeability dispersion models considered here are quite general and recover Lorentz, Debye, and Drude models as special cases. The present finite-element time-domain algorithm also incorporates the perfectly matched layer absorbing boundary conditions in a natural way. Index Terms—Dispersive media, finite element time domain.

I. INTRODUCTION INITE-ELEMENT time-domain methods have been successfully employed over the years for the simulation of transient Maxwell equations in complex geometries [1]–[4]. In contrast to the finite-difference time-domain method [5], the finite-element time-domain method requires the solution of a sparse linear system at every time step; however, when used in conjunction with simplicial grids, the latter is free from staircasing error. Traditionally, the finite-element time-domain method is based on the solution of the second-order vector wave equation for the electric (or magnetic) field after the elimination of the magnetic (or electric) field [3]. This facilitates the expansion of the unknown field by a single type of basis function. For the electric field, the basis functions of choice are typically edge elements. The choice of edge elements ensures conformity to a discrete version of the de Rham complex (exact sequence property) [6], [7]. This implies that discrete solutions that are not divergence free necessarily correspond to static fields (gradient-like eigenmodes at zero frequency), which do not pollute the frequency spectrum. Edge elements can be viewed as the natural interpolants of 1-forms and, hence,

F

Manuscript received April 29, 2007; revised August 14, 2007. This paper was supported in part by the Air Force Office of Scientific Research under Grant FA 9550-04-1-0359, by the National Science Foundation under Grant ECCS0347502, and by the Ohio Supercomputer Center under Grant PAS-0061 and Grant PAS-0110. The authors are with the ElectroScience Laboratory, Department of Electrical and Computer Engineering The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.912217

provide the correct discrete representation for the electric field intensity, which in its most general mathematical incarnation is represented as a 1-form in a differential manifold [6]–[18]. In the frequency-domain second-order vector wave equation, the divergence-free nature of the (source free) solutions is enforced in a frequency-dependent fashion (more precisely, quadratically with frequency). Hence, the divergence-free condition becomes progressively weak in the zero-frequency limit, leading to ill-conditioned matrices, which negatively impacts accuracy and convergence [19]. This problem also plagues adaptive mesh refinement because the field approaches the static limit locally for elements in highly refined portions of the grid. In the time domain, a different, but related, type of problem arises: the source-free second-order wave equation . admits secular solutions of the form These are spurious (nonphysical) modes in the null space of the curl operator and in the null space of the second-order time derivative. These spurious modes require elimination using, for example, a tree–cotree decomposition (gauging) [20], [21], grad–div regularization terms, or a posteriori filtering approaches [22]. Alternatively, the finite-element time-domain method can be based directly upon the first-order coupled Maxwell curl equations. In this case, both electric and magnetic fields are employed as unknowns, and mixed finite elements are used. A mixed finite-element time-domain method emand magnetic field flux ploying the electric field intensity as simultaneous state variables have been considered, e.g., [23]–[27] where edge elements (Whitney 1-forms) are used and face elements (Whitney 2-forms) are used for . for This choice satisfies a discrete version of the de Rham diagram as well. Other desirable characteristics of this approach are: 1) it is free of secular solutions with linear growth; 2) it produces energy-conserving (symplectic) algorithms [28] under an appropriate choice for the time integration scheme; 3) it provides a natural path for hybridization with the finite-difference time-domain method since the latter can be formulated in terms of edge and face elements (now hexahedral) as well; and 4) it is more easily extended to complex media (i.e., with frequency dispersion and/or anisotropy). Although this mixed – finite-element time-domain method utilizes two fields as unknowns, its computer time and memory costs are comparable to those of the second-order vector wave equation formulation. This is because the former requires discretization of first-order time derivatives, while the latter requires second-order derivatives. As a result, only one past time-step electric/magnetic field

0018-9480/$25.00 © 2007 IEEE

114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

value is necessary during the time update, as opposed to two past field values.1 In addition, the sparse linear system solution in the mixed finite-element time-domain method is required only for the electric field update, and not for the magnetic field update. Consequently, the resulting linear system solution has the same number of unknowns as in the finite-element formulation based on the second-order wave equation. The use of finite-element time-domain methods to simulate linear dispersive dielectrics has been considered before in [29]–[33]. These approaches were based on the second-order wave equation and included dispersion only in the permittivity. In this study, we take advantage of property 4) above to construct a mixed – finite-element time-domain method for inhomogeneous and doubly dispersive media where both the permittivity and permeability are functions of frequency. This type of behavior is found, for example, in many metamaterials [34], [35]. The dispersion models that we consider here are very general and recover Debye, Lorentz, and Drude models as special cases. The algorithm also incorporates the perfectly matched layers [5] in a natural way. II. MIXED



FINITE-ELEMENT TIME-DOMAIN METHOD

column indices respectively, the discrete Hodge matrices (size by ) and (size by ) in (2) are given by the following integrals [24]: (4) (5) where is the computation domain, and and are permittivity and permeability tensors at location , respectively. The integrals in (4) and (5) are volume integrals in three dimensions and area integrals in two dimensions. In nondispersive media and using leap-frog time discretization, the finite-element time-domain update equations are given by [24] (6) (7) The Hodge (mass) matrix is sparse, but not diagonal, and the solution of the associated linear system is the most computationally intensive part of the update.

A. 3-D Case

B. 2-D

We expand the electric field intensity in terms of Whitney , , and the magnetic field flux edge elements , density in terms of Whitney face elements as [24]

In the 2-D case considered in the numerical examples that follow, is still a 1-form and is still a 2-form, thus, all the above formulas still apply. Note, however, that in two-dibecomes a -directed function, mensions, the Whitney form which is uniform over each face (2-D volume form). case (not considered On the other hand, in the 2-D here), is a 0-form and, hence, should be expanded in terms of Whitney 0-forms (nodal elements), while is a 1-form and, hence, should be expanded in terms of Whitney 1-forms (edge elements). A complete classification table with the degrees of the differential forms representing each field for different dimensions and polarizations is presented in [17]. Moreover, a discussion on the appropriate expansions (based on such classification) for the various dimensions/polarizations is provided in [25].

(1) and where and are the unknown of the problem, and are the number of interior (or free) edges and faces, respectively. The expansion above refers to the 3-D case, where is a 1-form (edge elements) and expanded in terms of Whitney 1-forms is a 2-form expanded in terms of Whitney 2-forms (face elements), as expressed in (1). By denoting arrays of unknowns (column vectors) as and , the semidiscrete Maxwell equations in a source-free region can be written as (2) (3) where and are (metric free) sparse incidence matrices on the primal (finite-element mesh) and dual grid, revalues [9], spectively, whose elements assume only holds, in general, up to [18]. The identity boundary terms. The column vectors and represent the electric field flux density and the magnetic field intensity, respectively. The and arrays are not associated with the finite-element mesh directly, but with dual mesh instead [18]. If we denote and as row and 1These numbers refer to a first-order time discretization in dispersionless media only, but a similar comparison can be made for high-order time discretizations and for dispersive media.

and

Cases

III. INHOMOGENEOUS AND DOUBLY DISPERSIVE MEDIA In inhomogeneous media, the material tensors are assumed and , uniform within each face, i.e., where is the face index. Material tensors could alternatively have been associated with edges; however, since an implementation of (4) requires knowledge of the material parameters pointwise, an interpolation of the material parameters would have been necessary. Moreover, an edge-based definition for the material tensors in inhomogeneous media would lead to nonsymmetric Hodge matrices. problem in Cartesian coordinates We consider a 2-D with inhomogeneous and dispersive diagonal material tensors given as (8) (9)

DONDERICI AND TEIXEIRA: MIXED FINITE-ELEMENT TIME-DOMAIN METHOD FOR TRANSIENT MAXWELL EQUATIONS

115

with

(10) Fig. 1. Permittivity values associated with the two parent faces of a given edge j . Note that the labeling order of faces is arbitrary.

and similarly for and . A basic requirement on these tensors is that they should respect Kramers–Kronig relations, a requirement from (primitive) causality [36]. Even though the above tensors are specific for two dimensions, a 3-D formulation can be derived following similar steps noted below.

with faces indices and . As a result, each element the array can be written as

of

A. Electric Field Constitutive Equation Update Here, we decompose in (2) in a form suitable for time disas the area of the th face, (4) can cretization. If we denote be rewritten as a sum of face contributions as (11) Substituting (8) into (11) and separating terms for different axis components, we get

(16) We can further write (17) where (18) (19)

(12) where we have dropped the frequency dependence for simplicity. Since material parameters are assumed uniform inside each element, (12) can be further simplified to (13) with (14) and similarly for written as

. Substituting (13) into (2),

is

(15) Although the summation above includes all faces, the innerand are nonzero for two product integrals faces only, viz., the two faces that touch edge , denoted here as and , respectively (see Fig. 1). Consequently, the summation over faces in (15) reduces to a summation of two terms

and similarly for , , , , , and with . We note that the form of (16) resembles that of the finite difference time domain in dispersive and inhomogeneous media, where the field variables multiply a dispersion function that depends on each grid point. However, four different functions per edge, viz., , , , and , are used here, as opposed to a single one in the finite-difference time-domain algorithm. This comes from the fact that each edge contacts two faces with possibly independent dispersion characteristics and each of these two faces may have independent dispersion characteristics along each of the two axis and in two dimensions. In the time domain, (18) becomes an ordinary differential equation and a time discretization can be performed to obtain update equations. For example, if we denote and as and , respectively, and the th-order time derivatives of substitute (10) into the dispersion relation (18), we obtain (20) and apply We discretize the above at discrete time steps the following finite-difference approximation recursively: (21)

116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

and similarly for can then be written as

. The update equation for

(22)

(23) , , and are constants that dewhere and . These conpend on material parameters stants are given in the Appendix for a dispersion model with . The auxiliary variable depends only on values and from previous time steps. Update equations for of , , and are obtained following similar steps. and similarly By denoting , we can combine (19) and (22) in matrix form as for (24) where for

,

, and

and , and . From (17), we have

similarly

(25) and similarly for

. for The update for from (31) is derived in a similar fashion as the update for . The main difference is that while the support consists of two faces, the support of of each edge element consists of only face and, as such, each face (cell) element there is no need for the field splitting such as done in (17). Furis diagonal in the 2-D case. From thermore, matrix (31) and after application of similar steps to those in (20)–(26), one arrives at the following equation: (32) and are analogous to and , respectively, where is a (diagonal) matrix now for the permeability. The matrix given by the product of two diagonal matrices (33) where version is trivial (explicit

. Since update).

is diagonal, its in-

C. Curl Equations and Complete Update The update equations for and are both explicit and follow directly from Maxwell curl (3) as (34)

. Using (24) and (25), we finally arrive at (26)

where

(35) A complete time-step update consists of application of (35), (32), (34), and (26), in this sequence. IV. PERFECTLY MATCHED LAYER

(27) The above constitutes the update equation for given . As mentioned before, the solution of the sparse linear system assoconstitutes the most computationally intensive ciated with part of the update. B. Magnetic Field Constitutive Equation Update Following the same methodology of part can be written as trix

, the Hodge ma-

(28)

A rectangular (Cartesian) perfectly matched layer [5] implementation is used to truncate the computational domain and simulate an open-domain problem. The implementation described here is based on the first-order Maxwell equations and it is a particular case of the double-dispersive anisotropic material modeling discussed above. We refer the reader to [38] for an implementation of the rectangular perfectly matched layer in finite-element time-domain simulations based on the second-order wave equation. Given an anisotropic and dispersive interior media with conand stitutive tensors and , the associated tensors to achieve reflectionless absorption are given by [39] (36)

with (37) (29) (38) if faces otherwise

(30)

Substituting (30) and (28) into (2), we obtain the following equation for : (31)

where , , and are complex stretching variables [37]. For diagonal tensors , , the above simplifies to (39) (40)

DONDERICI AND TEIXEIRA: MIXED FINITE-ELEMENT TIME-DOMAIN METHOD FOR TRANSIENT MAXWELL EQUATIONS

117

with , For the 2-D cases considered in the examples that follow, and using conventional stretching , and similarly for , variables of the form the perfectly matched layer tensor elements reduce to (41) (42) (43) If the background material parameters in (41)–(43), i.e., , , and , are modeled by second-order polynomials in , the tensors and can be realized by . a fourth-order polynomial V. RESULTS We consider a 2-D problem with a broadband soft magnetic point source. The time-domain excitation given by for and otherwise (Blackman–Harris pulse derivative). This excitation is used in all test cases unless stated otherwise. Here, is the free-space wavelength associated with the central frequency, and is the speed of light. The time step is chosen according to length of the shortest edge of the mesh ( ) and given by . The Courant number is chosen as . In all simulations below, a mesh generation algorithm with a maximum area constraint for all elements is used, where is the mesh resolution in terms of an edge length. A sparse incomplete Cholesky factorization with a drop tolerance value 10 is used for solving (26). A. Validation: Cylindrical Scatterer In order to verify the accuracy of the scheme, we simulate the fields due to a point source in the vicinity of a frequency-dispersive circular cylinder, as depicted in Fig. 2. A computational domain with size 0.56 0.56 m is used with average mesh resolution m. The (free-space) central wavelength is m and the dispersive cylinder has radius m. The field is sampled at the center of the cylinder (where it is more sensitive to the dispersive properties) and the point source is located at 0.4 m away from the receiver. Perfectly matched layers are used for mesh termination (as detailed above). Two scenarios are considered, which are: 1) a cylinder filled with a dielectric dispersive material and 2) a cylinder filled with a doubly dispersive material. The two-pole Debye model described as follows is used for the dispersive permittivity and permeability (a static conductivity term is also included as an additional parameter to this model): (44)

Fig. 2. Finite-element mesh for the dispersive cylindrical scatterer. The source location is indicated by the and the probe location by the .

+

2

San Antonio clay loam parameters [40] are used for the dispersive permittivity model, given by , , , ns, ns, m S/m, and . For a doubly dispersive cylinder, we consider the same permittivity together with a two-pole Debye permeability with , , , ns, and ns. Finite-element time-domain results are compared against finite-difference time-domain results and an analytical solution. The analytical solution is obtained from the Green’s function for this problem, which can be expressed in terms of a Hankel–Bessel series over the azimuth index [41, pp. 574–667] with the first 50 terms included. The time-domain source excitation is first converted to frequency domain by a Fourier transformation. Zero padding with a length that is ten times the total number of time steps is used in this operation to ensure good enough frequency resolution. At each frequency, the source spectrum is multiplied by the analytical solution at that frequency. The result is inverse Fourier transformed to yield the time-domain response. The total number of cells of the uniform finite-difference time-domain grid is equal to the number of faces in the finite-element mesh. Figs. 3(a) and 4(a) show the calculated magnetic field values as a function of time at the probe position. Figs. 3(b) and 4(b) show the relative errors against the analytical result, indicating that the proposed method can accurately simulate materials with dispersive and doubly dispersive characteristics. The finite-difference time-domain method produces a higher residual error for this geometry and mesh resolution mainly because of staircasing approximations.

118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 3. Calculated field and normalized residual error produced by the mixed finite-element time-domain and finite-difference time-domain methods for the San Antonio clay loam cylinder model. The peak residual error of the finite-element time-domain method is approximately 50 dB. (a) Field values. (b) Residual error.

Fig. 4. Calculated field and normalized residual error produced by the mixed finite-element time-domain and finite-difference time-domain methods for the doubly dispersive cylinder model. The peak residual error of finite-element time-domain method is approximately 54 dB. (a) Field values (b) Residual error.

B. Perfectly Matched Layer Performance

in the perfectly matched layer case, the cavity case, and reference case, respectively. The residual reflection coefficient from the perfectly matched layer is calculated by

0

In order to test the perfectly matched layer performance, a domain with size and mesh resolution is used. The domain is extruded by and perfectly matched layer cells, where a polynomial profile with exponent is used for the conductivity [5]. A planarly layered mesh with rectangular tiles made of two triangular cells each is used in the perfectly matched layer. The edge length of each tile is chosen approximately equal to . The reference finite-element time-domain result for subtraction to calculate the perfectly matched layer reflection coefficient is obtained with a larger mesh constructed by extruding the same mesh by a large number of free-space layers. The same exact rectangular tile geometry is used in the extrusion region to avoid any differences in the numerical dispersion effects and isolate the residual reflections from the perfectly matched layer. For reflection coefficient normalization purposes, a third mesh is constructed by terminating the original mesh by perfect electrical conducting walls. In the numerical tests, the source is placed at the center of the domain at and . The receiver is placed at and . We denote , , and as the magnetic field at grid cell (face) at time-step

0

(45) The reflection coefficient for different perfectly matched layer thickness in terms of number of cells is plotted in Fig. 5. A reflection level no larger than approximately 55 dB is obtained for eight cells. Lower reflection levels are obtained by further increasing the perfectly matched layer thickness. C. Zero-Index Lens Example Zero-index metamaterials are doubly dispersive media that exhibit zero permittivity and zero permeability at a specified frequency. Interestingly, the electromagnetic fields inside such materials (at the critical frequency) have a spatial distribution akin to that of a static field (i.e., infinitely long wavelength) while remaining dynamic (oscillatory) in time [34]. Here, we consider a lens object made of a homogeneous zero-index metamaterial in free space, as shown in Fig. 6. The zero-index material is

DONDERICI AND TEIXEIRA: MIXED FINITE-ELEMENT TIME-DOMAIN METHOD FOR TRANSIENT MAXWELL EQUATIONS

119

and sine frequency . The source is located at the center of the top circular disk associated with the lens geometry. Fig. 6 shows a snapshot of magnetic field values taken at s, where it is seen that the cylindrical wave originated from the source above the lens is focused below the lens (wavefront reshaping property). The uniform field values inside the lens indicate the static-like spatial distribution of the field inside the zero-index lens. VI. SUMMARY AND CONCLUSION

Fig. 5. Perfectly matched layer numerical reflection coefficient for various number of layer cells. A reflection level no larger than 55 dB is obtained for eight layers for the source excitation considered.

0

We have described an – mixed finite-element time-domain method to simulate Maxwell equations in inhomogeneous and doubly dispersive linear media. The proposed method provides a more straightforward approach to incorporate complex frequency dispersive characteristics simultaneously in the permittivity and in the permeability because it factors out the update of Maxwell curl equations (involving the spatial derivatives) from the update of the constitutive equations. The finite-element time-domain update equations have a form somewhat similar to that of the finite-difference time-domain update equations in (doubly) dispersive media, except for the sparse linear solve required in the electric field update equation. Perfectly matched layers can also be included in a simple fashion. APPENDIX , , and in (22) and The constants and below for a (23) are given in terms of . The face index is the same for all dispersion model with equations below and is omitted for simplicity. Expressions for , , and can be obtained by replacing by as follows: (47) (48) (49)

2

(50)

Fig. 6. Magnetic field at t = 8:8151 10 s for the zero-index lens problem. The lens is made of a homogeneous doubly dispersive material. The field originating from a point source is refocused below the lens, demonstrating a wavefront reshaping property of this lens.

(51) (52) (53)

realized by the following (isotropic) doubly dispersive Drude model [34]:

(54) (55)

(46) The zero-index frequency is given by with . The lens has a double-concave semicircular geometry with 12-cm width and 7.4-cm height. The radii of curvature of the semicircular regions are 12.2 and 21.8 cm. A 18 cm 23.5 cm domain is used for the finite-element time-domain simulation with average mesh resolution cm. A ramped-sine source [42] is used with half-period ramp length

(56) (57) where

and .

REFERENCES [1] J. F. Lee, R. Lee, and A. Cangellaris, “Time-domain finite-element methods,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 430–442, Mar. 1997.

120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

[2] A. Bossavit, Computational Electromagnetics: Variational Formulations, Complementarity, Edge Currents. San Diego, CA: Academic, 1998. [3] J. M. Jin, The Finite Element Method in Electromagnetics, 2nd ed. New York: Wiley, 2002. [4] P. Monk, Finite Element Methods for Maxwell’s Equations. Oxford, U.K.: Oxford Univ. Press, 2003. [5] A. Taflove and S. Hagness, Computational Electrodynamics: The Finite Difference Time Domain. Boston, MA: Artech House, 2000. [6] A. Bossavit, “Whitney forms: A class of finite elements for three-dimensional computations in electromagnetism,” Proc. Inst. Elect. Eng., vol. 135, no. 8, pt. A, pp. 493–500, Nov. 1988. [7] A. Bossavit, “Mixed finite elements and the complex of Whitney forms,” in The Mathematics of Finite Elements and Applications VI, J. R. Whiteman, Ed. London, U.K.: Academic, 1988, pp. 137–144. [8] P. R. Kotiuga, “Helicity functionals and metric invariance in three dimensions,” IEEE Trans. Magn., vol. 25, no. 4, pp. 2813–2186, Jul. 1989. [9] F. L. Teixeira and W. C. Chew, “Lattice electromagnetic theory from a topological viewpoint,” J. Math. Phys., vol. 40, no. 1, pp. 169–187, Jan. 1999. [10] Z. Ren and N. Ida, “High order differential form-based elements for the computation of electromagnetic fields,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1472–1478, Jul. 2000. [11] T. Tarhasaari and L. Kettunen, “Wave propagation and cochain formulations,” IEEE Trans. Magn., vol. 39, no. 3, pp. 1195–1998, May 2003. [12] P. Castillo, J. Koning, R. Rieben, and D. White, “A discrete differential forms framework for computational electromagnetics,” Comput. Model. Eng. Sci., vol. 5, no. 4, pp. 331–346, 2004. [13] G. A. Deschamps, “Electromagnetics and differential forms,” Proc. IEEE, vol. 69, no. 6, pp. 676–696, Jun. 1981. [14] D. Baldomir, “Differential forms and electromagnetism in 3-dimensional euclidean space R ,” Proc. Inst. Elect. Eng., vol. 133, pt. A, pp. 139–143, 1986. [15] K. F. Warnick and D. V. Arnold, “Green forms for anisotropic, inhomogeneous media,” J. Electromagn. Waves Applicat., vol. 11, pp. 1145–1164, 1997. [16] F. L. Teixeira and W. C. Chew, “Differential forms, metrics, and the reflectionless absorption of electromagnetic waves,” J. Electromagn. Waves Applicat., vol. 13, no. 5, pp. 665–686, 1999. [17] B. He and F. L. Teixeira, “Differential forms, Galerkin duality and sparse inverse approximations in finite element solutions of Maxwell equations,” IEEE Trans. Antennas Propag., vol. 55, no. 5, pp. 1359–1368, May 2007. [18] B. He and F. L. Teixeira, “On the degrees of freedom of lattice electrodynamics,” Phys. Lett. A, vol. 336, no. 1, pp. 1–7, Jan. 2005. [19] R. Dyczij-Edlinger, G. Peng, and J.-F. Lee, “Stability conditions for using TVFEMs to solve Maxwell equations in the frequency domain,” Int. J. Numer. Modeling, vol. 13, pp. 245–260, 2000. [20] R. Albanese and G. Rubinacci, “Integral formulation for 3-D eddy current computation using edge elements,” Proc. Inst. Elect. Eng., vol. 135, pt. A, pp. 457–462, 1988. [21] J. B. Manges and Z. J. Cendes, “A generalized tree–cotree gauge for magnetic field computations,” IEEE Trans. Magn., vol. 31, no. 3, pp. 1342–1347, May 1995. [22] C.-T. Hwang and R.-B. Wu, “Treating late-time instability of hybrid finite-element/finite-difference time-domain method,” IEEE Trans. Antennas Propag., vol. 47, no. 2, pp. 227–232, Feb. 1999. [23] M. Wong, O. Picon, and V. F. Hanna, “A finite element method based on Whitney forms to solve Maxwell equations in the time domain,” IEEE Tran. Magn., vol. 31, no. 3, pp. 1618–1621, May 1995. [24] B. He and F. L. Teixeira, “Sparse and explicit FETD via approximate inverse Hodge (mass) matrix,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 348–350, Jun. 2006. [25] B. He and F. L. Teixeira, “Mixed E –B finite elements for solving 1-D, 2-D, and 3-D time–harmonic Maxwell curl equations,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 5, pp. 313–315, May 2007. [26] J. Koning, R. N. Rieben, and G. H. Rodrigue, “Vector finite-element modeling of the full-wave Maxwell equations to evaluate power loss in bent optical fibers,” J. Lightw. Technol., vol. 23, no. 12, pp. 4147–4154, Dec. 2005. [27] R. N. Rieben, G. H. Rodrigue, and D. A. White, “A high order mixed vector finite element method for solving the time dependent Maxwell equations on unstructured grids,” J. Comput. Phys., vol. 204, pp. 490–519, 2005. [28] R. N. Rieben, D. A. White, and G. H. Rodrigue, “High-order symplectic integration methods for finite element solutions to time dependent Maxwell equations,” IEEE Trans. Antennas Propag., vol. 52, no. 8, pp. 2190–2195, Aug. 2004.

[29] D. Jiao and J.-M. Jin, “Time-domain finite-element modeling of dispersive media,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 5, pp. 220–222, May 2001. [30] N. S. Stoykov, T. A. Kuiken, M. M. Lowery, and A. Taflove, “Finite-element time-domain algorithms for modeling linear Debye and Lorentz dielectric dispersions at low frequencies,” IEEE Trans. Biomed. Eng., vol. 50, no. 9, pp. 1100–1107, Sep. 2003. [31] M. S. Yeung, “Application of the hybrid FDTD–FETD method to dispersive materials,” Microw. Opt. Technol. Lett., vol. 23, pp. 238–242, Nov. 1999. [32] V. F. Rodriguez-Esquerre, M. Koshiba, and H. E. Hernandez-Figueroa, “Frequency-dependent envelope finite-element time-domain analysis of dispersion materials,” Microw. Opt. Technol. Lett., vol. 44, pp. 13–16, Jan. 2005. [33] F. Maradei, “A frequency-dependent WETD formulation for dispersive materials,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3303–3306, Sep. 2001. [34] R. W. Ziolkowski, “Propagation in and scattering from a matched metamaterial having a zero index of refraction,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, 2004, 046608. [35] G. Lubkowski, R. Schuhmann, and T. Weiland, “Extraction of effective metamaterial parameters by parameter fitting of dispersive models,” Microw. Opt. Technol. Lett., vol. 49, pp. 285–288, Feb. 2007. [36] F. L. Teixeira and W. C. Chew, “On causality and dynamic stability of perfectly matched layers for FDTD simulations,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 775–785, Jun. 1999. [37] W. C. Chew and W. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equations with stretched coordinates,” Microw. Opt. Technol. Lett., vol. 7, no. 13, pp. 599–604, 1994. [38] D. Jiao, J.-M. Jin, E. Michielssen, and D. J. Riley, “Time-domain finiteelement simulation of three-dimensional scattering and radiation problems using perfectly matched layers,” IEEE Trans. Antennas Propag., vol. 51, no. 2, pp. 296–305, Feb. 2003. [39] F. L. Teixeira and W. C. Chew, “General closed-form PML constitutive tensors to match arbitrary bianisotropic and dispersive linear media,” IEEE Microw. Guided Wave Lett., vol. 8, no. 6, pp. 223–225, Jun. 1998. [40] J. E. Hipp, “Soil electromagnetic parameters as functions of frequency, density, and soil moisture,” Proc. IEEE, vol. 62, no. 1, pp. 98–103, Jan. 1974. [41] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [42] C. M. Furse, D. H. Roper, D. N. Buechler, D. E. Christinsen, and C. H. Durney, “The problem and treatment of DC offsets in FDTD simulations,” IEEE Trans. Antennas Propag., vol. 48, no. 7, pp. 1198–1201, Jul. 2000.

Burkay Donderici was born in Ankara, Turkey, in 1980. He received the B.S. degree from Bilkent University, Bilkent, Turkey, in 2002, the M.S. degree from The Ohio State University (OSU), Columbus, in 2004, and is currently working toward the Ph.D. degree at The Ohio State University. Since 2002, he has been a Graduate Research Associate with the ElectroScience Laboratory, OSU. During Summer 2007, he was with SciberQuest Inc., where he was involved with mesh stitching (subgridding) algorithms for electromagnetic particle-in-cell simulations. His research interests include computational electrodynamics and inverse scattering.

Fernando L. Teixeira (S’89–M’93–SM’04) received the B.S. and M.S. degrees from the Pontifical Catholic University of Rio de Janeiro, Rio de Janeiro, Brazil, in 1991 and 1995, respectively, and the Ph.D. degree from the University of Illinois at Urbana-Champaign, in 1999, all in electrical engineering. From 1999 to 2000, he was a Post-Doctoral Research Associate with the Research Laboratory of Electronics, Massachusetts Institute of Technology (MIT). Since 2000, he has been with the Department of Electrical and Computer Engineering and the ElectroScience Laboratory, The Ohio State University (OSU), Columbus, where he is currently an Associate Professor. He edited one book and has authored over 70 journals papers and book chapters. His current research interests include modeling of wave propagation, scattering, and transport phenomena for communications, sensing, and device applications. Dr. Teixeira is a member of Commissions B and F of URSI. He was the recipient of numerous awards including the 2004 National Science Foundation (NSF) CAREER Award and the triennial Henry Booker Fellowship presented by the USNC/URSI in 2005.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

121

Efficient Full-Wave Analysis of Multilayer Interconnection Structures Using a Novel Domain Decomposition–Model-Order Reduction Method Shih-Hao Lee, Student Member, IEEE, and Jian-Ming Jin, Fellow, IEEE

Abstract—A novel domain decomposition–model-order reduction method is proposed for efficient full-wave finite-element analysis of multilayer interconnection structures. By considering the special properties of a multilayer structure, the field at each nonmetallic interface (via-holes or other apertures) can be approximated with a modal expansion to establish a boundary condition and decompose the entire computational domain into separate layers. The coupling between each dielectric layer is taken into account through a mode-matching process. To further speed up the computation in each layer, the solution space projection, which is a multipoint model-order reduction method, is integrated into the aforementioned domain decomposition method to form a complete solution algorithm. With the aid of reduced-order models, the domain decomposition process at each frequency is accelerated and a fast broadband analysis is achieved. This domain decomposition–model-order reduction method, called approximate modal interface–solution space projection, is implemented using the finite-element method and validated through several examples, which demonstrate the efficiency of the method in both the computation time and memory usage. Index Terms—Domain decomposition, finite-element method (FEM), full-wave analysis, model-order reduction, printed circuit board (PCB), via-hole.

I. INTRODUCTION NTERCONNECTION modeling has attracted much research interest over the past two decades. Popular full-wave methods for characterizing via transitions and interconnections in a printed circuit board (PCB) include the finite-difference time-domain (FDTD) method [1]–[3], the finite-element method (FEM) [4], [5], the moment method [6]–[8], and the hybrid FDTD–FEM method [9], which improves the geometry modeling of the conventional FDTD. The problem can also be analyzed approximately by deriving circuit models for a single or differential vias by means of the capacitance and inductance extraction [10]–[13]. Compared with the circuit-parameter-extraction approaches, full-wave methods are generally more computationally intensive. Nevertheless, by exploiting the special properties of a mul-

I

Manuscript received May 7, 2007; revised July 16, 2007. This work was supported by Cadence Design System Inc. under a contract. The authors are with the Center for Computational Electromagnetics, Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801-2991 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912192

tilayer structure, it is possible to devise efficient full-wave solution algorithms for analyzing electrically large and complicated problems. This idea has been realized using the moment method with the equivalence principle and an assumption for the surface currents on a via, as demonstrated in [8]. On the contrary, the circuit-parameter-extraction approaches, although computationally more efficient, cannot provide an accurate prediction at high frequencies due to increased coupling and radiation effects. Therefore, an efficient special-purpose full-wave algorithm is believed to be a better choice for the interconnection modeling. In this study, the FEM is adopted for the full-wave analysis of multilayer interconnection structures. The FEM is well known for its excellent capability of modeling complicated structures with arbitrary geometries and materials [14]. Although it requires volumetric discretization, the resulting system is sparse and can be efficiently handled by ever-progressing direct or iterative sparse matrix solvers. Consequently, the complex interactions in a large and dense structure can be efficiently analyzed. To enhance the efficiency of a full-wave analysis, two powerful approaches can be employed, namely, the domain decomposition and the model-order reduction methods. While a domain decomposition method improves the efficiency of a single frequency analysis, a model-order reduction method generates a reduced-order model, and thereby enables a fast frequency sweep. It is expected that an even more significant enhancement can be achieved by combining the two methods, resulting in a domain decomposition–model-order reduction method. Recently, a combined domain decomposition–model-order reduction approach has been developed to analyze resonators [15] and a significant acceleration has been observed. As for a multilayer structure, a domain-decomposition assisted finite-element modeling methodology has been proposed in [16] in which a via-hole is viewed as a two-port device connecting two adjacent dielectric layers, and a multiport macromodel is generated for the layer on each side of a via-hole with each mode in the modal expansion treated as a port. The macromodels are then linked by means of multiport network analysis techniques to obtain the frequency response of the entire structure. In this paper, we propose a mutually beneficial domain decomposition–model-order reduction method called approximate modal interface–solution space projection (AMI–SSP), aiming at a fast and efficient full-wave analysis of multilayer interconnection structures. First of all, this method obtains the field solution at each “aperture” defined in a structure without calculating volume fields. Considering the fact that only port fields are involved in the description of an electromagnetic

0018-9480/$25.00 © 2007 IEEE

122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

five apertures are defined in this structure. On each aperture, the electric field can be expressed as (1)

Fig. 1. (a) Side view of a multilayer structure. (b) Definitions of n ^ and z^ in a single layer.

response, all of the ports are defined as an aperture and thus treated in the same way as via-holes or other nonmetallic regions on a ground or power plane. Thus, port solutions are readily available at the end of the algorithm. An additional advantage is that the finite-element system matrix can be factorized without imposing a port boundary condition for each port, whose discretization yields a complex full matrix and can significantly slow the factorization and increase the memory usage. This will be detailed below. Second, for a finite-thickness ground plane, the aperture interface can be located at the middle of the thickness, while under the assumption of an infinitely thin ground plane, the method can still be applied by simply setting the interface right at the opening. The field at each aperture is approximated with the modal expansion to establish a boundary condition and decompose the entire computational domain into separate layers. The combination coefficient of each mode is determined through a mode-matching process, and therefore, the coupling among each dielectric layer through via-holes and other apertures is fully taken into account. We further apply the solution space projection [17], which is a multipoint model-order reduction method, to generate a reduced-order model associated with each aperture in each layer. Thus, a layer can have many different reduced-order models, each of which is associated with a certain aperture, and an aperture (except for a port) has two reduced-order models associated with two different layers. With the aid of these reduced-order models, the approximate modal interface process in each layer can be greatly accelerated and a fast broadband analysis is thus achieved. On the other hand, the solution space projection can generate reduced-order models layer by layer owing to the domain decomposition carried out by the approximate modal interface. Hence, the proposed AMI–SSP is a mutually beneficial domain decomposition–model-order reduction method. II. APPROXIMATE MODAL INTERFACE METHOD Consider a multilayer structure shown in Fig. 1(a). The solid and dotted lines represent planar metallization and apertures, respectively. Interconnections among different layers (subdomains) are not shown in this figure for clarity. The port surfaces , , and are viewed as apertures, and therefore, totally

where is an undetermined magnetic field at an aperture. Fig. 1(b) shows the definition of , which points outward from each aperture. Also shown in Fig. 1(b) is the definition of , which is in the opposite direction of at ports, while always pointing upward at via-holes for the consistency between adjacent layers. Note that (1) is simply the first Maxwell’s equation applied to the aperture. Using (1) as a boundary condition for each aperture, the functional associated with Layer 1 can be formulated as

(2) where (3) Note that the absorbing boundary condition (ABC) is omitted in (2) for simplicity, although it is employed in our simulations for open surfaces. After applying the finite-element discretization and the Ritz method to (2), one obtains the following matrix equation: (4) or (5) where and in (4) come from the discretization of and in (2), respectively. The subscripts in (4) denote the associated surfaces or volume, and the superscripts in (5) denote the layer number. can be expanded by the eigenmodes Now assume that of each aperture, i.e.,

(6) is a combination coefficient associated with , is where and the number of modes used in the modal expansion, and are the transverse and axial components of modal fields, respectively, obtained by the FEM [14], [18], [19] together with the model-order reduction techniques [20], [21]. Thus, the magnetic field at an interface between two layers is approximated by the linear combination of a number of eigenmodes of each aperture. On the ports, this assumption is consistent with the construction of a port boundary condition, and hence, there is no further approximation introduced here. With the interface fields expressed as in (6), each layer can be handled separately and

LEE AND JIN : EFFICIENT FULL-WAVE ANALYSIS OF MULTILAYER INTERCONNECTION STRUCTURES

now our goal is to find the combination coefficients. Substituting (6) into (3), one obtains

123

where

(13)

or simply (14)

(7)

Again, the interface system for Layer 3 can similarly be found as

Therefore,

(15) (8)

comes from the finite-element diswhere the th column of . Substituting (8) into (4), the solution cretization of vector can be determined to be

To determine the arrays of the combination coefficients , , we need five equations. First, since two adjacent interface systems should yield the same interface field solution, the following two equations must be satisfied:

(16) (9) where and

The other three equations can be derived with the use of the port boundary conditions at the three ports. From [5], [14], [17], and [22], a port boundary condition can be derived to be

(10)

(17)

Note that a subscript number denotes the local number of an aperture only if a superscript exists, otherwise it denotes the and are obtained, the global aperture number. After and its factorization matrices will not be system matrix used again and can all be deleted from the memory. Since only the aperture fields are of interest, we then extract the entries that and , and obtain correspond to the two apertures from the following interface matrix equation for Layer 1:

where the definitions of and can be found in [17]. Applying Galerkin’s method and the finite-element discretization to (17), we obtain the following equations that must be satisfied at the three ports:

(11)

are the port boundary condition matrices where , are the port excitation vectors. The port and and boundary condition matrices are full square matrices with dimensions equal to the number of unknowns on the port. Combining (11), (14)–(16), and (18), we obtain the following five equations for determining the combination coefficients:

where consists of the entries in that correspond to the th aperture of Layer . Next we move on to Layer 2. It is worth mentioning that at inand remain unchanged, terface , the definitions of except that now has a different direction. Following a similar derivation, the solution vector of the second layer can be found as

Port 1 Port 2 Port 3

(18)

(19) which can be written in a more compact form (12) (20)

124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

The definitions of the matrices and arrays in (20) are given as follows:

(21)

Since the ports are usually not excited simultaneously, we have in (21) into different columns. Multiseparated , , and results in a square interface plying both sides of (20) by system for the entire structure (22) denotes the complex conjugate and where the superscript transpose operation. Equation (22) can be solved for , and all of the aperture fields can then be obtained from the interface systems (11), (14), and (15). III. APPROXIMATE MODAL INTERFACE–SOLUTION SPACE PROJECTION ALGORITHM The most time-consuming part in the approximate modal interface method described in Section II is factorizing matrix and solving for . To accelerate this computation and achieve a fast frequency sweep, we apply the solution space projection method [17], which is based on the idea of the so-called “problem-matched basis functions” [23]. The method adaptively selects frequency samples to construct a reduced-order model and guarantees a specified error level over the frequency band of interest. In its basic version, the method does not calculate the frequency derivatives (moments) of a solution vector, yet still yields a satisfactory convergence rate. Calculating frequency derivatives indeed reduces the number of frequency samples for this multipoint model-order reduction method, but only the first few moments have a significant effect. In many cases, it is not worthwhile to calculate very high-order moments since it may take an even longer total time, especially when an iterative solver is used, and always yields a larger reduced-order model. Furthermore, a high-order moment matching requires a finite-element system to be expressed as a matrix polynomial (or rational function) in frequency, and as a result, boundary conditions that do not conform to this requirement have to be cast in the mold by a curve-fitting technique. The solution space projection supports the first-order moment

calculation at each frequency sample to enhance the convergence rate. In this case, a first-order matrix polynomial can be formed for those kinds of boundary conditions by means of a simple central difference approximation around each frequency sample [17]. To combine the approximate modal interface with the solution space projection, we first notice that a single-mode approximation is generally insufficient for both port fields, as well as via-hole fields. Actually it is observed that as the frequency increases, the number of modes should also be increased to maintain a certain accuracy. Since the solution space projection generates excitation-specific reduced-order models as asymptotic waveform evaluation (AWE)-like methods, and in the current application, multiple right-hand-side vectors (modal excitations ) need to be solved for each aperture, it may not be the best choice to construct a reduced-order model associated with each modal excitation, respectively. Instead we construct a reduced-order model associated with each via-hole. This means of ) are that all the solution vectors of a via-hole (e.g., included in the construction of a reduced-order model associated with that via-hole. Thus, a layer can have many different reduced-order models, each of which is associated with a certain via-hole, and a via-hole has two reduced-order models associated with two different layers. In this way, the information collected from different excitations at different frequencies is more effectively exploited. For a layer that contains one or more ports, a single reduced-order model that is applicable to all the port excitations is generated, and thus can be shared by all of the ports in that layer. Of course, we can also lump all the soand in Layer 1) together to form lution vectors (e.g., a common reduced-order model that can be shared by all the apertures (including via-holes and ports) in a layer. This will make the solution space projection converge even faster (since we have more bases), but may take a considerable amount of time and memory in orthonormalizing solution vectors [17] and result in a very large reduced-order model if there exist a large number of via-holes. The combined domain decomposition–model-order reduction algorithm starts with constructing reduced-order models in each layer. After this is completed, the domain decomposition process is initiated and the computation is accelerated with the aid of these reduced-order models. Take, for example, . After solving at a number of frequency samples , which are adaptively determined by the solution space projection, we obtain a set of solution vectors

(23) where is the number of frequency samples determined at Layer 1. is then orthonormalized (still denoted by ) and used to generate the following reduced order model (24) which provides approximate solutions at frequencies other than the samples. The readers are referred

LEE AND JIN : EFFICIENT FULL-WAVE ANALYSIS OF MULTILAYER INTERCONNECTION STRUCTURES

125

to [17] for a better explanation of the procedure and the adaptive frequency selection. The accuracy of the approximate solution can be evaluated by the residual error defined as

(25)

However, this is not the formula used in the algorithm to check and in (11) are just the convergence. We know that entries in that correspond to and . Therefore, only these entries have to be checked for convergence, and the actual formulas used in the algorithm are

Fig. 2. Through-hole via. (a) 3-D View. (b) Top view and the definition of  .

(26)

where is the total number of unknowns on and . The numbers in the brackets are the indexes of a matrix or vector. Two advantages of using (26) instead of (25) can be observed. First, it takes less time to evaluate (26) than (25), and second, the number of frequency samples can also be reduced since we do not care about the convergence of volume unknowns. The AMI–SSP algorithm is summarized in the Appendix .

Fig. 3. S and S of the through-hole via-structure with the connecting angle  = 0 . The solid and dashed lines are S and S obtained by the FEM with AMI–SSP, respectively. Also shown in this figure are the results obtained by the hybrid FDTD method [9] (rectangles and circles).

IV. NUMERICAL EXAMPLES The proposed AMI–SSP method has been validated by a number of problems and a few of them are presented here. We used tetrahedral edge elements for the discretization in the finite-element analysis. Our field solver is coded in MATLAB 7.0 and the computations were carried out on a desktop computer with a single 3.4-GHz Xeon processor. Within the capability of this machine, we choose to completely factorize a single-layer system matrix since multiple right-hand-side vectors have to be solved even at a single aperture. The residue tolerance for testing convergence in the solution space projection algorithm is set to 0.01. A. Through-Hole Via With a Variable Connecting Angle The first example is a through-hole via-structure with a variable connecting angle between the input and output microstrip lines [9], as shown in Fig. 2. The diameters of the via and the clearance hole are 0.254 and 0.508 mm, respectively, and the linewidth is the same as the via diameter. The substrate has a thickness of 0.239 mm and a dielectric constant of 4.3. Except for the ports, the computational domain is truncated by an absorbing boundary with a dimension of 2 2 2.678 mm . Due to the existence of the ground plane, the computational domain is naturally decomposed into two layers (subdomains). Four and six modes are used in the approximate modal interfaces for the two ports and the via-hole, respectively. Figs. 3 and 4 compare the AMI–SSP solutions with the results in [9] for the 0 and

Fig. 4. S and S of the through-hole via-structure with the connecting angle  = 180 . The solid and dashed lines are S and S obtained by the FEM with AMI–SSP, respectively. Also shown in this figure are the results obtained by the hybrid FDTD method [9] (rectangles and circles).

180 cases, respectively. We have used the first-order solution space projection that calculates first-order derivatives at each frequency sample to generate reduced-order models and accelerate the approximate modal interface process in each layer. For both the 0 and 180 cases, the solution space projection algorithm converged with five frequency samples in each layer. Next, to demonstrate the accuracy of AMI–SSP itself, we used a coarser mesh and compared the AMI–SSP’s results with

126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 5. S -parameters obtained by the AMI–SSP (solid and dashed lines) and directly solving the matrix equation for the entire structure at each frequency point (rectangles and circles).

Fig. 6. (a) Top view of a differential via-structure [12], [13]. The two pairs of vias are connected in the first layer of a three-layer PCB. The track width and separation are 0.255 and 0.265 mm, respectively. (b) Side view.

TABLE I COMPUTATIONAL PERFORMANCE OF THE AMI–SSP AS COMPARED TO THE DIRECT CALCULATION

the direct solutions (obtained by directly solving the entire structure at each frequency point). Excellent agreement can be observed in Fig. 5. Furthermore, a comparison of the computational performance of the AMI–SSP and direct calculation is shown in Table I, where we can see a considerable reduction in the computation time and memory usage accomplished by the AMI–SSP. A more significant difference in the computation time is expected if the direct calculation is performed at more frequency points (rather than 11 in this example).

Fig. 7. S of the differential vias connected in the first layer of a three-layer PCB. Solid line: FEM with AMI–SSP. Dashed line: measured result from [12].

B. Two Pairs of Differential Vias in a Three-Layer PCB A differential via-structure (Fig. 6) is used as another example to validate our approach. In [12], a circuit topology has been proposed to model this structure. The associated circuit parameters are obtained by a 3-D capacitance extractor and an analytical formula (or a 2-D quasi-TEM solver) for the inductance. As shown in Fig. 6, there are two pairs of differential vias connected in the first layer by a pair of 50-mm-long coupled striplines. The PCB has a dielectric constant of 4.0 and a loss tangent of 0.015. The conductor loss is neglected in our simulation. The input and output are located at the via-pads on the top of the structure. Figs. 7 and 8 compare the simulated (FEM with AMI–SSP) and measured -parameters [12], [13]. We simulated this strucis only ture from 50 MHz to 20 GHz, but the comparison of made up to 10 GHz because the measured data was extracted from [12, Fig. 9], which focused on the frequency range below

Fig. 8. S of the differential vias connected in the first layer of a three-layer PCB. Solid line: FEM with AMI–SSP. Dashed line: measured result from [13].

10 GHz and is much clearer than [12, Fig. 8]. As indicated in [12], the quality of measurements also decline at higher frequencies, especially for the reflection measurement. In Figs. 7 and 8, we can see that the full-wave result shows good agreement with the measured data. For frequencies above 10 GHz, where the circuit model failed to accurately predict [12], [13], the full-wave

LEE AND JIN : EFFICIENT FULL-WAVE ANALYSIS OF MULTILAYER INTERCONNECTION STRUCTURES

127

TABLE II COMPUTATIONAL PERFORMANCE STATISTICS OF THE AMI–SSP IN EACH LAYER

result is much closer to the measured data in both the magniaround tude and locations of resonance peaks. The peaks of 11 GHz are also captured by the finite-element analysis. To reduce the size of the computational domain, we simulated one-quarter of the entire structure by exploiting the geometric symmetry. We used three modes in the approximate modal interfaces for both the ports and via-holes. Fewer modes are used in this example because a via-hole in a ground plane with a finite thickness is more similar to a coaxial line. Due to the diverse computation time and memory usage in different layers, we summarized the computational information of the AMI–SSP in Table II. The first layer consumed the most time and memory to solve because it not only has more unknowns (approximately 100 000), but also requires more frequency samples to achieve the specified residue tolerance for the solution space projection algorithm. The entire AMI–SSP process took approximately 23 min, and the total simulation took about 24 min, including the time for the matrix assembly.

Fig. 9. (a) Top view of a differential via-structure with four ground vias [13]. The two pairs of vias are connected in the second layer of a seven-layer PCB. The track width and separation are 0.150 and 0.460 mm, respectively. (b) Side view.

C. Two Pairs of Differential Vias in a Seven-Layer PCB In the third example, an even larger differential via-structure [13], as shown in Fig. 9, is considered. The two pairs of differential vias are connected in the second layer of the seven-layer PCB by a pair of coupled striplines. In addition, four ground vias are placed near the differential vias. The material of the board is the same as that in the previous example. Simulated and measured and are shown in Figs. 10 and 11, respectively, where very good agreement can be observed. The computational information of the AMI–SSP is summarized in Table III. The entire AMI–SSP process took approximately 18.5 min, and the total simulation took approximately 20.5 min, including the time for the matrix assembly. V. CONCLUSION In this paper, we have proposed a mutually beneficial domain decomposition–model-order reduction method, called AMI–SSP, for an efficient full-wave finite-element analysis of multilayer interconnection structures. The domain decomposition method (approximate modal interface) is greatly accelerated with the aid of reduced-order models provided by the model-order reduction method (solution space projection). The solution space projection generates reduced-order models layer by layer owing to the domain decomposition implemented by the approximate modal interface. The domain

Fig. 10. S of the differential vias connected in the second layer of a sevenlayer PCB. Solid line: FEM with AMI–SSP. Dashed line: measured result from [13].

decomposition and model-order reduction methods have been integrated into a powerful algorithm to enhance the efficiency of the full-wave simulation. By using the approximate modal interface, the system matrix of each layer is constructed and factorized separately, which is substantially more efficient than directly solving the entire structure. After the computation in a layer is completed, the associated system matrix and the factorization matrices are not used again and can all be deleted from the memory. A port is treated in the same way as a via-hole, and thus, the port field is readily available at the end of the algorithm. Furthermore, we applied the solution space projection to accelerate

128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

number of via-holes on the upper plane of Layer ; number of ports in Layer ; number of via-holes on the lower plane of Layer ; number of apertures in Layer ; ; array containing local indexes of apertures that are via-holes on the upper plane of Layer ; array containing local indexes of apertures that are ports in Layer ;

Fig. 11. S of the differential vias connected in the second layer of a sevenlayer PCB. Solid line: FEM with AMI–SSP. Dashed line: measured result from [13].

TABLE III COMPUTATIONAL PERFORMANCE STATISTICS OF THE AMI–SSP IN EACH LAYER

array containing local indexes of apertures that are via-holes on the lower plane of Layer ; MaxSamp

maximum number of frequency samples;

tol

residue tolerance; array containing all the desired frequency (wavenumber) points in the band of interest; number of entries in

;

lower bound of the frequency range over which frequency samples are selected; upper bound of the frequency range over which frequency samples are selected. It

can

be

seen

that , and furthermore, . Besides, a reduced-order model ROM in the following algorithm is like a function that takes an excitation vector and a frequency as inputs and its output is a solution vector. % Construct ROMs in each layer

the approximate modal interface computation in each layer and thereby achieve a fast broadband analysis. In our algorithm, each via-hole has two reduced-order models associated with two different layers, whereas all the ports in a layer share a common reduced-order model. This is found to be an effective way for constructing reduced-order models for the current application of multilayer interconnection structures. Our simulation results have demonstrated a considerable enhancement to the full-wave analysis. Both the computation time and memory usage have been significantly reduced while still maintaining the desired accuracy. For the seven-layer structure in the last example modeled with 512 490 unknowns, the entire AMI–SSP process took approximately 18.5 min on a desktop to yield solutions at 400 frequency points in the band of interest. APPENDIX APPROXIMATE MODAL INTERFACE–SOLUTION SPACE PROJECTION ALGORITHM Definition of Constants: number of layers; total number of ports;

1. For

to

do 2.–22. ,

2. For 3. For

to

do 3.–6. do 4.–6. .

4. Solve

5. Calculate the first-order derivative of 6. Update 7. For

at , if needed.

. to MaxSamp do 8.–21.

array containing midpoints between 8. solved frequency samples. ,

9. Construct ROMs: with current 10. For

, to

, and

, and respectively, if any.

do 11.–13.

11. Calculate , , and .

LEE AND JIN : EFFICIENT FULL-WAVE ANALYSIS OF MULTILAYER INTERCONNECTION STRUCTURES

12. Evaluate the corresponding residual errors: using (26). 13.

,

, and

.

14. If

, then stop.

15. If

, then do 16.

16. Upper plane/port/lower plane ROM construction declared to be completed. Disable corresponding right-hand-side vector solving and convergence test. 17.

has the largest residual error .

18. For

to

do 19.–21.

19. Solve

.

20. Calculate the first-order derivative of needed. 21. Update

at

, if

.

22. Use ’s to construct ROMs (matrix projection) for all of the apertures in Layer . % Accelerated approximate modal interface computation and % frequency sweep 23. For

to

do 24.–37.

24. For

to

do 25.– 32.

25. For

to

do 26.–32..

26. 27. Extract

from

28. Update

with

29. Update

with

30. If

, then do 31. and 32.

. .

.

31. Form the port boundary condition matrix and update

.

32. Update with the corresponding excitation vector. 33. Form the interface system matrix 34. For 35. Solve

to

.

do 35.–37. .

36. Calculate all the port fields with and

’s.

37. Calculate -parameters.

REFERENCES [1] S. Maeda, T. Kashiwa, and I. Fukai, “Full wave analysis of propagation characteristics of a through hole using the finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2154–2159, Dec. 1991. [2] W. D. Becker, P. H. Harms, and R. Mittra, “Time-domain electromagnetic analysis of interconnects in a computer chip package,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2155–2163, Dec. 1992.

129

[3] P. C. Cherry and M. F. Iskander, “FDTD analysis of high frequency electronic interconnection effects,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 10, pp. 2445–2451, Oct. 1995. [4] J. Wang and R. Mittra, “Finite element analysis of MMIC structures and electronic packages using absorbing boundary conditions,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 441–449, Mar. 1994. [5] G.-W. Pan, J. Tan, and B. K. Gilbert, “Full wave edge element based analysis of 3-D metal-dielectric structures for high clock rate digital and microwave applications,” Proc. Inst. Elect. Eng.—Microw. Antennas, Propag., vol. 147, pp. 391–397, Oct. 2000. [6] S. G. Hsu and R. B. Wu, “Full wave characterization of a through hole via using the matrix-penciled moment method,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1540–1547, Aug. 1994. [7] S. G. Hsu and R. B. Wu, “Full-wave characterization of a through hole via in multi-layered packaging,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1073–1081, May 1995. [8] M. R. Abdul-Gaffoor, H. K. Smith, A. A. Kishk, and A. W. Glisson, “Simple and efficient full-wave modeling of electromagnetic coupling in realistic RF multilayer PCB layouts,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1445–1457, Jun. 2002. [9] C. T. Hwang, S. G. Mao, R. B. Wu, and C. H. Chen, “Partially prism-gridded FDTD analysis for layered structures of transversely curved boundary,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 339–346, Mar. 2000. [10] T. Wang, R. F. Harrington, and J. R. Mautz, “Quasistatic analysis of a microstrip via through a hole in a ground plane,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 6, pp. 1008–1013, Jun. 1988. [11] Q. Gu, Y. E. Yang, and M. A. Tassoudji, “Modeling and analysis of vias in multilayered integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 2, pp. 206–214, Feb. 1993. [12] E. Laermans, J. De Geest, D. De Zutter, F. Olyslager, S. Sercu, and D. Morlion, “Modeling differential via holes,” IEEE Trans. Adv. Packag., vol. 24, no. 3, pp. 357–363, Aug. 2001. [13] E. Laermans, J. De Geest, D. De Zutter, F. Olyslager, S. Sercu, and D. Morlion, “Modeling complex via hole structures,” IEEE Trans. Adv. Packag., vol. 25, no. 2, pp. 206–214, May 2002. [14] J. M. Jin, The Finite Element Method in Electromagnetics. New York: Wiley, 2002. [15] L. Kulas and M. Mrozowski, “Accelerated analysis of resonators by a combined domain decomposition–model order reduction approach,” in 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 585–588. [16] H. Wu, A. C. Cangellaris, and A. Y. Kuo, “Application of domain decomposition to the finite-element electromagnetic modeling of planar multi-layered interconnect structures and integrated passives,” in 13th IEEE Elect. Perform. Electron. Packag. Top. Meeting, Portland, OR, Oct. 2004, pp. 281–284. [17] S. H. Lee and J. M. Jin, “Adaptive solution space projection for fast and robust wideband finite-element simulation of microwave components,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 474–476, Jul. 2007. [18] J. F. Lee, D. K. Sun, and Z. J. Cendes, “Full-wave analysis of dielectric waveguides using tangential vector finite elements,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1262–1271, Aug. 1991. [19] J. Tan and G. Pan, “A new edge element analysis of dispersive waveguiding structures,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2600–2607, Nov. 1995. [20] F. Bertazzi, O. A. Peverini, M. Goano, G. Ghione, R. Orta, and R. Tascone, “A fast reduced-order model for the full-wave FEM analysis of lossy inhomogeneous anisotropic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 2029–2035, Sep. 2002. [21] S. H. Lee, T. Y. Huang, and R. B. Wu, “Fast waveguide eigenanalysis by wideband finite-element model order reduction,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2552–2558, Aug. 2005. [22] K. Ise, K. Inoue, and M. Koshiba, “Three-dimensional finite-element method with edge elements for electromagnetic waveguide discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1289–1295, Aug. 1991. [23] C. K. Aanandan, P. Debernardi, R. Orta, R. Tascone, and D. Trinchero, “Problem-matched basis functions for moment method analysis—An application to reflection gratings,” IEEE Trans. Antennas Propag., vol. 48, no. 1, pp. 35–40, Jan. 2000.

130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Shih-Hao Lee (S’05) was born in Taipei, Taiwan, R.O.C., in 1980. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of Illinois at Urbana-Champaign. His research interest is in the development and application of the FEM to the electromagnetic analysis of microwave and digital circuits. Mr. Lee is an honorary member of Phi Tau Phi.

Jian-Ming Jin (S’87–M’89–SM’94–F’01) received the B.S. and M.S. degrees in applied physics from Nanjing University, Nanjing, China, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. He is currently a Professor of electrical and computer engineering and Director of the Electromagnetics Laboratory and the Center for Computational Electromagnetics, University of Illinois at Urbana-Champaign (UIUC). In 1999, he was a Distinguished Visiting Professor with the Air Force Research Laboratory. He has authored or coauthored over 180 papers in refereed journals and 15 book chapters. He also authored The Finite Element Method in Electromagnetics (Wiley, 1993, 2002) and Electromagnetic Analysis and Design in Magnetic Resonance Imaging (CRC, 1998), coauthored Computation of Special Functions (Wiley, 1996), and coedited Fast and Efficient Algorithms in Computational Electromagnetics (Artech House, 2001). His current research interests include computational electromagnetics, scattering and antenna analysis, analysis of microwave and digital circuits, electromagnetic compatibility, bioelectromagnetics, and magnetic resonance imaging. He was an Associate Editor for Radio Science. He is a member of the Editorial Board for Electromagnetics and Microwave and Optical Technology Letters. His name is listed in the UIUC’s List of Excellent Instructors. He was elected by the Institute for Scientific Information (ISI) as one of the world’s most cited authors in 2002. Dr. Jin is a member of Commission B of USNC/URSI and Tau Beta Pi. He was the Symposium co-chairman and Technical Program chairman of the Annual Review of Progress in Applied Computational Electromagnetics in 1997 and 1998, respectively. He was an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He was the recipient of a 1994 National Science Foundation (NSF) Young Investigator Award and the 1995 Office of Naval Research Young Investigator Award. He was also the recipient of the 1997 Xerox Junior Research Award and the 2000 Xerox Senior Research Award presented by the College of Engineering, UIUC. He was the first Henry Magnuski Outstanding Young Scholar in the Department of Electrical and Computer Engineering in 1998.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

131

Practical Implementation of the Spatial Images Technique for the Analysis of Shielded Multilayered Printed Circuits Juan Sebastian Gómez-Díaz, Student Member, IEEE, Monica Martínez-Mendoza, Student Member, IEEE, Francisco Javier Pérez-Soler, Student Member, IEEE, Fernando Quesada-Pereira, Member, IEEE, and Alejandro Alvarez-Melcón, Senior Member, IEEE

Abstract—In this paper, a practical implementation of the spatial images technique for the analysis of shielded multilayered printed circuits inside convex cavities is proposed. A new method is introduced in order to automatically locate the images surrounding the structure in order to impose the appropriate boundary conditions for the potentials. The boundary conditions are imposed at discrete points along the cavity wall and, therefore, the technique proposed is an approximation to the exact cavity modeling. Furthermore, for the analysis of electrically long cavities, the use of several rings of images surrounding the entire cavity at different heights is employed. Using the special features of the formulation, a new method of moments implementation combined with the spatial images technique is proposed in order to efficiently analyze practical multilayered printed filters, considerably reducing the computational cost. Several examples with CPU time comparisons are provided, demonstrating the accuracy and efficiency of the new technique. A novel transversal filter in a trapezium-shaped cavity is designed, manufactured, and tested for the first time using the spatial images technique. Index Terms—Boxed circuits, cavities, Green’s functions, integral equations (IEs), printed circuits, spatial images, transversal filters.

I. INTRODUCTION ODAY, the accurate analysis and design of modern microwave systems is fundamental due to their wide use in telecommunication applications. Complex circuits with high integration degree, operating at high frequencies, and with metallic walls providing shielding to the structures, must be rapidly analyzed. An interesting possibility to perform this kind of analysis is to employ the integral equation (IE) technique combined with the method of moments (MoM) [1]. In this case, the Green’s functions associated with the multilayered shielded medium must be calculated. A new spatial-domain numerical method for the Green’s functions computation in circular cylindrical cavities was presented in [2]. The method was modified in order to

T

Manuscript received June 14, 2007; revised September 7, 2007. This work was supported by the Centro de Investigación Científica y Tecnólogica (CICYT) under Spanish National Project TEC2004-04313-C02-02/TCM and under Regional Seneca Project 02972/PI/05. The authors are with the Telecommunication and Electromagnetic Group, Technical University of Cartagena, Cartagena E-30202, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912232

analyze complex-shaped cylindrical cavities, defined with linear segments, in [3]. The idea of the method is to use charge and dipole images outside the cavity to enforce the proper boundary conditions for the potentials. The boundary conditions are imposed at discrete points along the cavity wall, and thus, the technique proposed should be considered as an approximation to the real cavity modeling. To do that, the free-space Green’s function was employed in [3]. In this paper, we incorporate the multilayered media Green’s functions formulated as Sommerfeld integrals [4] in order to study real closed multilayered cavities including top and bottom covers. This idea was first proposed in [2] in the context of circular cylindrical cavities. In this paper, we combine the same idea with the formulation presented in [3] to analyze circuits printed in convex cavities. In all the previous studies, the location of the spatial images around the cavity was taken as an additional degree of freedom. Generally speaking, the spatial images were located at a given fixed distance from the wall, following the shape of the cavity. However, the exact location of the spatial images might affect the accuracy and numerical stability of the technique, especially when the source point is close to the walls of the cavity. Although a specific spatial images distribution could provide good accuracy when it is used with a particular type of cavity and source position, the same distribution also might not be able to achieve the same accuracy for another cavity shape or when the source is placed at a different location. Therefore, a systematic technique for the placement of the spatial images must be devised in order to preserve accuracy for all source points locations and different cavity shapes. The second difficulty of the spatial images technique is that the boundary conditions for the fields are imposed at only one transverse plane along the height of the cavity. Consequently, for electrically long cavities, the behavior of the fields along the cavity height might degrade. For this reason, it is important to extend the original formulation so that electrically long cavities can be analyzed without loss of precision. The basic idea is to also impose the boundary conditions at discrete points along the cavity height using several rings of images. This technique is also an approximation to the real behavior of the field along the cavity height. However, it will be shown in this paper that the error of the fields along the cavity height can be made small if a sufficient number of rings are selected.

0018-9480/$20.00 © 2007 IEEE

132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Finally, a practical limitation of the spatial images method is its computational cost when it is used inside IE formulations for the analysis of practical shielded circuits. This is due to the necessity to calculate exact charge and dipole images values for every new source point location. These values are calculated through the solution of linear systems. Although the sizes of the systems are, in general, small, they have to be calculated many times during the MoM implementation. This makes the original technique computationally very intensive. In this paper, specific solutions are proposed for the abovementioned problems. It is shown that when using the new proposed techniques, the efficient analysis of practical multilayered shielded circuits employing the spatial images method is possible. First, a procedure to automatically place the spatial images outside a given cavity is proposed. This distribution depends on the shape of the cavity and on the source position, providing acceptable accuracy in all situations. Second, a multiring images scheme is proposed in order to analyze electrically long structures by sampling the entire cavity at different discrete heights. We show that with this technique, the accuracy in the imposition of the boundary conditions for the fields can be maintained along the entire cavity height. Finally, a new MoM implementation is presented in order to increase efficiency using the special features of the method. The key point of the new technique is the natural separation of the Green’s functions in two parts, namely, the source and image contributions. The singularity of the Green’s function is present only in the source term, and can be computed very fast using standard techniques for Sommerfeld transformations [5]. The systems of linear equations need to be computed only for the contribution of the spatial images. However, the images contribution exhibits a very smooth behavior, and it can be treated with less numerical effort during the MoM solution. Finally, three practical microwave filters are analyzed and their CPU times are compared to other methods. The first circuit is a square-encapsulated bandpass printed filter based on coupled-line sections. The second circuit uses a novel hybrid technology reported in [6] to implement a second-order transversal filter inside a trapezium-shaped cavity. To the authors knowledge, this is the first time that a microwave filter is designed, manufactured, and tested in a trapezium-shaped cavity using the spatial images Green’s functions inside IE formulations. This example also shows the ability of the new formulation for the design of practical filters in complex shaped cavities. The third and last circuit is a four-pole broadside-coupled filter. The circuits responses have been validated with measured data. The agreement obtained with the novel theory is good in all cases with high computational performance, as compared to other techniques. II. THEORETICAL OVERVIEW The idea of the spatial images technique is to impose the boundary conditions for the potentials at -discrete points along the cavity wall using -images placed outside the structure. The technique can be seen, therefore, as an approximation to the real behavior of the fields along the cavity wall of the structure. The strength and orientation of the images are then computed so the proper boundary conditions are satisfied at

Fig. 1. Example of the image distribution algorithm. S is the source position, P and P are two points at the cavity wall, and q and q are the two images positions. a = , h = 0:2, h = 0:1, and  = 5:0.

discrete points of the metallic wall. The details of the formulation for arbitrarily shaped cavities employing free-space Green’s functions can be found in [3]. However, for the analysis of completely closed enclosures, the bottom and top covers of the cavity must be included in the formulation. The most efficient way to accomplish this task is to formulate the Green’s functions of a multilayered medium in the spatial domain using the Sommerfeld transformation. In this way, the presence of dielectric layers inside the cavity can also be automatically accounted for. Note that the method proposed imposes the boundary conditions for the fields considering perfect electric cavity walls. In this way, the losses due to the finite conductivity of the cavity walls cannot be easily modeled with this formulation. However, the losses in the dielectric substrates are easily included using the spatial-domain multilayered Green’s functions formulated as Sommerfeld integrals [4]. The losses in the printed metallizations are also easily included using the Leontovich boundary condition combined with the concept of the surface impedance of a nonperfect conductor [7]. In this paper, we have combined the original technique described in [3] with the multilayered media Green’s functions in the spatial domain formulated through the Sommerfeld transformation. This has allowed us to study real multilayered printed circuits shielded in cavities of complex shapes. In Sections II-A–C, we present several techniques allowing a practical implementation of the spatial images formulation for the analysis of real microwave shielded components. A. Spatial Images Distribution The distribution of the images around the cavity is essential in the spatial images technique in order to obtain accurate results. A triangular cylindrical cavity is depicted in Fig. 1 in order to introduce a new algorithm to automatically place the spatial images around a given cavity. The first step of the method consists of sampling the cavity contour at -discrete points. Each of these points will be the center of a virtual circle with radius equal to the distance to the source position inside the cavity (see Fig. 1). To locate each single spatial image, a line is traced from the center of the waveguide to each discrete point at the wall. These lines will intersect the virtual circles at a maximum of two points. The position of the image is selected at the intersecting point falling outside of the cavity. An example of the algorithm for two different points

GÓMEZ-DÍAZ et al.: PRACTICAL IMPLEMENTATION OF SPATIAL IMAGES TECHNIQUE FOR ANALYSIS OF SHIELDED MULTILAYERED PRINTED CIRCUITS

133

Fig. 2. Images arrangement around the triangular cavity depicted in Fig. 1 when the source is placed at the position ( 0:30; 0:13; 0:1).

0

j

j

j

j

Fig. 4. Magnetic vector potential G -component along the observation line of Fig. 3. The source is placed at the center of the cavity.

Fig. 3. Images arrangement around a square cavity when the source is placed at the position ( 0:74; 0:0; 0:1). The substrate arrangement is shown in Fig. 1.

0

and is shown in Fig. 1. It is important to note that when the source is placed near a cavity wall, the method locates one image close to that wall. We have observed that this situation leads to increased accuracy for source points close to the wall. An example of this situation is presented in Fig. 2 where we show the final location of the images, obtained with this algorithm, for a situation of a source point placed very close to a wall. To show how the algorithm behaves for a square cavity, we further present in Fig. 3 the final location of the images when . the source point is placed at the position In both cases, we observe that one spatial image approaches the source point from outside the cavity. This behavior correctly simulates the situation of a source point very close to an infinite ground plane. By image theory, we know that, in this case, the spatial image must be placed at the same distance from the ground plane as the source [8]. This behavior of the basic image theory is respected by the new algorithm, leading to an increased accuracy for points close to the cavity walls. To demonstrate the usefulness of the technique, we present in Fig. 4 the magnetic vector potential -component along the observation line shown in Fig. 3 when the source point is placed at the center of the cavity. We compare the results obtained using

Fig. 5. Magnetic vector potential G -component along the observation line of Fig. 3. The source is placed at the position ( 0:74; 0:0; 0:1).

0

the new algorithm with the results obtained when the spatial images are placed following the cavity contour at a fixed distance from the wall. For validation, the results obtained with of a sepctral-domain approach, only valid for rectangular cavities, are also included [9]. Finally, to show the convergence of the method, the results obtained using the new algorithm are presented for two different number of images (30 and 40 images around the cavity contour). We observe in Fig. 4 that the results are very similar in all cases (with maximum relative errors below 0.08%), showing that convergence has been achieved. The test just performed shows the numerical stability of the spatial images technique when the source is placed at the center of the cavity. We have repeated a similar study in Fig. 5, but when the source point is close to the left wall of the cavity . In this case, the results obtained with the new algorithm with 30 and 40 images agree very well with the sepctral-domain technique (the maximum relative error is below 0.05%). However, the results obtained placing the images at a fixed distance from the cavity wall fail to converge. This test

134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

j j

Fig. 6. Electric scalar potential G along the observation line of Fig. 2. The source is placed at the cavity center.

shows that the location of the spatial images is very important when the source point is close to the cavity walls. It also shows the high robustness of the algorithm proposed for the placement of the images, even for source points very close to the walls. To further demonstrate how the algorithm behaves in a cavity of different shape, we present a similar test in the triangular cavity of Fig. 2. In this case, we present in Fig. 6 the Green’s function for the electric scalar potential along the observation line shown in Fig. 2, when the source point is placed again at the center of the cavity. We have compared the results obtained using the new algorithm with 30 and 40 images with the results obtained when the spatial images are located around the cavity . In this case, all results agree well at a fixed distance of (the maximum relative error is below 0.5%), showing the stability of the spatial images technique. Next, we present in Fig. 7 similar results, but when the source point is placed very close to one of the cavity walls . In this case, the results obtained with the new algorithm for 30 and 40 images agree well, indicating that convergence has been reached (the maximum relative error is below 0.09%). However, the results obtained when the images are placed at a fixed distance from the cavity are different, showing a lower convergence rate. To give an explanation of the lower convergence rate when the source is close to the cavity walls, we present in Fig. 8 the electric scalar potential around the triangular cavity contour. For this test, the source point is again placed close to one of the walls . Ideally, the electric scalar potential should always be zero around the cavity wall. The dashed line of Fig. 8 shows that the potential is essentially zero, except in the region corresponding to the wall close to the source point. The proximity of the source point to this wall produces abrupt variations of the potential in this area. In this same figure, we denote via a thick line the potential obtained when the new algorithm is employed to locate the spatial images. We can observe that, in this case, the potential around the cavity wall is very small, even along the wall close to the source point. The improvement obtained for the potential in this area is clearly observable in the results of Fig. 8. This last result confirms that a

j j 0

Fig. 7. Electric scalar potential G along the observation line of Fig. 2. The source is placed at the position ( 0:13; 0:13; 0:1).

j j

Fig. 8. Electric scalar potential G along the triangular cavity contour (see Fig. 1). The source is placed close to the wall at the position ( 0:13; 0:13; 0:1).

0

clever selection for the location of the images around the cavity leads to an improved convergence and numerical precision in the imposition of the relevant boundary conditions. There is a physical explanation for the improved accuracy when the new technique to locate the images is used. When the source is close to a wall, the relevant geometrical detail influencing the behavior of the Green’s functions is the wall close to the source, which tends to behave as an infinite ground plane, as the source approaches the wall. Using the proposed technique to locate the images, one of the spatial images will be placed in a mirror position to the source point with respect to this wall, which is in agreement with the spatial images solution for an infinite ground plane [8]. The importance of the algorithm introduced is twofold. First, the algorithm places the spatial images in an automatic fashion for any convex shape of the cavity considered. Second, the accuracy and stability of the algorithm drastically increases for source points very close to the walls, as we have just discussed.

GÓMEZ-DÍAZ et al.: PRACTICAL IMPLEMENTATION OF SPATIAL IMAGES TECHNIQUE FOR ANALYSIS OF SHIELDED MULTILAYERED PRINTED CIRCUITS

135

must be placed for each of the cavity height. Furthermore, the presence of dielectric layers can excite new resonant modes. In this case, additional rings can be added to properly model these modes. C. Efficient Novel MoM Implementation

G

Z axis of the cavity depicted

Fig. 9. Electric scalar potential j j along the in Fig. 1 analyzed with one, three, and five rings.

B. Multiring Rearrangement Initially, the spatial images method employs images to impose the boundary conditions at discrete points situated at a given cross section of the cavity. However, if the height of the cavity is electrically large, the imposition of the boundary conditions in one cross section of the cavity might not suffice to represent the correct behavior of the fields along the entire height. What we propose is to use rings, each one having images, to impose the boundary conditions at several discrete heights of the cavity. In this case, total boundary conditions are imposed on the cavity wall. To show the effectiveness of the idea proposed, we present in Fig. 9 the electric scalar potential at the waveguide wall, plotted along the height of the triangular cavity introduced in Fig. 1. The results are given when one, three, and five rings of images are included in the calculations. Ideally, the electric scalar potential must be zero at all points of the cavity wall to respect the boundary conditions. Via the solid line, we include the results when only one ring of images is placed at . We observe that the potential is zero at one point, but the amplitude rapidly grows along the height of the cavity. Via the dashed–dotted line, we show the results obtained when three rings of images, placed at heights , are included. We observe that the value of the potential along the substrate height is now very small (from to ). However, in the air region, the potential still grows to unacceptable values. Finally, if we place five rings of images scattered along the cavity height at positions , the value of the potential remains very low along the entire cavity height. This demonstrates that the boundary conditions can be maintained within a given accuracy, and demonstrates the usefulness of the multiring approach to model electrically long cavities. From a practical point of view, it is interesting to establish a strategy to place the rings of images. In general, a ring can be placed at each interface where the microwave circuits are printed. In this way, the error in the fulfillment of the boundary conditions for the fields at these interfaces will be minimum (they will correspond to the zeros shown in Fig. 9). Moreover, the electrical length of the cavity height is fundamental to decide the number of rings to employ. We have observed that a new ring

The technique developed for the Green’s functions calculation can be used for the analysis of practical printed circuits inside arbitrarily shaped cylindrical enclosures. To do that, the IE technique combined with the MoM [1] is employed. The printed circuit is discretized using subdomain basis functions [10] and they are combined with efficient integration techniques [11] for the calculation of the MoM matrix. A Galerkin procedure is used to solve the final IE. Since the enclosure and dielectric layers are already taken into account by the formulated Green’s functions, only the printed metallizations of the circuit need to be considered during the MoM solution. However, a direct application of the MoM procedure to the circuit using the new Green’s functions will be too time consuming. This is because if images are employed, one system of linear equation with unknowns (for the electric scalar potential) and two systems with unknowns (for the magnetic vector potential) must be solved for any combinations of source and observation points. To circumvent this difficulty, a new IE implementation is proposed based on the special features of the new formulation. One of the important properties of the spatial images formulation is that the source term is naturally separated from the contribution of the images that takes into account the effects of the cavity lateral walls. Using this important feature, the total boxed Green’s functions can be naturally expressed in two terms as

(1) is the position vector of the source point and dewhere notes the position of the observation point. The source contribution has a strong singularity involving fast variations. This is demonstrated in Fig. 10 where we present the source contribution to the Green’s function inside the triangular cavity shown in Fig. 1. We clearly observe a strong peak in the potential due to the source located at the center of the cavity. The singular behavior of the source directly depends on the Sommerfeld transformation [12] for a particular layered structure. To integrate this term, the singularity must be properly handled. However, this term can be computed very fast using standard numerical techniques available for the efficient evaluation of Sommerfeld integrals [5]. On the other hand, the second term in (1) corresponds to the contribution of the images. It is the evaluation of this term that requires the solution of the systems of linear equations. Fortunately, all images are located outside of the cavity so they do not contain inside any singular behavior. Consequently, this term exhibits very smooth variations inside the cavity. This is demonstrated in Fig. 11 where we present the same Green’s function component as before, but now only the contribution of the images is included. Due to this smooth behavior, this term can be easily integrated with limited computational effort. Using these features, two MoM matrixes are computed separately. The first one contains the singular behavior

136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 10. Contribution of the source for the G component of the magnetic dyadic Green’s function at a middle height in the cavity shown in Fig. 1. The source is placed at the center of the cavity (0; 0; 0:1). Fig. 12. Electric scalar potential inside the multilayered triangular cavity shown in Fig. 1 when the source is placed at the position ( 0:3; 0:13; 0:1).

0

Fig. 11. Contribution of the images for the G component of the magnetic dyadic Green’s function at a middle height in the cavity shown in Fig. 1. The source is placed at the center of the cavity (0; 0; 0:1).

of the Green’s functions and can be evaluated fast using efficient numerical techniques for the computation of the Somcontains the merfeld transformation. The second one contribution of the images, and due to the smooth behavior observed, it can be computed with limited computational effort. There is also no singularity in this term since the images are located outside of the cavity region. Due to these properties, we have observed that, in most cases, the MoM matrix associated to this term can be integrated using only one point in each of the discretization cells used to represent the geometry of the printed circuit. It is important to remark that the value of the spatial images are only calculated when the source is placed at the center of each discretization cell. Using this one point integration rule, the entire MoM matrix can be recovered by the following straightforward expression:

(2)

where and are the areas of the observation and source cells and and are the test and basis functions. is also the images’ contribution to the Green’s functions evaluated between the center of the observation cell and the center of the source cell . The situation when the source is located close to the wall is more complicated. With the new spatial images arrangement presented in this paper, the images adopt a particular disposition as a function of both the source position and the cavity shape, as can be seen in Figs. 2 and 3. In these figures, it can be observed that only one image is actually situated very close to the wall. For this particular situation, the same procedure explained before is extended. The idea is to extract not only the source term, but also the image which is situated close to the wall ). In this way, the matrix (with a distance less than contains the singular behavior of the source (placed inside the cavity) and the quasi-singular behavior of the images situated close to the wall (and located outside the cavity). It is important to remark that for the integration of this matrix, the values of charges/dipoles associated to the images are not recalculated so the computational cost is still very reduced. In fact, all images values are calculated only once per discretization cell (when the source point is placed at the center of the cell). The value of the extracted image is then reused during the calculation of the contribution. This approach leads to an efficient MoM implementation, maintaining in all cases good numerical accuracy. To further study the features of the proposed method, we present in Fig. 12 the electric scalar potential inside the multilayered triangular cavity shown in Fig. 1, when the source is situated very close to the wall, at the position . The singular behavior due to the presence of the source can be observed. If we consider only the behavior of the images, the singularity disappears, as is shown in Fig. 13. However, a quasi-singular behavior raises from the image that is situated close the wall (see Fig. 2). Finally, this image is extracted and added to the singular impedance matrix . In this case, the contribution of reminder to the electric scalar potential is shown

GÓMEZ-DÍAZ et al.: PRACTICAL IMPLEMENTATION OF SPATIAL IMAGES TECHNIQUE FOR ANALYSIS OF SHIELDED MULTILAYERED PRINTED CIRCUITS

137

Fig. 13. Images contribution to the electric scalar potential inside the multilayered triangular cavity depicted in Fig. 1 when the source is placed at the position ( 0:3; 0:13; 0:1).

0

Fig. 15. Boxed microstrip bandpass filter of fourth order based on coupled line sections.

terms with subsequent analytical evaluations of the associated static integrals [13]. On the contrary, with the spatial images technique, the source is naturally separated from the other contributions. Once the contribution from the images is treated as described in (2), the isolated source term , which provides the singular behavior, can also be treated using other standard asymptotic techniques [13]. III. RESULTS

Fig. 14. Nonsingular behavior of the images contribution to the electric scalar potential inside the cavity shown in Fig. 1. The source is placed at the position ( 0:30; 0:13; 0:1).

0

in Fig. 14. This contribution will have a smooth behavior and can be integrated with the one point rule shown in (2). It is important to point out that, with this one-point rule, only unknowns and two sysone system of linear equation with unknowns must be solved for each cell of the tems with printed circuit discretization, leading to a very important reduction in the computational cost needed for the analysis of practical shielded circuits. Moreover, the numerical accuracy obtained with this new MoM implementation is good. In all the examples presented in this paper, the relative errors obtained by the new implementation are below 0.01%, as compared to a traditional MoM implementation. Finally, note that the procedure described is not a standard extraction of the singular term of the Green’s functions, as was done in previous studies [9]. Traditionally, the singular behavior of the Green’s functions is treated by extracting the asymptotic

Here, we present several practical examples in order to demonstrate the usefulness of the spatial images technique when modeling practical multilayered shielded circuits. The new image distribution method and the multiring approach are used to keep high accuracy in the spatial image technique implemented. The computational gain obtained with the new MoM implementation is compared to a standard MoM implementation and to other methods. All of the results shown here were obtained on a Pentium IV computer with 3.06-GHz processor and total RAM memory of 2 GB. A. Coupled-Line Filter The first practical example is a boxed microstrip bandpass filter of fourth order based on coupled line sections presented in [14], which is sketched in Fig. 15. The numerical convergence of the spatial images method in this cavity, for all source positions, is achieved with the new images distribution presented in this paper. For the analysis, 12 images are employed and placed around the cavity at the air–dielectric interface. Fig. 16 shows via a dashed line the filter response obtained from the by placing the images at a fixed distance of cavity wall. Results obtained using the new algorithm to find the proper location of the images are also presented. For validation, we compare these results with those obtained with an artificial neural-network approach [15] and with measurements. In all cases, the input/output lines and the printed resonators are

138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 16. Results for the bandpass filter shown in Fig. 15, obtained with different techniques. Measured data are also shown as validation.

TABLE I CPU TIME COMPARISON FOR THE ANALYSIS OF THE FILTER SHOWN IN FIG. 15

meshed with a total of 104 rectangular cells. Good agreement is observed when the new algorithm to automatically place the spatial images is used. However, the results obtained when the images are placed at a fix distance show some numerical errors. This is because the input/output printed lines extend close to the cavity walls, and in these regions, the Green’s functions exhibit poor convergence rates. However, accurate results are obtained when the new algorithm for image placement is employed. This is because the new algorithm preserve high accuracy, even for source points close to the cavity walls (see Fig. 8). To show the performance of the new MoM implementation, we compare in Table I the CPU time needed for the analysis of the structure using different approaches. The first one is the spatial images technique using a direct MoM implementation. The second is Agilent Technologies’ commercial software Advanced Design System (ADS). The third is the neural network technique derived in [15], and the fourth is the spatial image technique using the new MoM implementation. It can be seen in the results reported in Table I that the optimized spatial images technique presented in this paper obtains the best computational performance. In particular, the optimized implementation improves the original spatial images technique by a factor of 40, and the commercial software ADS by a factor of 10. The new technique is even faster than the neural-network method reported in [15] by a factor of 2.7. In addition, the neural-network method needs training time, which can be long. This extra computational effort is not needed when the new spatial images approach is used. To further study the performance of the new technique as compared to the neural-network method reported in [15], we present in Fig. 17 the CPU time per frequency point needed for the analysis of the filter as a function of the number of rectangular cells used in the discretization of the printed lines. Initially, when the number of cells is

Fig. 17. Time per frequency point (seconds) versus number of cells in the mesh of the circuit.

small, the performances of the novel images technique and of the neural-network method are very similar. However, when the number of cells used in the discretization of the circuit grows, the gain in efficiency of the new spatial images technique begins to be important. This is due to the special treatment of the images contributions using one point integration rule, as reported in (2), and shows the interest of the new technique proposed in this paper. B. Trapezium-Shaped Cavity Filter In this example, we present for the first time a bandpass filter inside a trapezium-shaped cavity, designed with the spatial images technique presented in this paper. The filter follows the transversal topology concept in hybrid printed-waveguide technology introduced in [6]. The key idea is the combination of two different technologies (waveguide and microstrip) to obtain a second-order transversal filter. The structure combines one microstrip printed resonator with a resonance of the trapeziumshaped cavity to build up a second order response. It is important to point out that the modeling of the cavity is a key issue in this structure. This is because the cavity provides one of the resonances of the filter. Consequently, a small error in the modeling of the resonant frequencies of the cavity will lead to a wrong design. The lateral and top views of the filter are shown in Fig. 18. A microstrip printed resonator is placed inside a trapezium-shaped cavity. Printed input/output lines are used to excite the microstrip resonator and one of the resonances of the cavity. For the design of the structure, the trapezium-shaped cavity is first adjusted to obtain a resonance at the frequency of 4.5 GHz. The printed resonator is then optimized to provide the second resonance of the filter. For the analysis of the structure using the spatial images technique, two rings with 20 images are needed in order to obtain a convergent solution in this cavity. The reason mm and to employ two rings (located at heights mm) is to control and to accurately model the resonance of the cavity. As already stated, this resonance is one of the key elements of the filter (see [6]). After the design process,

GÓMEZ-DÍAZ et al.: PRACTICAL IMPLEMENTATION OF SPATIAL IMAGES TECHNIQUE FOR ANALYSIS OF SHIELDED MULTILAYERED PRINTED CIRCUITS

139

Fig. 18. Novel trapezium-shaped second-order transversal filter.

Fig. 21. Results for the bandpass filter depicted in Fig. 18, obtained with one and two rings of images. Losses are included in the dielectric substrate (tan  = 0:004) and in the printed metallizations ( = 1 1 10 =m). Measured data is presented as validation.

Fig. 19. Aspect of the manufactured breadboard, showing all pieces of the filter.

Fig. 20. Measured response for the transversal filter sketched in Fig. 18, obtained when the top cover is removed.

a prototype, shown in Fig. 19, has been manufactured and tested. Fig. 20 presents the measured scattering parameters of the filter when the top cover is removed. In this case, only the microstrip resonance is observed. We can also verify that some of the energy is radiated. This is due to the energy coupled to the mode excited in the cavity, which is radiated through the uncovered top lid. This test shows the importance of the cavity for the proper operation of the filter. The final filter response is recovered when the trapeziumshaped cavity is closed, as shown in Fig. 21. The two transmission zeros typical of a modified doublet topology can be observed [16]. Good agreement between both measured data and results obtained with the spatial images method is observed. The

TABLE II CPU TIME COMPARISON FOR THE ANALYSIS OF THE STRUCTURE PRESENTED IN FIG. 18

differences in the minimum insertion loss observed within the passband are mainly due to the cavity losses, which are not considered by the developed spatial images technique. In this case, these losses can be important since the filter operates with one of the resonances excited in the partially filled cavity. It is important to remark the essential influence of the trapezium-shaped cavity, which provides one of the resonances of the filter. This influence is correctly modeled by the spatial images technique. It is worth mentioning that two rings of images are needed to obtain accurate results for this structure due to the presence of the resonant mode of the cavity. Fig. 21 clearly shows that mm only one ring placed at the dielectric interface cannot properly control the resonant mode, leading to incorrect results. However, when a second ring of images is placed at mm, the response of the filter is correctly recovered. This example shows the practical value of the multiring approach proposed in this paper. In this example, the microstrip lines were meshed with 150 rectangular cells. Table II shows the CPU time needed for the analysis using a direct MoM implementation and using the optimized spatial images method. It can be seen that the optimized technique presented in this paper really improves the performance of a standard MoM implementation. In this case, no CPU time is given for ADS since this software can only treat rectangular cavities. C. Broadside Coupled Filter Other interesting examples are broadside-coupled structures used for the design of high-performance microwave filters.

140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 22. Bandpass broadside-coupled filter.

Fig. 24. Results for the bandpass filter shown in Fig. 22. Losses are included in the dielectric substrate (tan  = 0:004) and in the printed metallizations ( = 1 1 10 =m). Measured results are also shown for validation. TABLE III CPU TIME COMPARISON FOR THE ANALYSIS OF THE STRUCTURE SHOWN IN FIG. 22

IV. CONCLUSIONS

Fig. 23. Aspect of the manufactured breadboard, showing all pieces of the filter.

These types of filters allow the introduction of cross couplings between nonadjacent resonators. The cross couplings can then be used to implement transmission zeros that can significantly increase the selectivity of the filters. A four-pole broadside-coupled filter, depicted in Fig. 22, is proposed to demonstrate the capability of the spatial images technique to analyze these types of broadside-coupled multilayered structures. To analyze this filter, two rings with 14 images are needed to obtain good convergence. The rings are placed at the first and second air–dielectric interfaces (see Fig. 22). In Fig. 23, a manufactured filter prototype is presented. Simulated versus measured results are included in Fig. 24, showing good agreement. To perform this analysis, the microstrip lines are meshed with 150 cells. Table III shows the CPU time needed for the analysis of the structure using the new technique and the commercial software ADS. For comparison of the new technique, in this table we give the CPU time when a standard MoM implementation is used, and when the novel implementation presented in this paper is combined with the spatial-domain formulation. We observe a good performance of the optimized spatial technique as compared to both a direct MoM implementation and ADS software.

In this paper, we have presented a practical implementation of the spatial images method for the analysis of shielded multilayered printed circuits. The Sommerfeld transformation is employed to impose the boundary conditions at the top and bottom covers and to take into account for the presence of dielectric layers. A new images arrangement has been proposed in order to calculate the Green’s functions with high precision for all positions of the source point. Electrically long cavities are analyzed with a multiring approach, imposing the boundary conditions at different discrete heights. Furthermore, the special features of the spatial images formulation have been exploited to propose a new efficient MoM implementation for the analysis of practical multilayered printed shielded circuits. Several implementation examples with CPU time comparisons have been presented, showing the accuracy and efficiency of the method. REFERENCES [1] R. F. Harrington, Field Computation by Moment Methods. New York: IEEE Press, 1968. [2] F. Q. Pereira, P. V. Castejon, D. C. Rebenaque, J. P. Garcia, and A. A. Melcon, “Numerical evaluation of the Green’s functions for cylindrical enclosures,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 94–105, Jan. 2005. [3] J. S. Gómez-Díaz, M. Martínez-Mendoza, F. D. Quesada-Pereira, J. Pascual-Garcia, and A. Alvarez-Melcon, “Numerical evaluation of the Green’s functions for arbitrarily shaped enclosures,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 6–11, 2007, pp. 1947–1950. [4] K. A. Michalski and J. R. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [5] K. Michalski, “Extrapolation methods for Sommerfeld integral tails,” IEEE Trans. Antennas Propag., vol. 46, no. 10, pp. 1405–1418, Oct. 1998.

GÓMEZ-DÍAZ et al.: PRACTICAL IMPLEMENTATION OF SPATIAL IMAGES TECHNIQUE FOR ANALYSIS OF SHIELDED MULTILAYERED PRINTED CIRCUITS

[6] M. Martínez-Mendoza, J. S. Gómez-Díaz, D. C. Rebenaque, J. L. Gómez-Tornero, and A. Alvarez-Melcon, “Design of a bandpass transversal filter employing a novel hybrid waveguide-printed structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 6–11, 2007, pp. 1281–1284. [7] G. Pelosi and P. Y. Ufimtsev, “The impedance boundary condition,” IEEE Antennas Propag. Mag., vol. 38, no. 1, pp. 31–35, Feb. 1996. [8] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1989. [9] A. A. Melcon and J. R. Mosig, “Two techniques for the efficient numerical calculation of the Green’s functions for planar shielded circuits and antennas,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1492–1504, Sep. 2000. [10] S. M. Rao, D. R. Wilton, and A. W. Glisson, “Electromagnetic scattering by surfaces of arbitrarily shape,” IEEE Trans. Antennas Propag., vol. AP-30, no. 5, pp. 409–418, May 1982. [11] R. Cools, “Monomial cubature rules since Stroud: A compilation, part 2,” J. Comput. Appl. Math, vol. 112, no. 1–2, pp. 21–27, 1999. [12] J. R. Mosig, Integral Equation Technique. New York: Wiley , 1989. [13] P. Arcioni, M. Bressan, and L. Perregrini, “On the evaluation of the double surface integrals arising in the application of the boundary integral method to 3-D problems,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 436–439, Mar. 1997. [14] A. A. Melcon, J. R. Mosig, and M. Guglielmi, “Efficient cad of boxed microwave circuits based on arbitrary rectangular elements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1045–1058, Jul. 1999. [15] J. P. García, F. Quesada-Pereira, D. C. Rebenaque, J. L. G. Tornero, and A. A. Melcón, “A neural-network method for the analysis of multilayered shielded microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 309–320, Jan. 2006. [16] S. Amari and U. Rosenberg, “A universal building block for advanced modular design of microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 541–543, Dec. 2003. Juan Sebastian Gómez-Díaz (S’07) was born in Ontur (Albacete), Spain, in 1983. He received the Telecommunications Engineer degree (with honors) from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2006, and is currently working toward the Ph.D. degree at UPCT. In 2007, he has joined the Telecommunication and Electromagnetic group, UPCT, as a Research Assistant. His current scientific interests include numerical methods and their application in the analysis and design of microwave circuits and antennas.

Monica Martínez-Mendoza (S’07) was born in Cartagena, Murcia, Spain, in 1983. She received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2006, and is currently working toward the Ph.D. degree at UPCT. In 2007, she joined the Telecommunications and Electromagnetic Group, UPCT, as a Research Assistant, where she is involved in the development of novel transversal filtering structures for satellite systems. Her current scientific interests include the analysis and design of microwave circuits.

141

Francisco Javier Pérez-Soler (S’05) was born in Murcia, Spain, in 1981. He received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2004, and is currently working toward the Ph.D. degree at UPCT. He is currently with the Communication and Information Technologies Department, UPCT. His current scientific interests include the IE technique for the analysis of antennas and microwave devices. Fernando Quesada-Pereira (S’05–M’07) was born in Murcia, Spain, in 1974. He received the Telecommunications Engineer degree from the Technical University of Valencia (UPV), Valencia, Spain, in 2000, and the Ph.D. degree from the Technical University of Cartagena (UPCT), Cartagena, Spain in 2007. In 1999, he joined the Radiocommunications Department, UPV, as a Research Assistant, where he was involved in the development of numerical methods for the analysis of anechoic chambers and tag antennas. In 2001, he joined UPCT, initially as an Research Assistant, and then as an Assistant Professor. His current scientific interests include the IE technique applied to the analysis of antennas and microwave devices. Alejandro Alvarez-Melcón (M’99–SM’07) was born in Madrid, Spain, in 1965. He received the Telecommunications Engineer degree from the Technical University of Madrid (UPM), Madrid, Spain, in 1991, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1998. In 1988, he joined the Signal, Systems and Radiocommunications Department, UPM, as a Research Student, where he was involved in the design, testing, and measurement of broadband spiral antennas for electromagnetic measurements support (EMS) equipment. From 1991 to 1993, he was with the Radio Frequency Systems Division, European Space Agency (ESA)/European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands, where he was involved in the development of analytical and numerical tools for the study of waveguide discontinuities, planar transmission lines, and microwave filters. From 1993 to 1995, he was with the Space Division, Industry Alcatel Espacio, Madrid, Spain, and also with the ESA, where he collaborated on several ESA/ESTEC contracts. From 1995 to 1999, he was with the Swiss Federal Institute of Technology, École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he was involved in the field of microstrip antennas and printed circuits for space applications. In 2000, he joined the Technical University of Cartagena (UPCT), Cartagena, Spain, where he currently develops his teaching and research activities. Dr. Alvarez-Melcón was the recipient of the Journée Internationales de Nice Sur les Antennes (JINA) Best Paper Award for the best contribution to the JINA’98 International Symposium on Antennas, and the Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award for the best doctoral thesis in basic information and communication technologies.

142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Substrate Integrated Waveguide Cross-Coupled Filter With Negative Coupling Structure Xiao-Ping Chen and Ke Wu, Fellow, IEEE

Abstract—Substrate integrated waveguide (SIW) technology provides an attractive solution to the integration of planar and nonplanar circuits by using a planar circuit fabrication process. However, it is usually difficult to implement the negative coupling structure required for the design of compact canonical folded elliptic or quasi-elliptic cross-coupled bandpass filter on the basis of a single-layer SIW. In this paper, a special planar negative coupling scheme including a magnetic coupling post-wall iris and a balanced microstrip line with a pair of metallic via-holes is studied in detail. Two -band fourth-degree cross-coupled bandpass filters without and with source–load coupling using the negative coupling structures are then proposed and designed. The two novel SIW filters having the same center frequency of 20.5 GHz and respective passband width of 700 and 800 MHz are implemented on a single-layer Rogers RT/Duroid 5880 substrate with thickness of 0.508 mm. Measured results of those filters, which exhibit a high selectivity, and a minimum in-band insertion loss of approximately 0.9 and 1.0 dB, respectively, agree well with simulated results. Index Terms—Cross-coupled structure, filter, negative coupling, quasi-elliptic, substrate integrated waveguide (SIW).

I. INTRODUCTION

E

LLIPTIC OR quasi-elliptic filters with finite transmission zeros are now finding ever-increasing applications in a wide range of wireless and mobile communication and sensing systems due to their high performance and compact size. In general, cross-coupling between nonadjacent resonators in the elliptic or quasi-elliptic filters is required to bring up the transmission zeros from infinity to finite positions in the complex plane. These filters provide multiple paths through which a signal may pass between the input and output ports so that a signal cancellation can take place at given finite frequencies for enhancing a skirt selectivity, or at given imaginary frequencies for achieving a flat group delay, or even both simultaneously, depending on the phase and magnitude conditions of signals [1], [2]. It has been understood that both positive and negative coupling are needed to generate transmission zeros at finite frequencies for achieving a high selectivity in a cross-coupled filter [3]. Positive coupling can conveniently be obtained by a magnetic coupling structure, e.g., an inductive iris directly realized by a waveguide cavity narrow wall. However, it is not easy in Manuscript received June 11, 2007; revised August 17, 2007. This work was supported by the National Science and Engineering Research Council (NSERC) of Canada. The authors are with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912222

many cases to produce a negative coupling to which special attention should be paid. To obtain the negative coupling in a waveguide combline resonator filter, the length of metal rod has to be greater than 45 and the coupling slot should be placed at the open side of the rod [4]. Electrical probe that needs a repeated fine machining is also used to achieve a nonadjacent electrical coupling in the combline filter [5], [6]. A square aperture at the center of the broad walls of the coupling top and bottom cavities can be used to generate the required negative coupling in a canonical folded waveguide filter [7], [8]. In the dielectric-loaded waveguide filter, a probe connecting the top of the first cavity to the base of the second provides the sign inversion of inline coupling for the negative coupling [9]. A configdielectric resonator modes was uration using staggered presented to obtain the negative coupling for dielectric resonator elliptic filter [10]. The negative J-inverter can be replaced with three positive J-inverters on the basis of the equivalence in diresonance is electric resonator band rejection filter [10]. excited only in resonator 3 of the fourth-order -plane waveguide filter, which exhibits a magnetic iris coupling, and the coupling between resonators 1 and 4 becomes negative by placing the coupling slot between resonators 2 and 3 in the second half mode cavities are introof resonator 3 because duced for the transformation of 0 and 180 phases of cross-coupling [11], [12]. For the emerging substrate integrated waveguide (SIW) technology, which has already been proven to be effective in the integration of planar and nonplanar circuits by using a planar circuit fabrication process [13], [14], only magnetic iris coupling can be implemented on a single-layer substrate. The last two techniques of the above-mentioned schemes have successfully been used for the design of SIW elliptic or quasi-elliptic filters [15], [16]. In this paper, a negative coupling structure presented in [17] is the first of all investigated in detail and is then used to realize a cross-coupled filter with a quasi-elliptic response and more compact size made of a single-layer SIW. This paper is organized as follows. In Section II, the negative coupling structure including a magnetic coupling iris and a balanced microstrip line with a pair of metallized via-holes is investigated, and then a fourth-degree SIW cross-coupled filter without source–load coupling using the negative coupling structure is synthesized, designed, and measured. Section III provides the synthesis, realization, and experiments of a fourth-degree SIW cross-coupled filter with source–load coupling using the negative coupling structure. Finally, Section IV concludes this study. II. FOURTH-DEGREE CROSS-COUPLED FILTER WITHOUT SOURCE–LOAD COUPLING Fig. 1 shows the geometric configuration and schematic topology of the proposed SIW quasi-elliptic filter without

0018-9480/$25.00 © 2007 IEEE

CHEN AND WU: SIW CROSS-COUPLED FILTER WITH NEGATIVE COUPLING STRUCTURE

143

zeros of the transfer function should be obtained according to the specification of the filter. Then generalized element values or generalized coupling matrix of the low-pass prototype circuit can be obtained. At last, we can calculate the design parameters by denormalizing the generalized element values. The generalized coupling matrix for the quasi-elliptic filter with transmission zeros at and in-band return loss of 25 dB can be obtained by optimization [18] (see (1) at the bottom of this page). The generalized coupling matrix is denormalized by using the following formula: (2)

Fig. 1. (a) Geometric configuration of the proposed SIW cross-coupled filter without source–load coupling using the negative coupling structure. (b) Schematic topology of the proposed SIW cross-coupled filter without source–load coupling using the negative coupling structure. (Source: S, load: L, resonator: R.)

source–load coupling on the basis of the negative coupling -mode-based structure. Except for the coupling between SIW cavity resonators 2 and 3, all the other coupling between -mode-based SIW cavity resonators are obtained two by magnetic post-wall irises, which provide positive coupling. -mode-based SIW cavity resonators 1 and 4 are directly excited by and connected to 50- microstrip lines with coupling slots. A structure including a magnetic coupling post-wall iris and a balanced microstrip line with a pair of metallized via-holes is placed between -mode-based SIW cavity resonators 2 and 3 to invert the phase of the signal. Therefore, mixed coupling, including both positive and negative coupling, which cancel each other, is produced. The structure can be optimized to ensure that the negative coupling is stronger than its positive coupling counterpart and a small amount of the negative coupling can be canceled out by tuning the width of the magnetic post-wall iris. This structure is well suited for SIW implementation and provides an accurate negative coupling with an inductive post-wall iris. A. Circuit Synthesis To design the cross-coupled filters, the first step is to synthesize a low-pass prototype, which meets a given specification and also determines an appropriate coupling matrix. The synthesis of the filter generally follows three steps. First, poles and

where is the relative bandwidth, is the absolute bandis the center frequency. Thus, we can obtain the width, and design parameters for the filter with the center frequency of GHz and the absolute bandwidth of MHz as follows: , , , . B. Cavity Size -mode-based SIW cavity can be The initial size of the determined by setting the resonant frequency to the center frequency of filter by using the following formula [19]: (3) where (4) and are the width and length of the -mode-based SIW cavity, respectively. and are the diameter of metallized viaholes and center-to-center pitch between two adjacent via-holes. is the light velocity in vacuum, and is the dielectric constant of the substrate. C. Internal Coupling To determine the internal coupling coefficients, a pair of coupled -mode-based SIW cavity resonators with input and output is simulated by using a commercial full-wave electromagnetic simulator [Ansoft’s High Frequency Structure Simulator (HFSS)]. Figs. 2 and 3 display simulated frequency responses on the basis of the negative coupling and positive coupling, respectively. From each type of coupling curve, two split resonant-mode frequencies can easily be identified by two resonant peaks. As a result, it can be concluded that the larger

(1)

144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Coupling structure and typical frequency responses for electric coupling with different width of post-wall iris (d = 0:5 mm, p = 1 mm, r = 0:55 mm, w slot = 0:25 mm).

the width of post-wall iris is in the negative coupling structure, the narrower the separation of the two modes becomes. This suggests that the negative coupling becomes weaker because a greater amount of the negative coupling is cancelled by the positive coupling. It can also be observed that the larger the width of the post-wall iris is in the positive coupling structure, the wider the separation of the two modes becomes. This indicates that the positive coupling becomes stronger. It can be noted that the high-mode frequency of the positive coupling and the low-mode frequency of the electric coupling remain unchanged regardless of the iris size or coupling strength. Figs. 4 and 5 plot the magnetic field distributions of the low and high modes for both positive and negative coupling. As we can see, the magnetic field of

Fig. 3. Coupling structure and typical frequency responses for magnetic coupling with different width of post-wall iris (d = 0:5 mm, p = 1 mm).

the high mode near the coupling section is parallel to the symmetry plane, while the magnetic field of the low mode near the coupling section is perpendicular to the symmetry plane for the positive coupling structure. On the contrary, the magnetic field of the high mode near the coupling section is perpendicular to the symmetry plane, while the magnetic field of the low mode near the coupling section is parallel to the symmetry plane for the negative coupling structure. The coupling coefficient can then be extracted by using the following relation [20]: (5) where and stand for the high and low resonant frequencies, respectively, and represents the coupling coefficient between

CHEN AND WU: SIW CROSS-COUPLED FILTER WITH NEGATIVE COUPLING STRUCTURE

145

Fig. 6. Negative coupling coefficient of the coupling structure.

Fig. 4. Magnetic field distribution for negative coupling. (a) Low mode. (b) High mode.

Fig. 7. Positive coupling coefficient of the coupling structure.

D. External Coupling Numerical analysis is carried out on a -mode-based SIW cavity resonator with 50- microstip lines as its input/output to determine the external quality factor using Ansoft’s HFSS package. The coupling is controlled by changing the length of coupling slot with a fixed coupling slot width of 0.25 mm and a fixed post-wall iris width of 3.1 mm. The is calculated by [20] external quality factor (6) dB where is the frequency at which reaches its maximum value and is redB is the 3-dB bandwidth for which duced by 3 dB from its maximum value. Fig. 8 shows the caldependent on the length of coupling slot. culated Fig. 5. Magnetic field distribution for positive coupling. (a) Low mode. (b) High mode.

E. Filter Tuning

two SIW cavity resonators. With the results presented in Figs. 2 and 3 and (4), design curves depicted in Figs. 6 and 7 are obtained for electric and magnetic coupling coefficients.

The design parameters are used to estimate the initial sizes of the entire filter shown in Fig. 1 through the use of design curves, as shown in Fig. 6–8. A fine-tuning procedure is used to optimize the entire filter. Table I gives dimensions of the filter

146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I DIMENSIONS OF THE PROPOSED SIW CROSS-COUPLING FILTER WITHOUT SOURCE–LOADING COUPLING

Fig. 8. Typical external quality factor of the input/output structure (d = 0:5 mm, p = 1 mm, w = 3:1 mm).

whose simulated response is depicted in Fig. 9 altogether with the ideal circuit response with of 500. F. Experiments The filter was designed and implemented on the substrate of Rogers RT/Duroid 5880 with its height of 0.508 mm by using linear arrays of metallized via-hole having the diameter of 0.5 mm and the center-to-center pitch of 1 mm, which can be made with our laboratory printed circuit board (PCB) process. A photograph of the developed filter is displayed in Fig. 10. In our experiments, the Anritsu 37397C vector network analyzer and Anritsu Wiltron 3680-K test fixture are used to measure the filter. A thru-reflect-line (TRL) calibration is performed in order to remove effects of the test fixture. Fig. 11 depicts simulated and measured frequency responses. The measured in-band return loss is below 19 dB, while the measured minimum in-band insertion loss is approximately 0.9 dB. The insertion loss would mainly be attributed to the dielectric loss and conductor loss. The measured results are in good agreement with the simulated ones. III. FOURTH-DEGREE CROSS-COUPLED FILTER WITH SOURCE–LOAD COUPLING The source–load coupling can be used to further improve the slope selectivity of canonical folded cross-coupled filters. The

Fig. 9. Ideal circuit response and simulated response of the proposed SIW quasi-elliptic filter.

main advantage of these filters with source–load coupling is that they allow a better control of the stopbands since they can implement up to finite transmission zeros (in a filter of order ) instead of only when the source and load are coupled to only one resonator each. Obviously, the additional two transmission zeros can be used to either improve the stopband or the in-band group delay, and they would not yield any significant effect on the passband, which is controlled by the number of resonators. However, the positions of transmission zeros are

CHEN AND WU: SIW CROSS-COUPLED FILTER WITH NEGATIVE COUPLING STRUCTURE

147

Fig. 10. Proposed SIW quasi-elliptic filter.

Fig. 12. (a) Geometric configuration of the proposed SIW cross-coupled filter with source–load coupling by using the negative coupling structure. (b) Schematic topology of proposed SIW cross-coupled filter with source–load coupling by using the negative coupling structure. (Source: S, load: L, resonator: R.)

Fig. 11. Measured and simulated responses of proposed SIW quasi-elliptic filter.

very sensitive to a small change in dimensions of the coupling structure between source and load [21]. The geometric configuration of the proposed fourth-degree quasi-elliptic SIW filter with source–load coupling and its corresponding topology are described in Fig. 12. All the direct coupling are realized by magnetic post-wall irises, which provide -modepositive coupling. The cross-coupling between based SIW cavity resonators 1 and 4 is a negative coupling, which is implemented by the structure including a magnetic coupling iris and a balanced microstrip line with a pair of metallized via-holes for mixed coupling. Similarly, the structure is optimized to ensure that the negative coupling is larger than the

positive coupling and a small amount of such a negative coupling can be canceled by tuning the width of magnetic post-wall iris. The first/fourth SIW cavity resonator is excited by a section of SIW, which is very convenient to realize the source–load coupling by magnetic coupling post-wall iris for the positive coupling. A linear microstrip taper is used to realize the transition between the 50- microstip line and SIW [14]. A. Circuit Synthesis The low-pass prototype can be synthesized by the same procedure as presented in Section II. The generalized coupling matrix for a fourth-degree quasi-elliptic filter with transmission zeros at and and in-band return loss of 20 dB is given in (7), shown at the bottom of this page. Formula (2) is used to denormalize the above generalized coupling matrix for the design parameters of the filter with the center frequency of GHz and the absolute bandwidth of MHz. They are given as follows: , , , , and .

(7)

148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE II DIMENSIONS OF THE PROPOSED SIW CROSS-COUPLED FILTER WITH SOURCE–LOAD COUPLING

Fig. 14. Proposed SIW cross-coupled filter with source–load coupling.

package. The measured in-band return loss is below 15 dB, while the measured minimum in-band insertion loss is approximately 1.0 dB. The dielectric loss of substrate and conductor loss are responsible for the insertion loss. The measured results are in good agreement with the simulated ones, except that there is a slight frequency shift of approximately 0.5%. IV. CONCLUSIONS

Fig. 13. Measured and simulated responses of the proposed SIW cross-coupled filter with source–load coupling.

B. Realization by SIW The procedure similar to that in Section II is used to design the filter. According to (5), a pair of -mode-based SIW cavity resonators coupled by a post-wall iris with input/output is numerically analyzed by the Ansoft’s HFSS package to eval-modeuate the internal positive coupling, while a pair of based SIW cavity resonators are coupled by the negative coupling structure for the internal negative coupling. Based on (6), the -mode-based SIW cavity resonator doubly loaded by two sections of SIW for the input and output using a post-wall iris is also numerically analyzed by the Ansoft’s HFSS package to extract the external quality factor. A section of SIW with a post-wall iris is used to extract the source–load coupling. In the end, the entire filter is fine tuned to meet the specifications given above. The dimensions of the filter are given in Table II. The full-wave simulation results are also depicted in Fig. 13.

A planar structure compatible with emerging SIW technology was studied in detail and used to obtain negative coupling for the design of a canonical folded cross-coupling bandpass filter with a quasi-elliptic response. This structure provides the mixed coupling for which the negative coupling can be greater than the positive coupling for optimized dimensions, and also the coupling can be accurately modified by tuning the dimensions of the positive coupling section. Subsequently, two fourth-degree SIW cross-coupled filters without and with source–load coupling were proposed and implemented over the -band on the basis of a single-layer Rogers RT/Duroid 5880 substrate with a thickness of 0.508 mm. It has been found theoretically and experimentally that the proposed filters have very good selectivity, low insertion loss, and compact-size geometry, and they can be directly integrated and made with other planar circuits at a low cost for a wide range of microwave and millimeter-wave applications. ACKNOWLEDGMENT The authors express their gratitude to J. Gauthier, R. Brassard, and S. Dube, all with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada, for their technical assistance in fabricating the experimental prototypes.

C. Experiments Rogers RT/Duroid 5880 with a height of 0.508 mm is used to realize the filter by our low-cost standard PCB process. The diameter and center-to-center pitch of the metallized via-holes are also 0.5 and 1 mm, respectively. A photograph of the filter is given in Fig. 14. The filter is measured by using an Anritsu 37397C vector network analyzer and an Anritsu Wiltron 3680-K test fixture. The effect of the test fixture is removed by applying a TRL calibration. Measured results are depicted in Fig. 13, compared with simulated results obtained by using Ansoft’s HFSS

REFERENCES [1] A. E. Atia and A. E. Williams, “Narrow bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [2] R. Levy, “Filters with single transmission zeros at real and imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 172–181, Apr. 1976. [3] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 12, pp. 2099–2109, Dec. 1976.

CHEN AND WU: SIW CROSS-COUPLED FILTER WITH NEGATIVE COUPLING STRUCTURE

[4] H. W. Yao, K. A. Zaki, A. E. Atia, and R. Hershtig, “Full wave modeling of conducting posts in rectangular waveguides and its applications to slot coupled combline filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2824–2829, Dec. 1995. [5] C. Wang and K. A. Zaki, “Full wave modeling of electric coupling probes comb-line resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2459–2464, Dec. 1995. [6] C. Wang, K. A. Zaki, A. E. Atia, and T. G. Dolan, “Dielectric combline resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2501–2506, Dec. 1998. [7] T. Shen, H.-T. Hsu, K. A. Zaki, A. E. Atia, and T. G. Dolan, “Full-wave design of canonical waveguide filters by optimization,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 504–510, Feb. 2003. [8] J. A. Ruiz-Cruz, M. A. E. Sabbagh, K. A. Zaki, J. M. Rebollar, and Y. Zhang, “Canonical ridge waveguide filters in LTCC or metallic resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 174–182, Jan. 2005. [9] V. Walker and I. C. Hunter, “Design of cross-coupled dielectric-loaded waveguide filters,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 148, pp. 91–96, Apr. 2001. [10] S. Verdeyme and P. Guilion, “Direct coupling configuration between TE dielectric resonator modes application to the design of an elliptic microwave filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1990, pp. 223–226. [11] U. Rosenberg, “New planar waveguide cavity elliptic function filters,” in Eur. Microw. Symp. Dig., 1995, pp. 524–527. [12] J. A. Ruiz-Cruz, M. A. E. Sabbagh, K. A. Zaki, J. M. Rebollar, and Y. Zhang, “Canonical ridge waveguide filters in LTCC or metallic resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 174–182, Jan. 2005. [13] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits-current status and future trend,” in Proc. Asia–Pacific Microw. Conf., Taiwan, R.O.C., Dec. 2001, pp. 411–416. [14] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [15] X.-P. Chen, W. Hong, T. Cui, Z. Hao, and K. Wu, “Substrate integrated waveguide elliptic filter with transmission line inserted inverter,” Electron. Lett., vol. 41, pp. 851–852, 2005. [16] X.-P. Chen, W. Hong, J. Chen, and K. Wu, “Substrate integrated waveguide elliptic filter with high mode,” in Proc. Asia–Pacific Microw. Conf., Suzou, China, Dec. 2005, pp. 3–3. [17] C.-Y. Chang and W.-C. Hsu, “Novel planar, square-shaped, dielectric-waveguide, single-, and dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2527–2536, Nov. 2002. [18] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [19] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 2, pp. 333–335, Feb. 2002. [20] J.-S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York: Wiley, 2001. [21] E. Ofli, R. Vahldieck, and S. Amari, “Novel E -plane filters and diplexers with elliptic response for millimeter wave applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 843–851, Mar. 2005.

149

Xiao-Ping Chen was born in Hubei Province, China, in 1974. He received the Ph.D. degree in electrical engineering from Huazhong University of Science and Technology, Wuhan, China, in 2003. From 2003 to 2006, he was a Post-Doctoral Researcher with the State Key Laboratory of Millimeter-Waves, Radio Engineering Department, Southeast University, Nanjing, China, where he was involved with the advanced microwave and millimeter-wave circuit for communication system applications under the financial support of the China Post-Doctoral Fund. He is currently a Post-Doctoral Fellow with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada. He has authored or coauthored over 20 papers in referred journals and conference proceedings. His research interests are dielectric resonator filters and antennas, microwave measurement, and substrate integrated circuits (SICs) for microwave and millimeter-waves applications.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He also holds a Cheung Kong endowed chair professorship (visiting) with Southeast University, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China, and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center. He has authored or coauthored over 515 referred papers and several books/book chapters. He has served on the Editorial/Review Boards of numerous technical journals, transactions, and letters, including being an Editor and Guest Editor. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of the Electromagnetics Academy, Sigma Xi, and URSI. He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He is currently the chair of the joint IEEE Chapters of the Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS), Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award.

150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Design of Dual-Band Bandpass Filters Using Stub-Loaded Open-Loop Resonators Priyanka Mondal, Student Member, IEEE, and Mrinal Kanti Mandal, Student Member, IEEE

Abstract—In this paper, open-loop resonators loaded by shunt open stubs are proposed to design compact dual-band bandpass filters with improved out-of-band rejection characteristics. The second passband of the dual-band filter is obtained by tuning higher resonant modes of the open-loop resonator by the stub length and position. A tapped-line input/output feed structure is used for external coupling. Required external coupling is obtained by adjusting the tapping position and dimension of the stub-loaded resonator. A lossless transmission line model is used to determine the resonance properties of the resonator and the external quality factor. Theoretical predictions are verified by the experimental results of three dual-band filters. Index Terms—Dual-band filter, microstrip line, open-loop resonator, open stub, tapped line.

I. INTRODUCTION

I

N MODERN wireless communication systems, the dualband bandpass filter has become one of the most important circuit components. One way of designing a dual-band filter is to combine two bandpass filters designed for two different passbands [1], but, it requires an implementation area twice that of a single-band filter and additional external combining networks. Thus, an integrated filter with a dual passband response is more attractive. There are several approaches reported in the literature to design an integrated dual passband filter. In [2], a dual-band resonator incorporating three parallel open stubs has been proposed to design dual-band filters. These filters show good skirt attenuation rate, but the stopband rejection is limited by the narrow bandstop nature of the shunt stubs. This dual-band resonator also requires a large implementation area as three shunt stubs are to be connected at a single point on a transmission line. In [3], it has been shown that a dual-band resonator can be designed by using only two open stubs, either in a shunt or in series configuration. Although the filters show high skirt selectivity, the problem of large implementation area remains. Again, the filters incorporating the shunt stubs show undesired passbands both below the first passband and above the second passband. In comparison, the filter incorporating series stubs shows better rejection performances. Since it is difficult to realize a series transmission line component, as an alternative, coupled line sections have been used. Dual-band impedance transformers are introduced to achieve the required couplings

Manuscript received July 10, 2007; revised September 30, 2007. The authors are with the Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721302, India (e-mail: [email protected]; [email protected].). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912204

within fabrication limit and to match the external 50- terminations. In [4], both open- and short-circuited stubs have been used to design a dual-band resonator and an improved stopband response has been obtained. However, in this procedure, steppedimpedance coupled line structures consisting of two asymmetric coupled line sections are necessary to provide appropriate couplings and impedances for the input/output resonators. As a result, the design procedure becomes complex. Another popular approach to design a compact dual-band filter is by utilizing harmonic tunable property of a stepped-impedance resonator [5]. Resonant modes of a stepped-impedance resonator can be controlled by the characteristic impedances of high- and low-impedance sections. In [6]–[8], dual-band filters have been realized by using first and second resonant modes of steppedimpedance resonators. In all of these approaches [6]–[8], capacitive coupling techniques have been used to excite the resonators. In [9]–[11], tapped-line feed structures are used to excite the resonators, but in some cases, dual-band impedance transformers are required to match port impedance [10], [11]. Thus, the implementation area increases. Open-loop resonators are also used to develop dual-band filters. In [12] and [13], the use of two pairs of open-loop resonators operating at the two passbands has been proposed to build dual-band filters. The filters in [12] show good rejection performances below the first passband and between the two passbands, but, the stopband above the second passband is limited by the next higher order mode of the resonator and it also requires a large implementation area. The filter in [13] shows high frequency selectivity and wide stopband. However, the procedure leads to design difficulties if the passbands are closely spaced. In this paper, open-loop resonators loaded with open stubs are proposed to design compact dual-band bandpass filters with improved rejection characteristics. This paper is organized as follows. In Section II, resonance properties of a stub-loaded open-loop resonator and external quality factor of a tapped resonator are discussed based on a lossless transmission line model. The dual-band filter design procedure and three filter examples having different ratios of passband central frequencies and fractional bandwidths are presented in Section III. Their experimental results are shown in Section IV. Finally, a conclusion is given in Section V. II. RESONANCE PROPERTIES OF A STUB-LOADED OPEN-LOOP RESONATOR AND EXTERNAL QUALITY FACTOR A. Resonance Properties The proposed resonator structure is shown in Fig. 1. A shunt open stub is introduced to a conventional open-loop resonator. and are the characteristic admittances of the resonator If

0018-9480/$25.00 © 2007 IEEE

MONDAL AND MANDAL: DESIGN OF DUAL-BAND BANDPASS FILTERS USING STUB-LOADED OPEN-LOOP RESONATORS

loaded quality factor is given as [14]

151

by neglecting transmission line losses

(4)

Fig. 1. Stub-loaded open-loop resonator.

and the stub, respectively, then the input admittance of the resonator from the open end (shown by ) is

(1)

where is the angular operating frequency, is the load impedance seen by the resonator looking into the load at the , and is the total susceptance of the restapping point onator seen by the feed line at the tapping point. Considering for the unloaded quality factor as infinite, an expression of the present stub-loaded resonator is derived in (5), shown at the (Fig. 3). Equation (5) bottom of this page, where are kept fixed, can be controlled by shows that, if and the admittance and the tapping position . III. DESIGN PROCEDURE AND EXAMPLES

is assumed for simplicity. The resonance where condition can be obtained from the following:

(2) From (1) and (2), the resonance condition is obtained as

(3) to the fundawhere is the ratio of resonance frequency mental resonance . For a preset stub length and its position , required for the fundamental resonance can be obtained . Fig. 2(a) shows the solution sets of from (3) by putting and for , 40 , and 50 , respectively. Here, all of the electrical lengths are defined at . For a given set of ( , , and ), of higher resonant modes can be obtained by solving (3). In Fig. 2(b), the resonance frequencies of first three higher modes relative to the fundamental one are plotted as a function of for the above three stub lengths. Corresponding can be obtained from Fig. 2(a). This plot suggests that the mode and . Therefore, positions can be controlled by changing dual-band filters having passbands at two desired frequencies are realizable by using this stub-loaded open-loop resonator. B. External Quality Factor (

)

The configuration of a tapped stub-loaded open-loop resonator is shown in Fig. 3. For a tapped resonator, the singly

To design a dual-band filter having specified passband center frequencies and fractional bandwidths, at the first step, the resonator dimensions, stub length, and position for the desired passband positions are to be determined from the design graphs obtained from (3). Separation between the resonators is to be determined from the requirement of fractional bandwidths. For this purpose, the variation of coupling coefficient with the spacing is required. Here, similar to conventional open-loop resonators [16], three different coupling schemes, i.e., electric, magnetic, and mixed coupling, are possible. It is shown later that the ratios of the coupling coefficients at the dual-band frequencies for a given resonator dimensions are different in the three coupling schemes. Therefore, depending on the fractional bandwidth requirements at the two bands, an appropriate coupling scheme is to be chosen. Once the resonator dimensions are determined, tapping posiat both of the frequency tion is to be determined to satisfy at a particular tapping point can be computed from bands. (5). It can be noted from (3) that the resonance frequencies are independent of the characteristic impedances of the stub and the resonator if they are taken equal. In most of the cases, it is obat both of the bands can be obtained served that the required by tuning the tapping position and the characteristic impedances of the stub and the resonator without affecting the band positions ). In the worst case, one has to switch to an(keeping can also be controlled other solution set of , , and , as by these parameters. Next, three design examples having different fractional bandwidths for the dual bands 2.80/4.40 GHz (Filter I), 2.45/5.25 GHz (Filter II), and 2.45/5.80 GHz (Filter III) are

(5)

152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 4. Variation of coupling coefficient with separation between the resonators. f = 2:80 and f = 4:40 GHz.

Fig. 2. (a) Solutions of  and  for fundamental resonance f . (b) Higher order resonances f with respect to f for three different stub lengths.

Fig. 3. Stub-loaded open-loop resonator with tapped-line feeding.

2.8 GHz. The variations of mutual coupling in the three coupling schemes at these two frequencies with resonator spacing is shown in Fig. 4. The simulator IE3D has been used for fullwave simulations. For all three of the configurations, coupling at is higher than that at . Thus, for coefficient is always lower than . The the present electrical lengths, and with are different for another set of variations of and electrical lengths. Therefore, a wide variation of can be obtained by utilizing different coupling schemes and/or solution sets. For the present example, mixed coupling scheme has been used to realize the required coupling matrix. All of the transmission line calculated lengths are now put into the full-wave simulator to take into account the effects of junction discontinuities, open ends, and bends. Final dimensions are slightly different from the previous solutions. For example, and have been increased by 3.8% and by 5% of the values obtained from transmission line calculations. From (5), tapping positions are calculated as 74 and 80.5 at 2.8 GHz to obtain the reat 2.8 and 4.4 GHz, respectively. is taken as 77 quired at 2.8 GHz by full-wave simulation. B. Filter II

presented. The center frequencies of the first and second passbands are denoted by and and their fractional bandwidths and , respectively. In each case, a square-shaped open by loop with a gap of 0.5 mm at the open end on a 0.381-mm-thick RT Duroid 5880 substrate having dielectric constant and loss tangent is considered. A. Filter I In this example, a fourth–order Chebyshev dual-band filter and as 4.5% and with a 0.01-dB passband ripple having 3%, respectively, has been designed. The electrical lengths of , , and are taken as 90 , 90 , and 37 , respectively, at

This filter has been designed for the 2.45/5.25-GHz wireless local area network bands. , , and are taken as 133.5 , 25.5 , and 30 , respectively, at 2.45 GHz. For this , it can be seen from Fig. 2 that the same value can be obtained and , but the present solution is for chosen for wide rejection band above the second passband. Fullwave simulated coupling coefficients of the present resonators in the three coupling schemes are shown in Fig. 5. The plots show that is higher than in electric and magnetic coupling and are nearly schemes, but in mixed coupling scheme, mm, and becomes equal for resonator separation greater than for still higher separation. Therefore, by using

MONDAL AND MANDAL: DESIGN OF DUAL-BAND BANDPASS FILTERS USING STUB-LOADED OPEN-LOOP RESONATORS

153

TABLE I MEASURED AND SIMULATED CUTOFF FREQUENCIES OF THE FILTERS

(a)

Fig. 5. Variation of coupling coefficient with separation between the resonators. f = 2:45 and f = 5:25 GHz.

a mixed coupling scheme, can be made equal to or even , which is required for the present application. greater than and are selected as 6%. A fourth–order ChebyHere, shev filter with a 0.01-dB ripple is considered. A mixed coupling scheme has been used to realize the filter. For this case, required at both of the frequency bands is the same, and this is obat 2.45 GHz. tained by taking C. Filter III (b)

This filter has been designed for the 2.45/5.80-GHz wireless bands. Here, , , and are chosen as 122.4 , 37 , and 40 , respectively, at 2.45 GHz to obtain the required . is chosen as 2% to satisfy the bandwidth requirement of the IEEE802.11a wireless local area network, and corresponding is taken as 3%. A second-order Chebyshev filter with 0.1-dB ripple in the passband has been designed. An electric coupling scheme is used to realize the filter. It can be seen from Fig. 2(b) that another resonant mode appears at 5.50 GHz for the present solution set. Transmission line computed tapping positions for the 2.45- and 5.80-GHz bands are 101 and 108 , respectively, at 2.45 GHz. By full-wave simulation, is optimized as 105.5 . It is observed that the mode at 5.50 GHz is not supported by the external coupling for this tapping point and does not appear. (c)

IV. FABRICATION AND MEASUREMENTS The three design examples in Section III have been fabricated in the same RT Duroid substrate. Measurements are carried out using an Agilent 8510C vector network analyzer. Next, their measured performances are described one by one. The measured and simulated 3-dB cutoff frequencies of the filters are listed in Table I.

Fig. 6. (a) Filter I. All dimensions are in millimeters. (b) Narrowband and (c) wideband responses of the filter.

A. Filter I Final physical dimensions and a photograph of Filter I is shown in Fig. 6(a). Fig. 6(b) and (c) shows the narrowband and

154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 7. (a) Filter II. All dimensions are in millimeters. (b) Narrowband and (c) wideband response of the filter.

wideband filter responses, respectively. Measured maximum insertion losses within the first and second passbands of the filter are 2.94 and 3.68 dB, respectively. Below the first passband, minimum attenuation is 48 dB from dc to 2.44 GHz. The two passbands are separated by a 60-dB stopband extended from 3.13 to 3.93 GHz. Above the second passband, a 55-dB stopband is obtained from 4.81 to at least 7.0 GHz.

Fig. 8. (a) Filter III. All dimensions are in millimeters. (b) Narrowband and (c) wideband response of the filter.

46-dB stopband is obtained from dc to 2.13 (below the first passband), 2.68 to 4.70 GHz (between the passbands) and 6.24 to at least 8 GHz (above the second passband).

B. Filter II The physical dimensions of the filter and its photograph are shown in Fig. 7(a) and the narrowband and wideband filter responses are shown in Fig. 7(b) and (c), respectively. Measured maximum insertion losses within the frequency bands 2.40–2. 4835 and 5.15–5.35 GHz are 3.5 and 3.55 dB, respectively. The

C. Filter III Filter dimensions and its photograph are shown in Fig. 8(a). Fig. 8(b) and (c), respectively, shows the narrowband and wideband responses of the filter. Measured insertion losses at 2.45 and 5.70 GHz are 1.87 and 1.68 dB, respectively. The 20-dB

MONDAL AND MANDAL: DESIGN OF DUAL-BAND BANDPASS FILTERS USING STUB-LOADED OPEN-LOOP RESONATORS

stopbands are from dc to 2.29 GHz, 2.61 to 5.39 GHz, and 6.04 to at least 10 GHz. Measured return loss within the passbands of each of the three filters is better than 10 dB. Here, because of the high passband insertion losses due to low unloaded quality factors of the resonators, equal ripple bandwidth cannot be defined and 3-dB fractional bandwidth has been considered. For example, the measured unloaded quality factors of a resonator of Filter III are obtained as 121 and 137 at 2.45 and 5.80 GHz, respectively. V. CONCLUSION In this paper, a new configuration comprised of a shunt openstub-loaded open-loop resonator has been presented to design a dual-band bandpass filter. Two fourth-order and one secondvalues and fractional order filter having three different bandwidths have been designed to demonstrate the design proand are considcedure. Both of the cases and have been obtained by utilizing the ered. Required various coupling schemes of open-loop resonators. A tappedline input/output structure has been used for external coupling. Required external coupling at the two bands have been obtained by tuning the tapping position and resonator dimensions. No external dual-band impedance transformers have been employed in the designs. Experimental results have shown that the passband insertion losses are comparable to those reported in other procedures [2], [3], [6], [11]. An open-loop resonator is inherently a compact structure. The shunt stub further reduces its area by the slow wave effect. The increase of the filter implementation area due to external dual-band transformers is also avoided. As a result, a compact filter structure is obtained in the present design. This filter is suitable for various dual-band applications such as in wireless local area networks. REFERENCES [1] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatomi, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, vol. 2, pp. 789–792. [2] C. Quendo, E. Rius, and C. Person, “An original topology of dual-band filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 2, pp. 1093–1096. [3] C. -M. Tsai, H. -M. Lee, and C. -C. Tsai, “Planar filter design with fully controllable second passband,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3429–3439, Nov. 2005. [4] H. -M. Lee and C. -C. Tsai, “Dual-band filter design with flexible passband frequency and bandwidth selections,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 1002–1009, May 2007. [5] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [6] S.-F. Chang, Y.-H. Jeng, and J.-L. Chen, “Dual-band step-impedance bandpass filter for multimode wireless LANs,” Electron. Lett., vol. 40, no. 1, pp. 38–39, Jan. 2004.

155

[7] S. Sun and L. Zhu, “Coupling dispersion of parallel coupled microstrip lines for dual-band filters with controllable fractional pass bandwidths,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 2195–2198. [8] Y. P. Zhang and M. Sun, “Dual-band microstrip bandpass filter using stepped-impedance resonators with new coupling scheme,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3779–3785, Oct. 2006. [9] C.-M. Rao, T.-J. Wong, and M.-H. Ho, “A parallel doubly coupled dual-band bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 511–514. [10] M.-H. Weng, H.-W. Wu, and Y.-K. Su, “Compact and low loss dualband bandpass filter using pseudo-interdigital stepped impedance resonators for WLANs,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 187–189, Mar. 2007. [11] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [12] C.-Y. Chen and C.-Y. Hsu, “A simple and effective method for microstrip dual-band filters design,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 246–248, May 2006. [13] C.-Y. Chen, C.-Y. Hsu, and H.-R. Chuang, “Design of miniature planar dual-band filter using dual-feeding structures and embedded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 669–671, Dec. 2006. [14] J.-T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [15] L.-H. Hsieh and K. Chang, “Tunable microstrip bandpass filters with two transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 520–525, Feb. 2003. [16] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. Priyanka Mondal (S’06) was born in West Bengal, India, in 1978. She received the B.Sc. degree in physics (with honors), B. Tech., and M. Tech. degrees in radiophysics and electronics from the University of Calcutta, Calcutta, India, in 1999, 2002 and 2004, respectively, and is currently working toward the Ph.D. degree in electronics and electrical communication engineering at the Indian Institute of Technology, Kharagpur (IIT Kharagpur), India. In 2005, she joined IIT Kharagpur. She has authored or coauthored over 25 journal and conference papers. Her current research interest includes design and analysis of microwave passive components and antennas. Ms. Mondal is a reviewer for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. She was the recipient of the 2007 Kalpana Chawla Memorial Fellowship by IIT Kharagpur.

Mrinal Kanti Mandal (S’06) was born in West Bengal, India, in 1977. He received the B.Sc. degree (with honors) in physics and B. Tech. and M. Tech. degrees in radiophysics and electronics from the University of Calcutta, Calcutta, India, in 1998, 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in microwave engineering at the Indian Institute of Technology Kharagpur, Kharagpur, India. He has authored or coauthored over 25 journal and conference papers. He is listed in Marquis’ Who’s Who in Science and Engineering. His research interests include the design and performance improvement of passive RF and microwave components. Mr. Mandal was the recipient of the IIT Kharagpur Institute Fellowship for postgraduate research.

156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Quarter-Wavelength Side-Coupled Ring Resonator for Bandpass Filters Mohd Khairul Mohd Salleh, Gaëtan Prigent, Olivier Pigaglio, and Raymond Crampagne

Abstract—This paper deals with a dual-mode ring resonator fed by quarter-wavelength side-coupled lines. The resonator synthesis was developed so as to fix the central frequency, bandwidth, and transmission zeros frequencies, as well as the insertion loss in the passband. Based on this resonator, several bandpass filters were designed, which include the cascaded rings and the combination of such ring resonator with coupled line sections. Simulations are proposed throughout the paper to illustrate the various possibilities offered by the concept. The filters’ experimental results in microstrip technology are also presented to validate the idea. Index Terms—Bandpass filters, resonator filters, resonator synthesis.

I. INTRODUCTION ICROSTRIP ring resonators appear to offer attractive features such as compactness, dual resonance capability, and transmission zeros in the frequency response. They found applications involving resonant structures at microwave and millimeter-wave frequencies such as filters, measurement of dielectric constant, dispersion, and phase velocity [1]–[4]. A general microstrip ring resonator consists of a pair of feed lines, coupling gaps, and a ring whose circumference is equal to the guided wavelength [see Fig. 1(a)] [2]. The dual-mode characteristic of the resonator is obtained by introducing perturbation along the ring such as notches or short stubs on the ring or by asymmetrical access. Therefore, the design of ring resonator involves the adjustment of the perturbation along the ring and/or the adjustment of the coupling gaps. However, the lack of computer-aided design models for the gap discontinuity has led to tedious calculations at the early design stage of such a resonator [5]. In this paper, a new concept of one-wavelength ring resonator is presented where quarter-wavelength side-coupled lines are used to feed the ring [see Fig. 1(b)]. With such a topology, the dual resonance can be obtained without any perturbation along the ring, whereas the electric characteristics (bandwidth, matching level in the passband and transmission zeros frequencies) of the resonator can simply be controlled by varying the line impedance of the ring and the even- and odd-mode coupled line impedances. Furthermore, the existing coupled lines models can be used for the development of the resonator synthesis. Using the resonator synthesis given in this paper, the impedance level of all

Fig. 1. (a) Ring resonator with asymmetrical feed lines and a notch (b) Dualmode ring resonator side-coupled via open-circuited quarter-wavelength lines.

M

Manuscript received July 24, 2007; revised October 14, 2007. The authors are with the Laboratoire de Micro-ondes et d’Electromagnetisme (LAME), Institut National Polytechnique de Toulouse (INPT)–Ecole Nationale Supérieure d’Electrotechnique, d’Electronique, d’Informatique, d’Hydraulique et de Télécommunications de Toulouse (ENSEEIHT), 31071 Toulouse, France (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.912167

Fig. 2. Frequency response of the quarter-wavelength side-coupled ring resonator.

the elements of the resonator can be obtained for the given central frequency, transmission zeros frequencies, and ripple in the passband. A further combination of the basic cell with quarter-wavelength coupled line sections was considered to design higher order bandpass filters. The order of the filter can also be increased by cascading the basic cells. Three bandpass filters based on this quarter-wavelength side-coupled ring resonator were designed and measured to validate the concept. II. QUARTER-WAVE SIDE-COUPLED RING RESONATOR Fig. 1(b) shows the topology for the quarter-wavelength sidecoupled ring resonator. The total circumference length of the ring is equal to one wavelength at the resonant frequency and in/out feedings are performed using open-ended quarterwavelength lines. The parameters involved in controlling the response of the ring are the characteristic impedance of the ring and ), ( ), even-mode characteristic impedances ( and odd-mode characteristic impedances ( and ) of the coupled lines. Fig. 2 shows the ideal frequency response of the side-coupled ring resonator centered at 1 GHz for , , and .

0018-9480/$25.00 © 2007 IEEE

SALLEH et al.: QUARTER-WAVELENGTH SIDE-COUPLED RING RESONATOR FOR BANDPASS FILTERS

157

Fig. 3. Equivalent-circuit diagram of a three-port coupled line section.

A dual resonance can be seen centered at GHz with a transmission zero at both sides of the passband, while the first harmonic is found at .

Fig. 4. Simplified diagram of the quarter-wavelength side-coupled ring resonator.

III. RING-BASED RESONATOR SYNTHESIS A. Ring Resonator With Identical Coupled Lines 1) Ring Resonator Simplified Diagram: To develop the resonator synthesis, an equivalent-circuit diagram of a three-port coupled line section was used, as shown in Fig. 3 [6], [7], where

Fig. 5. Simplified diagram of the quarter-wavelength side-coupled ring resonator with simplified middle part.

(1) where (2) (13) (3) (4)

(14)

(5)

(15)

(6)

The locations of the transmission zeros are determined when is equal to zero, thus from (14) and (15),

(7) (8) (9) (10)

(16) Equation (16) leads to the electrical length for the first transmission zero, which is given by (17)

(11) and are the matrix and the characteristic admittance of the unit element, respectively [8]. First, let us assume that the coupled lines are identical, i.e., and . Thus, the complete resonator can be presented as the simplified diagram depicted in Fig. 4. 2) Transmission Zeros Frequency Control: One should note that in the diagram depicted in Fig. 4, the coupling capacitor of admittance is a control parameter for the out-of-band response, whereas the transformer of ratio , which is independent of the frequency, controls the out-of-band response magnitude. In fact, the transmission zero frequency is mainly set by the central closed loop of the diagram. This closed loop can be further simplified to form a quadripole, as shown in Fig. 5, whose -matrix is defined by as follows: (12)

of the lower transmission On the other hand, the frequency zero can be determined by the following relationship: (18) Therefore, for a given frequency of the lower transmission , the unit element admittance can be written as a zero function of as follows:

(19)

The resonator electrical response being symmetrical, the upper transmission zero can be deduced from and . At

158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 8. Further simplified diagram of the quarter-wavelength side-coupled ring resonator.

Fig. 6. Application of synthesis for f Z and Z .

= 0:82f with two sets of values for

define the characteristics of the passband response. As the resonator exhibits two poles, the attenuation will be maximum at the central frequency . At this specific frequency, several sim, , and plifications can be achieved, i.e., at ,

(21) where

is the port impedance and

(22) (23) (24) (25)

(26)

Fig. 7. Application of synthesis for f . Z and Z

(27)

= 0:74f with two sets of values for

the same time, from (2), (8), and (9), function of and as follows:

can be expressed as a

(20) At this point, using (5), (19), and (20), the only parameters that control the resonator electrical response are and , while the central frequency and transmission zeros are fixed. Figs. 6 and 7 illustrate the use of these equations. In Fig. 6, for , two sets of possible values of and are shown, whereas in Fig. 7, another two possible sets are proposed for a different bandwidth. 3) Passband Ripple Control: Using the equations determined in Section III-A.2, the central frequency and transmission zeros frequencies can be fixed while modifying the out-of-band response magnitude by varying and . So as to have the control of the in-band response, especially for the matching level, the passband ripple can be taken into account in the development of the synthesis. From Fig. 4, a generalized -matrix can be defined to represent the closed loop and the transformers that led to the simplified diagram depicted in Fig. 8. As previously mentioned, the capacitors of admittance can be seen as control parameters for the out-of-band response. Therefore, the middle quadripole with scattering matrix will

is a purely imaginary fourth-order polynomial function that varies in terms of and . This means, for an arbitrary value of , solving will give four possible solutions for , where is an arbitrary negative constant bigger than . Two of the solutions will lead to a value of , which is bigger than , while the third one will give a frequency response with the poles being too close to each other. Hence, the only convenient solution for is

(28) where

(29) (30) (31) (32) Some terms can be introduced to simplify the notations as follows.

SALLEH et al.: QUARTER-WAVELENGTH SIDE-COUPLED RING RESONATOR FOR BANDPASS FILTERS

Fig. 9. Example of application of the resonator synthesis for two different values of r .

159

Fig. 11. Simplified diagram of the resonator with nonidentical coupled lines.

lines. Fixing the lower transmission zero frequency will lead to the same definition of , as in (19), but with new definitions for the odd-mode impedances of the coupled lines, given by (35)

Fig. 10. Example of application of the resonator synthesis for two different values of y .

The use of nonidentical coupled lines feedings will also introduce two new transformer ratios in the simplified diagram of is found when the resonator. Therefore, a new expression of the passband ripple at is fixed. The new expression of is given as follows:

(36) ratio between the first transmission zero frequency and the center frequency,

where (37)

(33) (38) (39) (40) (41)

passband ripple (in decibels) with (34) Equations (5) and (26)–(34) can now be used along with the desired values of , , and to design a dual-mode ring resonator illustrated in Fig. 1(b) for and . It is important to highlight that the bandwidth of the response increases as the ratio decreases. This will lead to an increase of the difference between and , as the coupling level becomes greater. Thus, if and the ripple are fixed, decreasing the ratio will decrease . An example of the use of the synthesis is shown in Figs. 9 and 10. Fig. 9 shows two possible bandwidths chosen by fixing , while is set to 0.05. On the other hand, Fig. 10 illustrates two different values of , while the bandwidth is fixed by . B. Ring Resonator With Nonidentical Coupled Lines Until now, the ring was fed using two identical coupled line sections. However, a similar frequency response can be obtained and if nonidentical coupled lines are used ( ), as shown in Fig. 11. In this case, changes to be made in the previous synthesis development are in the definition of the even- and odd-mode characteristic impedances of the coupled

(42) (43) (44) With the general synthesis, by fixing the values of , , , and , the ring resonator can be designed using (5), (26), and (32)–(44). Fig. 12 illustrates the use of the ring resonator synthesis for two different sets of and values. IV. APPLICATIONS OF THE RING RESONATOR FOR BANDPASS FILTERS A. Second-Order Bandpass Filter A second-order microstrip ring resonator centered at 2 GHz was realized and measured with a bandwidth of 12% on FR4 substrate ( mm ). The impedance level of the circuit elements were firstly obtained using the synthesis equations. The dimensions of the microstrip lines are then determined based on these impedances and are shown in Fig. 13. Measurement results were in good agreement with electromagnetic simulation results (Fig. 14). However, a

160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 12. Example of application of the resonator synthesis for two different sets and Z values. of Z

Fig. 15. Topology of a fourth-order ring resonator filter and response comparison with a coupled line filter.

B. Combination of the Ring Resonator With Quarter-Wavelength Coupled Lines—Fourth-Order Bandpass Filter

Fig. 13. Basic cell resonator microstrip circuit layout.

As the previous ring-based resonator has proven its efficiency, one has attempted to use it as the base resonator for a higher order filter. Let us consider a classical third-order coupled lines bandpass filter. The idea was to replace one of the coupled line resonator with the ring resonator cell. As shown in Fig. 15, by enclosing the basic cell ring resonator with quarter-wavelength coupled line sections, a fourth-order filter was obtained. In fact, each half-wavelength resonator 1 and 3 provides one pole, while the ring resonator exhibits two poles and two transmission zeros. A comparison with the response of an equivalent fourth-order coupled line filter illustrates the better performance of the ring-based filter in term of selectivity (Fig. 15). For instance, at 2.460 GHz, the out-of-band rejection level is more than 20 dB higher in the ring-based filter response. A filter with 2-GHz central frequency and 20% bandwidth was designed on an FR4 substrate, whose layout is shown in Fig. 16. Measurement results presented in Fig. 17 shows a good out-of-band rejection level beyond 40 dB with an insertion loss of 2.58 dB at the central frequency. C. Cascaded Base Cells

Fig. 14. Measurement results of the basic cell ring resonator.

parasitic raise is observed at GHz, which is due to the desynchronization between the quarter-wavelength lines in the resonator. The insertion loss at the central frequency was found around 2.4 dB. In view of the experimental results, as well as the ease of the ring topology control, this cell can be used as the basic cell resonator for higher order bandpass filter applications.

Another interesting feature of this ring concept is that cascading two basic cells results in a half-wavelength resonator, which is formed in between the two cascaded base cells, as illustrated in Fig. 18. Hence, adding every basic cell in cascade within the filter will add three poles in the passband response: two poles obtained from the added cell and one pole obtained from the half-wavelength resonator that appears at the connection between two cells. However, this feature is more convenient in the case of a base cell with nonidentical coupled line sections due to the degree of freedom it offers in coupled line elements adjustment. As the synthesis of the base cell has been developed, the designer has the possibility to control the cascaded rings filter response and the filter elements using the -parameter of each cascaded cell. Fig. 19 shows an example of two cascaded cells’ fifth-order filter. Note that contrary to a single cell, the -parameter is not the ripple of the filter. Indeed, as the filter

SALLEH et al.: QUARTER-WAVELENGTH SIDE-COUPLED RING RESONATOR FOR BANDPASS FILTERS

161

Fig. 19. Electrical diagram and ideal frequency response of two-cascaded cells (fifth-order bandpass filter).

Fig. 16. Layout of a fourth-order ring resonator filter.

Fig. 17. Measurement results of a fourth-order ring resonator filter. Fig. 20. Layout of a seventh-order cascaded-ring filter.

Fig. 18. (a) Two-cascaded cells (fifth-order bandpass filter). (b) Three-cascaded cells (eighth-order bandpass filter).

is composed of cascaded cells, , which is a control parameter for a cell ripple, acts as a degree of freedom for the final filter ripple. A seventh-order bandpass filter was realized on an FR4 substrate using two cascaded cells with two extra input/output quarter-wavelength coupled lines. The layout and measurement results are shown in Figs. 20 and 21. The filter is centered at 2 GHz with 10% relative bandwidth. A good out-of-band rejection level of 40 dB was observed up to . However, the insertion loss of 6 dB is quite high, which is mainly due to

Fig. 21. Measurement results of seventh-order cascaded-ring filter.

the dielectric loss of the substrate. Fig. 22 shows the circuit simulation results using two different substrate loss tangents: 2.10 and 2.10 , and the result from measurement. It can be seen that the measured insertion loss level is similar to the one

162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

[8] H. Ozaki and J. Ishii, “Synthesis of a class of strip-line filters,” IRE Trans. Circuit Theory, vol. 5, no. 2, pp. 104–109, Jun. 1958. Mohd Khairul Mohd Salleh was born in Johor, Malaysia, on June 12, 1974. He received the Licence and Maitrise degrees in electronics from the University of Limoges, Limoges, France, in 1997 and 1998, respectively, the DEA degree from University Paul Sabatier, Toulouse, France, in 2005, and is currently working toward the Ph.D. degree in microwaves, electromagnetism, and optoelectronics at the Institut National Polytechnique de Toulouse (INPT)–Ecole Nationale Supérieure d’Electrotechnique, d’Electronique, d’Informatique, d’Hydraulique et de Télécommunications de Toulouse (ENSEEIHT), Institut National Polytechniques of Toulouse, Toulouse, France.

Fig. 22. Insertion loss comparison between simulations using different substrate loss tangent of tan  = 2:10 and tan  = 2:10 , and measurement.

obtained from simulation and the use of a substrate with lower loss tangent should improve the filter electrical performance. V. CONCLUSION The dual-mode ring resonator fed by quarter-wave coupled lines was presented with its applications in the design of bandpass filters. This ring resonator, presenting dual resonance and two transmission zeros, constitutes the base cell for the design of compact bandpass filters with a very high out-of-band rejection level. Its synthesis affords fixing the desired passband ripple and the transmission zeros frequencies. A further combination of the ring resonator basic cell with coupled lines and cascaded rings was investigated so as to increase the order of the bandpass filter. Three bandpass filters of order 2, 4, and 7 were realized and measured. Experimental data were in good agreement with the electromagnetic simulations results. However, the substrate ), resulting in high inused is of poor quality ( sertion loss in the passband. A better quality dielectric should be used to improve the filter performances. REFERENCES [1] K. K. M. Cheng, “Design of dual-mode ring resonators with transmission zeros,” Electron. Lett., vol. 33, pp. 1392–1393, 1997. [2] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [3] H. Lung-Hwa and C. Kai, “Dual-mode quasi-elliptic-function bandpass filters using ring resonators with enhanced-coupling tuning stubs,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1340–1345, May 2002. [4] A. Gorur, “Realization of a dual-mode bandpass filter exhibiting either a Chebyshev or an elliptic characteristic by changing perturbation’s size,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 118–120, Mar. 2004. [5] Z. Lei and W. Ke, “A joint field/circuit model of line-to-ring coupling structures and its application to the design of microstrip dual-mode filters and ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 1938–1948, Oct. 1999. [6] R. Sato and E. G. Cristal, “Simplified analysis of coupled transmissionline networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 3, pp. 122–131, Mar. 1970. [7] Y. Nemoto, K. Kobayashi, and R. Sato, “Graph transformations of nonuniform coupled transmission line networks and their application,” IEEE Trans. Microw. Theory Tech., vol. 33, no. 11, pp. 1257–1263, Nov. 1985.

Gaëtan Prigent was born in Lannion, France, on December 2, 1973. He received the Ph.D. degree in electronics from the University of Brest, Brest, France, in 2002. He was with the Laboratory for Electronics. and Telecommunication Systems (LEST), Laboratory of Brest, Brest, France, until 2004. Since 2004, he has been with the Electronic Laboratory, Institut National Polytechnique de Toulouse (INPT), Toulouse, France. He is currently an Associate Professor of electronics with the INPT–Ecole Nationale Supérieure d’Electrotechnique, d’Electronique, d’Informatique, d’Hydraulique et de Télécommunications de Toulouse (ENSEEIHT). His research principally concern the design of passive microwave devices, especially planar filters for microwave and millimeter-wave applications beyond 100 GHz.

Olivier Pigaglio was born in Hyeres, France, on December 4, 1979. He received the Dipl. Ing. in electronics from the National Polytechnics Institute of Toulouse, Toulouse, France, in 2002. He is currently a Research Engineer with the Electronic Laboratory, National Polytechiques Institute of Toulouse, Toulouse, France.

Raymond Crampagne was born in 1946. He received the Diplôme d’Ingénieur degree in radio communications from the Ecole Supérieure d’Electricité (Supelec), Paris, France, in 1968, and the Ph.D. degree in electronics from the Institut National Polytechnique de Toulouse (INPT)–Ecole Nationale Supérieure dElectrotechnique, dElectronique, dInformatique, dHydraulique et de Télécommunications de Toulouse (ENSEEIHT), Toulouse, France, in 1977. His doctoral research concerned the study of the propagation in multilayer and multiconductor planar structures in microwaves. In 1968, he began his career as a Researcher with the Laboratoire d’Electronique, Department of Microwaves, ENSEEIHT. From 1982 to 1986, he was a Scientific Advisor to the Microwaves Research Department (DERMO), ONERA, Toulouse, France. From 1982 to 1986, he took part in the creation of an interAfrican engineering school in Bingerville, Republic of Ivory Coast, and became the Director of the Low Currents Department. Since 1986, he has been a Teaching Researcher with the ENSEEIHT. He became a Professor in 1987, and from 1988 to 1992, he was the Director of the Electronics Department. His teaching subjects concern the analysis and synthesis of circuits from low to ultra-high frequencies. His current research activities include the characterization of microwave circuits. He coauthored Basic Methods for Microcomputer-Aided Analysis Electronic Circuits (Prentice-Hall, 1995). Prof. Crampagne has been an officer of the Palmes Académiques since 1999.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

163

Analysis and Design Procedure of Transmission-Line Transformers Pablo Gómez-Jiménez, Pablo Otero, Member, IEEE, and Enrique Márquez-Segura, Senior Member, IEEE

Abstract—Transmission-line transformers are devices used to match RF and microwave devices, to make the conversion balanced–unbalanced, or both things simultaneously. Transmission-line transformers are mandatory at frequencies where traditional magnetic coupling transformers do not operate properly. In this paper, a procedure to design transmission-line transformers with different configurations is presented. The procedure is based on the theoretical analysis of the device and has been validated via experimental study.

Fig. 1. Guanella’s 1 : 1 transmission-line transformer in balun configuration.

Index Terms—Balun, matching network, microwave passive circuits, transmission-line transformer.

I. INTRODUCTION Fig. 2. Unit cell (or basic block) of a transmission-line transformer.

IFFERENTIAL circuits and symmetric transmission lines are preferred to asymmetric devices and open transmission lines due to their better noise rejection. Communications systems and circuits incorporate both symmetric and asymmetric devices with different impedance values. Hence, the connections of those devices need transitions that have to be, simultaneously, impedance transformers and topological transformers. At microwave frequencies, impedance transformation is usually done by means of transmission-line matching networks consisting of serial and parallel stubs, which is not a wideband technique, and is cumbersome at lower frequencies. Topological transformations are mandatory when an asymmetric circuit has to be connected to a symmetric one, and vice versa. Baluns, the devices which make this transition and which can be implemented with different techniques, are typically narrowband devices. Half-wavelength transmission-line baluns are also cumbersome at lower frequencies, while concentrated L–C networks are not suitable for higher frequencies. Magnetic flux transformers can be used to perform both functions, balun and matching, and are wideband devices. However, their performances degrade at higher frequencies due to parasitic capacitive effects in the coils. The preferred wideband device that also performs both functions is the transmission-line transformer, which consists of one or more sections of a transmission line, wound on a magnetic

D

Manuscript received June 4, 2007; revised September 9, 2007. P. Gómez-Jiménez was with the Departamente Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad de Málaga, 29071 Málaga, Spain. He is now with Indra Centros de Desarrollo, 29590 Campanillas, Málaga, Spain. P. Otero and E. Márquez-Segura are with the Departamente Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad de Málaga, 29071 Málaga, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912246

core to improve its performance at lower frequencies, and interconnected in a particular way, depending on the required topological transformation. Transmission-line transformers were introduced and described for the first time by Guanella in 1944 [1]. Guanella showed that a single transmission-line section can be a balun as long as its characteristic impedance is equal to the load and source impedances, as shown in Fig. 1. This circuit does not work properly at lower frequencies, where the transmission-line section is a short circuit. To overcome this problem, Guanella wound the transmission line on a magnetic core so that the magnetic coupling between conductors was more intense and, consequently, there was an improvement of the low-frequency response. For this reason, a single section of wound transmission line, acting as a transmission-line transformer, will be schematically represented by the symbol shown in Fig. 2. Fig. 3 shows a couple of transmission-line transformers wound on a toroidal core. In addition, different transformation ratios are possible if several unit cells are connected serially at one end and parallelly at the other, as shown in Fig. 4 and discussed in Section III. For this reason, we will refer to the symbol of Fig. 2 as a unit cell or basic block. Fifteen years later, Ruthroff [2] completed Guanella’s work with the development of new transmission-line transformers, which allowed the same transformation ratios using a smaller number of basic blocks, as shown in Fig. 5. Despite the time of their first development, transmission-line transformers are not well known in the sense of a design procedure being readily accessible in textbooks, or even in research literature. Moreover, little technical literature has been written about this device compared to others, even in texts on circuits or matching networks. Good recent examples are [3]–[8]. In [4], Sevick provides a simplified analysis method of the transmission-line transformer. In [5], Horn and Boeck obtain the equivalent circuit of

0018-9480/$25.00 © 2007 IEEE

164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 3. Transmission-line transformer using toroidal cores and twisted pair or coaxial cables. Fig. 6. Voltages and currents in the basic block.

Fig. 4. Guanella’s 1 : tion.

n

transmission-line transformer in balun configura-

Fig. 5. Ruthroff’s 1 : 4 transmission-line transformer in balun configuration.

a straight transmission-line transformer. The particular case of printed coupled-line transmission-line transformers has been considered by Ang et al. [6], and Post [7]. Malinen et al. [8] presented two circuit models of commercial transmission-line transformers; their characteristics were obtained and compared to those of magnetic coupling transformers. In this paper, the theoretical analysis of the first developed and more common transmission-line transformers, namely, those consisting of several transmission lines wound on a ferrite core, is carried out. Section IV describes a new analysis, which is based on the mixed mode scattering matrix, to obtain a simple admittance matrix of the device. A design procedure, which stems from the theoretical analysis, is eventually presented in Section V. The analysis and subsequent design procedure have been validated with experimental study, which is also presented in Section VI. II. BASIC BUILDING BLOCK Any transmission-line transformer consists of one or more elements, the so-called basic blocks, interconnected in a particular way, as shown in Figs. 4 and 5. The basic block is actually a short section of a transmission line wound on a ferrite or air

core. It is, therefore, a two-port circuit. For the analysis, however, any conductor termination will be considered a port when taken together with a reference or ground point. This means that the basic block will be a four-port device. Once the behavior of the basic block is represented by an accurate model, the connection of two or more blocks can be easily analyzed by means of common circuit analysis techniques. In general, the propagation on a transmission line can be split into odd (or differential) mode and even (or common) mode. A transmission line is commonly used to interconnect devices. When the current entering one of the conductors of a device port equals the current coming out the second conductor of the same port, and this is true for all the devices connected through a section of transmission line, the common mode is canceled. Hence, only the differential mode propagates, the line is easily analyzed with the help of transmission-line theory, and a line section is fully defined by means of its characteristic impedance , its , and its length . However, propagation constant in our transformers, the transmission line is connected in such a way that the common mode current is not canceled and, therefore, the currents in both conductors of the line will be different, as shown in Fig. 6. Let us call the propagation axis. The effective current is defined as (1) where and are, respectively, the currents flowing, in the propagation direction, through the two conductors of the transmission line, and is the common mode current. The effective current must be constant along the transmission line [9], which implies that the common mode current is also constant along the line. The common mode current in each conductor creates a finite magnetic field in the core of the transmission line, which can be viewed as an inductive effect, and a common mode voltage in the opposite conductor, similar to what happens in a magnetic coupling transformer. The inductive effect is taken into account by means of the self-inductance of the conductors of the transmission line. Let us consider the common mode current. If both conductors of the line are close to each other and wound in the same way, their self-inductances will be equal, and the voltage drop along each conductor will also be equal. Let be that voltage drop, as graphically represented in Fig. 6. If both conductors are wound very close to each other, the magnetic coupling between both windings will be almost perfect, and the mutual inductance will

GÓMEZ-JIMÉNEZ et al.: ANALYSIS AND DESIGN PROCEDURE OF TRANSMISSION-LINE TRANSFORMERS

165

be approximately equal to the self-inductance. The voltage drop will then be (2) where is the self-inductance of one conductor of the line. There is also a second voltage equation for the circuit shown to be the voltages in the four ports of the in Fig. 6. Let circuit, respectively, referred to as the common ground. Kirchhoff’s voltage law states that (3) Combining (2) and (3),

Fig. 7. Ruthroff’s 1 : 4 transmission-line transformer in unbalanced–unbalanced configuration.

an impedance transformer since the transformation ratio is the unit, but is a topological transformer, in other words, a balun. It is simple to obtain the admittance matrix of Guanella’s transmission-line transformer from that of the basic block. If and (6) becomes one port, i.e., port 2 is grounded,

(4) The equation in (4) will be used to obtain the admittance matrix of the basic block. Let us now consider the differential mode current. Transmission-line theory, when applied to the four-port basic block, and losses are neglected gives

(7) B. Ruthroff’s 1 : 4 Transformer

(5) where is the characteristic admittance of the line, is its phase constant, and is the length of the line section, as previously indicated. Given the direction of the currents and the polarity of the voltages shown in Fig. 6, and calling upon (4) for the common mode and (5) for the differential mode, we get

(6)

As opposed to Guanella’s, Ruthroff’s transmission-line transformers, as graphically represented in Figs. 5 and 7, perform the impedance transformation. With a single basic block, Ruthroff’s transmission-line transformers achieve an impedance transformation ratio of 1 : 4 and can operate in a balun (see Fig. 5) or unbalanced-unbalanced configuration (see Fig. 7). Each configuration requires a separate analysis. Ruthroff’s transmission-line transformer in balun configuration (Fig. 5) is a three-port device that consists of a basic block with ports 2 and 4 of the basic block grounded and the balanced load connected between ports 1 and 3. The three ports of the balun are indicated in Fig. 5 with letters A–C. Due to its particular topology, with equal voltages in ports A and B, its impedance matrix is singular, which means that it is not possible to compute the corresponding admittance matrix. The impedance matrix is shown in (8) at the bottom of this page. Ruthroff’s transmission-line transformer in a unbalanced–unbalanced configuration (Fig. 7) is a two-port device that consists of a basic block with ports 1 and 3 short circuited and port 2 grounded. The unbalanced load is connected to port 4. Its admittance matrix is

A. Guanella’s 1 : 1 Transformer Guanella’s transmission-line transformer is graphically represented in Fig. 1, and is the simplest one. It consists of a single basic block with one grounded port. It is not actually

(9)

(8)

166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I POSSIBLE IMPEDANCE TRANSFORMATION RATIOS STARTING FROM A GUANELLA 1 : 1 TRANSMISSION-LINE TRANSFORMER AND USING 1–5 BASIC BLOCKS

Fig. 8. Parallel/series connection to change the transformation ratio.

Fig. 9. Series/parallel connection.

III. TRANSMISSION-LINE TRANSFORMERS WITH ARBITRARY TRANSFORMATION RATIO Thus far, only impedance transformation ratios (Guanella) or 1 : 4 (Ruthroff) are possible. Rotholz proposed an algorithm to design transmission-line transformers with an arbitrary voltage transformation ratio (equivalent to turns ratio with and in magnetic coupling transformers) of being natural numbers [10]. The idea is graphically represented in Fig. 8. Let us consider for a moment that a transmission-line is transformer having a voltage transformation ratio of available. If ports 1 and 2 of a basic block are connected to transmission-line transformer, and the same ports of the ports 3 and 4 of both devices are connected as shown in Fig. 8, the resulting device is a transmission-line transformer with a . If ports 1 and voltage transformation ratio of 2, without ground, are considered a single differential port, and likewise ports 3 and 4, we could say that the basic block transmission-line transformer are connected and the parallelly/serially. Since the resulting device is reciprocal, the is obtained when voltage transformation ratio of using the circuit in Fig. 8 from right to left (see Fig. 9). Starting from a Guanella 1 : 1 transmission-line transformer or a Ruthroff 1 : 4 transmission-line transformer (impedance transformation ratio), a wide set of transformation ratios can be implemented. Table I contains all the possible transformation ratios that can be obtained starting from a Guanella 1 : 1 transmission-line transformer and using up to five basic blocks. Starting from a Ruthroff 1 : 4 transmission-line transformer, the same transformation ratios can be obtained, but one basic block is economized. The two constraints of the second option are, firstly, that an unbalanced–unbalanced configuration of the starting transmission-line transformer forces the unbalanced–unbalanced configuration of the final transmission-line transformer and, secondly, that a balun configuration of the starting transmission-line transformer does not allow the inversion of the transformation ratio. The recursive algorithm to design a transmission-line transis as follows. former with a voltage transformation ratio of Step 1) We start with a black box representing the desired transmission-line transformer.

Fig. 10. Design procedure of a 3 : 5 transmission-line transformer (voltage transformation ratio).

Step 2) If , the first box is split into a basic block transmission-line transformer and a connected parallelly/serially. , the first box is split into a basic block Step 3) If and a transmission-line transformer connected parallelly/serially. Step 4) Steps 2) and 3) above are applied as many times as needed. Step 5) If we want Guanella’s 1 : 1 transmission-line transformer to be the final block, Steps 2) and 3) stop . If we want Ruthroff’s 1 : 4 transwhen mission-line transformer, Steps 2) and 3) stop when or . To illustrate the process, the design procedure of an 3 : 5 transmission-line transformer is graphically represented in Fig. 10. Four basic blocks are needed if we want to finish with a Guanella transmission-line transformer, and only three if we finish with a Ruthtoff transmission-line transformer. The admittance matrix of the transmission-line transformers designed with the recursive algorithm is not easy to compute. It is not possible to obtain a compact expression for the admittance matrix, but it is possible to find a number of recursive equations that allow the designer to compute the matrix in an iterative way. Consider a transmission-line transformer with a voltage transand a basic block connected paralformation ratio of lelly/serially. As previously explained, a new transmission-line

GÓMEZ-JIMÉNEZ et al.: ANALYSIS AND DESIGN PROCEDURE OF TRANSMISSION-LINE TRANSFORMERS

transformer with a transformation ratio of is obtained. Two cases have to be considered: firstly, when the last transmission-line transformer (the inner transmission-line transformer in Fig. 10) is of Guanella type, and secondly, when the last transmission-line transformer is of Ruthroff type. The currents in the primed ports of the circuit of Fig. 9 correspond to Guanella’s case

(10)

167

is the standard admittance matrix and

where

(17)

(18) The frequency behavior of a transformer is well represented in terms of transducer gain. We define the balun transducer gain if the balanced port is the input port, or as in the reciprocal case, where denotes available power, and the subscripts , , and denote the input, output, and differential respectively. The mixed-mode admittance matrix is very convenient to compute the transducer gain. For the 1 : 1 Guanella case, for instance, the mixed-mode admittance matrix can be obtained from the admittance matrix in (7), and using the mixed-mode transformation given in (16)–(18),

(19)

(11)

(12)

Considering a perfectly balanced load, the transducer gain is given by

(20) are, respectively, the source and load admit-

(13) where is the admittance matrix of the transmission-line transformer, and is that of the basic block, i.e., the matrix whose elements are computed with the help of (6). For Ruthroff’s case in the unbalanced–unbalanced configuration, the currents are

where and tances. Similar developments can be carried out for the 1 : 4 Ruthroff configuration to obtain the corresponding transducer gain. For the balun case, (21a) where

is

(14) (15) Using (10)–(15) in an iterative way, it is possible to compute the admittance elements of any transmission-line transformer. When one of the ends of the transmission-line transformer is balanced, as is the case of the balun, it is practical to use the mixed-mode scattering matrix. The conversion between the standard admittance elements and the mixed-mode admittance elements is performed similarly to when converting scattering elements in mixed-mode scattering elements [11]

(16)

(21b) and for the unbalanced–unbalanced case,

(22a) where

is

(22b)

168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Similar expressions can be obtained for the different configurations.

cases in

IV. DESIGN PROCEDURE OF THE TRANSMISSION-LINE TRANSFORMER There are four important design parameters in a transmission-line transformer, which are: 1) the lower 3-dB cutoff frequency ; 2) the higher 3-dB cutoff frequency ; 3) the load ; and 4) the source resistance . The design of resistance the transmission-line transformer is based on these four parameters and is carried out in several steps. The first step is to calculate the impedance transformation . The second step is to determine the ratio, which is structure of the transmission-line transformer, which is carried out using the algorithm described in Section III and graphically represented in Fig. 10. It should be noted that we can finish with a Guanella 1 : 1 transmission-line transformer in balun configuration or with a Ruthroff 1 : 4 transmission-line transformer either in balun or unbalanced–unbalanced configuration. The next step is to compute the physical parameters of the basic block: characteristic impedance , length , number of turns of the winding , and core of the winding. The transducer gain of the transmission-line transformer has a maximum when [10]

TABLE II VALUES OF k FOR ALL TRANSMISSION-LINE TRANSFORMERS OF UP TO FIVE LINES AND INNER TRANSFORMER OF GUANELLA TYPE. THE VALUE OF k OF AN n : m TRANSMISSION-LINE TRANSFORMER IS THE SAME AS THAT OF AN m : n TRANSFORMER)

TABLE III VALUES OF k FOR ALL TRANSMISSION-LINE TRANSFORMERS OF UP TO FIVE LINES AND INNER TRANSFORMER OF RUTHROFF TYPE. THE VALUE OF k OF AN n : m TRANSMISSION-LINE TRANSFORMER IS THE SAME AS THAT OF AN m : n TRANSFORMER)

TABLE IV VALUES OF k FOR ALL TRANSMISSION-LINE TRANSFORMERS OF UP TO FOUR LINES AND BASED ON RUTHROFF’S CONFIGURATION (3 DENOTES THAT THERE IS NO HIGHER 3-dB CUTOFF FREQUENCY)

(23) is determined, we can choose the line type. The most Once common is a twisted pair because it allows the designer to slightly change the value of . Coaxial lines are also commonly used. Prior to computing and , it is mandatory to compute the self-inductance of a single conductor of the basic block , which first appeared in (2). We need to establish the relationship between and . The computation of the lower cutoff frequency of a transmission-line transformer is not straightforward, and could be done from the expressions for the transducer gain, i.e., (20)–(22). Nevertheless, the low-frequency behavior of the transmission-line transformer strongly depends on the value of the self-inductance . Therefore, when (23) is satisfied, it is a good approximation to consider the lower cutoff frequency to be inversely proportional to the self-inductance . We can state then (24) is a parameter, which depends on the structure of the where transmission-line transformer; in other words, on the values of and . The computation of is carried out as follows. We start with a transmission-line transformer with exactly the same and . structure as the desired one, but arbitrary values of We compute the mixed-mode admittance matrix of this transformer and, subsequently, the transducer gain. The lower cutoff is then computed. The value of the constant can frequency be obtained by means of (24). Finally, the value of can also be computed with (24), but using and the actual value of instead of the initial arbitrary values. Tables II and III contain computed for a number of transformation rathe values of tios when the last transmission-line transformer is of a Guanella

or Ruthroff type, respectively. The value of obtained from Tables II and III is the value which the designer has to use to compute the value of . We continue with the computation of the length , which is done using the higher cutoff frequency. At higher frequencies, the magnetic coupling can be neglected and the basic block behaves as a regular transmission-line section. When the inner transmission-line transformer is of a Guanella type, the theoretical higher cutoff frequency is infinite since, at higher frequencies, the basic block and the Guanella transformer follow the transmission-line model. If this is not the case, we must find a relationship between the transmission-line parameters and the higher cutoff frequency. The frequency where the transducer gain is 3 dB below its low-frequency value is found to be of the form (25) where is the phase velocity of the line and is a parameter that depends on , , and the structure of the transmissionline transformer. The phase velocity depends on the electrical parameters of the line, and it is not possible to change it without changing the characteristic impedance. Consequently, we will is a fixed parameter and the line length is consider that computed from (25). Similar to , the value of is computed for a transformer with the same structure, but arbitrary values and . Table IV contains the value of for a number of of transmission-line transformers. Once the line type has been

GÓMEZ-JIMÉNEZ et al.: ANALYSIS AND DESIGN PROCEDURE OF TRANSMISSION-LINE TRANSFORMERS

169

Fig. 11. Calculated (dashed line) and measured (solid line) scattering matrix elements of the transmission-line transformer prototype. Measurement ports 1–3 correspond to ports A–C, respectively, of Fig. 5. (a) S . (b) S . (c) S . (d) S . (e) S .

chosen, the value of obtained from Table IV is the value that the designer has to use to compute the value of length . We can see from (24) and (25) that, on the one hand, the transmission-line section should be as short as possible, for the upper cutoff frequency to be high. However, on the other hand, the line should be as long as possible to increase the inductance , and hence, to low the lower cutoff frequency. For a particular application, a compromise between those two opposing criteria should be adopted. We continue with the computation of the number of turns of the winding and the core of the winding. Cylindrical, as well as toroidal cores are possible, but the latter are preferred since the gap between turns does not matter, as long as the winding

covers the entire core. Contrarily, turns must be equally spaced on a cylindrical core. The additional benefits of using toroidal cores are that they are smaller, allow for larger inductances, and can handle higher power. In the following procedure, the core material will be ferrite. The inductance of the winding is given by (26) where is the magnetic permeability of the core material, is the number of turns, is the area of one turn, is the effective is a parameter given by the core length of the winding, and

170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

manufacturer. The line length is determined by the core size in the following way: (27) where and are the dimensions of the transversal section of the toroid. The core must be chosen to satisfy (26) and (27). Upon combining these two equations, we get (28) and also (29) Fig. 12. Calculated (dashed line) and measured (solid line) transducer gain provided that the common mode load resistance is infinite.

where is the mean radius of the toroid, transversal section, and the parameter is

is the area of the

(30) We now propose the following procedure to find the adequate core and the number of turns. • First, choose the ferrite, which means choosing the magnetic permeability. • Second, for a number of toroidal cores made out of the chosen ferrite, the effective length is computed with the , help of (28). If the mean length of the toroid (which is being the mean radius) is longer than the computed , then the core is valid. • Third, the number of turns is computed with (29). Let us now consider the ferrite. The higher the permeability, the fewer the number of turns, but the core will be, in general, more expensive and saturation will take place with less magnetic flux, which results in a smaller power-handling capability. V. EXPERIMENTAL RESULTS Thus far, a design procedure has been presented. A number of experiments have been undertaken to demonstrate the validity of the procedure. A 1 : 4 Ruthroff transmission-line transformer in a balun configuration (see Fig. 5) with the following specifications has been designed, built, and measured: a 3-dB lower cutoff frequency of 20 kHz, a 3-dB upper cutoff frequency of 150 MHz, a load resistance of 160 , and a source resistance of 40 . Following the design procedure described in Section IV, we find that the characteristic impedance of the line must be . The inductance is then 80 . From Table III, we get H. From Table IV, we get . The transmission line was built with a coated 26 AWG wire. A number of sections of different length were built to measure the character, istic impedance and phase velocity. We measured and a phase velocity approximately half of the free-space value. Using (25), a maximum length of 30 cm was obtained for the transmission-line section. Finally, the ferrite core was chosen. The ferrite is Epcos N30 with a relative permeability of 4300. The core model is

Fig. 13. Some of the prototypes designed and built using the proposed procedure.

with an outer diameter of 26.8 mm, inner diameter of 13.5 mm, height of 11 mm, effective length of 60.07 mm, and area of the transversal section of 51.3 mm . With this core, turns were needed to obtain the necessary inductance. Fig. 11 shows the measured (continuous lines) and calculated (dashed lines) scattering matrix elements of the transmissionline transformer prototype. The calculated elements have been obtained from the admittance matrix elements using the conversion chart that can be found in many microwave circuit textbooks. There is good concordance between the calculated and measured results. The concordance is better at lower frequencies. Fig. 12 shows the transducer gain of the transmission-line transformer. It can be observed that the frequency specification is satisfied. All prototypes were mounted on a printed circuit board to help in measuring. Fig. 13 shows some of the prototypes. Subminiature A (SMA) connectors (not shown in Fig. 13) were used to connect the basic block to a two-port vector network analyzer. Measurements were carried out two ports at a time with matched loads (50 ) connected to the third and fourth ports. Calibration was set at the connectors’ plane.

GÓMEZ-JIMÉNEZ et al.: ANALYSIS AND DESIGN PROCEDURE OF TRANSMISSION-LINE TRANSFORMERS

Three more different specifications were established and the corresponding transformers were designed using the proposed procedure, built, and measured (see Fig. 13). In all cases, concordance between expected and measured results was good.

VI. CONCLUSION In this paper, a theoretical model of a transmission-line transformer has been presented. The model explains the frequency response of the transmission-line transformer and how the different mechanical, electrical, and magnetic parameters take part in the behavior of the device. The presented model allows an engineer to design a transmission-line transformer from a specification consisting of the cutoff frequencies and the source and load resistances. A design procedure, which stems from the theoretical model, has been presented, which allows a designer to compute the physical parameters of the transmission-line transformer. A number of experiments have been conducted to demonstrate the validity of the design procedure. Experimental results show good concordance with theoretical predictions. One of the prototypes, a 1 : 4 Ruthroff transmission-line transformer with a desired response over a wide frequency range has been presented.

REFERENCES [1] G. Guanella, “Nouveau transformateur d’adaptation pour haute fréquence,” Rev. Brown Boveri, pp. 327–329, Sep. 1944. [2] C. L. Ruthroff, “Some broadband transformers,” Proc. IRE, vol. 47, no. 8, pp. 1337–1342, Aug. 1959. [3] J. Sevick, Transmission Line Transformers, 4th, Ed. New York: Noble, 2001. [4] J. Sevick, “A simplified analysis of the broadband transmission line transformer,” High Freq. Electron., pp. 48–53, Feb. 2004. [5] J. Horn and G. Boeck, “Design and modeling of transmission line transformers,” in Proc. Microw. Optoelectron. Conf., Sep. 2003, vol. 1, pp. 421–424. [6] K. S. Ang, C. H. Lee, and Y. C. Leong, “Analysis and design of coupled line impedance transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 421–424. [7] J. E. Post, “Analysis and design of planar, spiral-shaped, transmission-line transformers,” IEEE Trans. Adv. Packag., vol. 30, no. 1, pp. 104–114, Feb. 2007. [8] A. Malinen, K. Stadius, and K. Halonen, “Characteristics and modeling of a broadband transmission-line transformer,” in Proc. Int. Circuits Syst. Symp., May 2004, vol. 4, pp. 413–416.

171

[9] P. L. D. Abrie, The Design of Impedance Matching Networks for Radiofrequency and Microwave Amplifiers. Norwood, MA: Artech House, 1985. [10] E. Rotholz, “Transmission line transformers,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 4, pp. 327–331, Apr. 1981. [11] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common-mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. Pablo Gómez-Jiménez received the Ingeniero de Telecomunicación degree from the University of Málaga, Málaga, Spain, in 2005. In 2005, he joined Vodafone España, Málaga, Spain. From 2005 to 2006, he participated in a postgraduate program on communications software with the University of Málaga. In 2006, he joined Indra Centros de Desarrollo, Campanillas, Málaga, Spain, where he is currently involved in software development projects.

Pablo Otero (S’84–M’93) was born in Seville, Spain, in 1958. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1983, and the Ph.D. degree from the Swiss Federal Institute of Technology (EPFL), Lausanne, Switzerland, in 1998. From 1983 to 1993, he was with the Spanish companies Standard Eléctrica, E.N. Bazán, and Telefónica, where he was involved with communications and radar systems. In 1993, he joined the Universidad de Sevilla, Seville, Spain, where he was a Lecturer for two years. In 1996, he joined the Laboratory of Electromagnetism and Acoustics, EPFL, where he was a Research Associate, working under a Spanish Government grant. In 1998, he joined the Escuela Técnica Superior de Ingeniería (ETSI) de Telecomunicación, Universidad de Málaga, Málaga, Spain, where he is currently an Associate Professor. His research interests include electromagnetic theory and printed microwave circuits and antennas.

Enrique Márquez-Segura (S’93–M’95–SM’06) was born in Málaga, Spain, in April 1970. He received the Ingeniero de Telecomunicación and Doctor Ingeniero degrees from the Universidad de Málaga, Málaga, Spain, in 1993 and 1998, respectively. In 1994, he joined the Departamento de Ingeniería de Comunicaciones, Escuela Técnica Superior de Ingeniería (ETSI) de Telecomunicación, Universidad de Málaga, where, in 2001, he became an Associate Professor. His current research interests include electromagnetic material characterization, measurement techniques, and RF and microwave circuits design for communication applications.

172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

A Broadband Planar Magic-T Using Microstrip–Slotline Transitions Kongpop U-yen, Member, IEEE, Edward J. Wollack, Senior Member, IEEE, John Papapolymerou, Senior Member, IEEE, and Joy Laskar, Fellow, IEEE

Abstract—The improved version of a broadband planar magic-T using microstrip–slotline transitions is presented. The design implements a small microstrip–slotline tee junction with minimum size slotline terminations to reduce radiation loss. A multisection impedance transformation network is used to increase the operating bandwidth and minimize the parasitic coupling around the microstrip–slotline tee junction. As a result, the improved magic-T has greater bandwidth and lower phase imbalance at the sum and difference ports than the earlier magic-T design. The experimental results show that the 10-GHz magic-T provides more than 70% of 1-dB operating bandwidth with the average in-band insertion loss of less than 0.6 dB. It also has phase and amplitude imbalance of less than 1 and 0.25 dB, respectively. Index Terms—Microstrip circuits, passive circuits, power combiners, power dividers, slotline transitions.

I. INTRODUCTION MAGIC-T is a four-port junction. In an ideal case, it is lossless and has a sum ( ) port and a difference ( ) port that allow incident signals from ports 1 and 2 to be combined or subtracted with a well-defined relative phase (see Fig. 1). Structures approximating these ideal properties have been widely used as an element in correlation receivers, frequency discriminators, balanced mixers, four-port circulators, microwave impedance bridges, reflectometers [1], etc. A magic-T requires components that are less dependent on transmission phase delay to perform as in-phase and out-ofphase combiners. Structures with high physical symmetry are often used in the magic-T design to produce broadband response with low phase and amplitude imbalance. Symmetry at the port is simple to obtain using microstrip line [2] or coplanar waveguide (CPW) [3], whereas symmetry at the port is simple to implement using slotline [4] or mode-conversion techniques using slotline structures [4]–[7]. On the other hand, magic-Ts with no physical symmetry at ports or require coupled lines [8] or left-handed elements [9] to compensate for phase variations. These magic-Ts can produce broadband response with some tradeoffs in high phase imbalance.

A

Manuscript received April 15, 2007; revised August 28, 2007. K. U-yen and E. J. Wollack are with the NASA Goddard Space Flight Center, Greenbelt, MD 20771 USA (e-mail: [email protected]). J. Papapolymerou and J. Laskar are with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 22305 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912213

Fig. 1. Improved broadband magic-T using microstrip-to-slotline transitions.

Fig. 2. (a) Odd- and (b) even-mode electric field and current flow in the magic-T and in the microstrip–slotline tee junction at A–B.

Although the symmetric magic-Ts using the slotline structure have a broadband power-combining response, their insertion loss, return loss, size, and fabrication complexity can limit their usefulness. The total slotline area in these magic-Ts can be large and susceptible to slotline radiation, which results in high

0018-9480/$25.00 © 2007 IEEE

U-YEN et al.: BROADBAND PLANAR MAGIC-T USING MICROSTRIP–SLOTLINE TRANSITIONS

173

Fig. 3. Full circuit model of the magic-T at the center of the operating frequency.

insertion loss. In addition, magic-Ts using CPW–slotline transition require air bridges to prevent the excitation of undesired modes, which result in additional fabrication steps. The previously proposed magic-T using microstrip–slotline transitions [7] produces a broad in-phase combining bandwidth using a small slotline area to minimize in-band loss. However, it has a narrowband port 1–2 isolation and port – return-loss response. In addition, it is sensitive to microstrip and slotline misalignment. This is due to: 1) the limited number of sections ) impedance transformers used to of quarter-wavelength ( match all four ports and 2) the strong parasitic couplings presented at the microstrip–slotline tee junction where four microstrip lines and a slotline are combined. The improved broadband magic-T design, discussed in this paper, introduces the new microstrip ring structure that minimizes parasitic couplings at the microstrip–slotline tee junction, and simultaneously enhances the return loss at ports 1, 2, and and results in a small phase mismatch. The optimal design of the new structure also increases the overall bandwidth significantly. II. CIRCUIT CONFIGURATION The improved structure, as shown in Fig. 1, consists of two sections, namely, a magic-T and a compact microstrip–slotline transition. The microstrip–slotline transition section has been studied in [7] and [10]. This paper focuses on the new approach in designing the magic-T section to simultaneously realize broadband return loss and isolation. The full magic-T transmission line model is also introduced. In addition, the practical upper limit of the magic-T operating bandwidth, designed following this approach, is derived. The magic-T section in Fig. 1 consists of quarter-wavelength ) microstrip lines connected in a ring configuration. The ( top section of the ring, above ports 1 and 2, consists of two lines with the characteristic impedance of . It is used as an lines. in-phase combiner with the output port between two lines. The bottom section of the ring contains two pairs of Each pair contains two microstrip lines with the characteristic and connected in series. These lines are impedances of used to transform the microstrip to the slotline with the charac, and produce the microstrip–slotline teristic impedance of tee junction at the center of the structure. The line is terminated with two slotline stepped circular rings (SCRs) at both ends [10] to provide broadband virtual open. Finally, the slotline output is transformed to a microstrip output at port using

a microstrip–slotline transition. The magic-T is analyzed in both section, as shown in odd and even modes up to the slotline Fig. 2(a) and (b), respectively. In the odd mode, the signals from ports 1 and2 are out-of-phase. This creates a microstrip virtual ground plane along the -axis of the magic-T and at port , as shown in Fig. 2(a). The slotline allows microstripSCR termination connected to the slotline to-slotline mode conversion to occur as indicated by electric field and current directions around the cross section A–B. In the even mode, the signals from ports 1 and 2 are in-phase, thus creating a microstrip virtual open along the -axis of the magic-T, as shown in Fig. 2(b). Electric fields in the slotline at cross section A–B are canceled, thus creating a slotline virtual ground that prevents the signal flow to or from port by symmetry. A. Magic-T Circuit Model The magic-T can be studied using the odd- and even-mode circuit analysis [7]. By using this analysis and ignoring parasitic reactance due to step in linewidth, we construct the full circuit model, as shown in Fig. 3. This model approximates the magic-T’s response around the center frequency . In the odd mode, port becomes a virtual ground. Using transformation through the line, the virtual ground a becomes an open at ports 1 and 2, both of which have a characteristic impedance of . To match the impedance at these transmission lines— and —are used to transports, to the slot line impedance of , where is the form microstrip–slotline transformer ratio. In the single-mode limit, is dependent on the substrate thickness, the transmission line characteristic impedance, and the microstrip–slotline physical alignment [11]. The general equation relating , , , and can be expressed at as follows: (1) It is desirable that equals to eliminate the discon). However, in the fabtinuity of microstrip lines (i.e., is limited by the allowrication process, typically the value able minimum slot width and substrate thickness. To minimize the radiation loss of the transition, we employ the minimum ) of 0.1 mm on the 0.25-mm-thick achievable slotline width ( Roger’s Duroid 6010 substrate. This slotline width corresponds magnitude of 72.8 . to a

174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I CIRCUIT PARAMETERS AT 10 GHz USED IN THE MAGIC-T DESIGN ON 0.25-mm-THICK ROGER’S DUROID 6010 SUBSTRATE

In the even mode, port becomes a virtual open and it is halfwavelength transformed to an open at ports 1 and 2. Therefore, and in this mode at there is no constraint on the values . Moreover, ports 1’s and 2’s impedances are transformed to at port using the line. The general solution can be obtained as follows: (2) B. Microstrip and Slotline Transition Terminations The microstrip–slotline transition in the magic-T requires proper terminations to maintain broad mode conversion at the microstrip–slotline tee junction and at port . The slotline SCR and the microstrip stepped-impedance open-stub terminations are used here due to its broadband characteristics. In addition, the slotline SCR is more compact and has lower radiation loss than many conventional slotline terminations. The slotline SCR is modeled using three transmission lines , , and with electrical lengths of , , and , respectively [10]. These values correspond to the physical widths , , and , and , , and , and lengths of respectively. The microstrip stepped-impedance open stub is modeled and with electrical lengths using two transmission lines and , respectively. These values correspond to the of and , and and , physical widths and lengths of respectively. The termination models shown in Fig. 3 can be used to accurately determine their frequency responses [10] with the circuit parameter values provided in Table I. C. Magic-T’s Optimal Parameter Values The general solution based on (1) and (2) is used to construct the magic-T. Using the parameters in Table I(a), the magic-T provides broadband port – return loss and broadband port 1- transmission, as shown in Fig. 4(a) and (b). However port 1- 2– transmission and port 1–2 isolation have narrowband response due to all transmission poles being in line at . To increase the return loss and isolation bandwidth of the magic-T, , , and values can be numerically optimized using a circuit simulation software such that the microstrip linewidth step discontinuity is more gradual and the port 1- /2– transmission has equal-ripple response. The optimization goal is set to obtain the minimum port – return loss and port 1–2 isolation of 14 and 18 dB, respectively, over 70% bandwidth. The frequency responses of the magic-T using the optimized parameters in Table I(b) are shown in Fig. 4(a) and (b). The maximum

Fig. 4. Magic-T frequency responses of: (a) insertion loss and (b) return loss and isolation based on the circuit model shown in Fig. 4 and using the general and optimized solutions provided in Table I. f = 10 GHz.

port 1- and 2- transmission bandwidth of the magic-T is limited by strong transmission zeros. These transmission zeros and as they transform a virtual are due to the sections open at the tee junction to a virtual ground at ports 1 and 2 in the even mode. This is shown in Fig. 4(a) at frequencies and . Using (3) with and , we find that and are and , respectively. The upper port 1- and 2- transmission frequency band is (3) limited by the section that creates transmission zero at in the odd mode since it transforms a virtual ground at port to a virtual open at ports 1 or 2. The lower port 1- and 2transmission frequency band is limited by the microstrip–slotline transition and tee junction since the slotline SCR termination size becomes so small compared to the slotline wavelength that the slotline SCR effectively becomes a short.

U-YEN et al.: BROADBAND PLANAR MAGIC-T USING MICROSTRIP–SLOTLINE TRANSITIONS

175

TABLE II PHYSICAL PARAMETERS IN MILLIMETERS OF THE MAGIC-T ON 0.25-mm-THICK ROGER’S DUROID 6010 SUBSTRATE

Fig. 5. (a) Top and (b) the bottom views of the improved magic-T.

III. HARDWARE DESIGN AND IMPLEMENTATION A prototype magic-T was fabricated on a 0.25-mm-thick Rogers’ Duroid 6010 substrate. The design uses the optimized solution presented in Table I(b) and the corresponding physical is set at parameters of this design are shown in Table II. is set to 1.0 mm to minimize slotline radiation loss 10 GHz. while obtaining acceptable isolation between the microstrip and the microstrip–slotline tee junction. is line at port at port using a -long line with an transformed to impedance value of . The photographs of the microstrip and slotline sections of the fabricated magic-T are shown in Fig. 5(a) and (b), respectively. The magic-T is connectorized and calibrated using the thru-reflect-line method with the reference plane shown in Fig. 5(a) and measured using a Hewlett-Packard 8510C network analyzer. Two magic-T ports are measured at a time while the other ports are terminated with 50- broadband precision loads. The magic-T provides an average in-band insertion loss of 0.3 and 0.6 dB in the in-phase and out-of-phase power combining sections, respectively, as shown in Fig. 6(a). The 1-dB corner frequencies of the 1- and 2- transmissions are at 6.6 to 13.6 GHz and the in-band return loss of the magic-T is greater than 10 dB, as shown in Fig. 6(b). These measurements are in good agreement with the electromagnetic (EM) simulations and the circuit response predicted in Fig. 4(a) and (b). The 3-dB out-of-phase power combining section has higher insertion loss than the 3-dB in-phase combining section due to additional losses arising from the slotline radiation and microstrip line. The port – isolation is more than 32 dB, as shown in Fig. 7. The limit in the port – isolation at low frequency is mainly due to the finite conductivity and the area of ground plane that results in coupling leakage at the microstrip–slotline tee junction. In addition, the amplitude and

Fig. 6. Measured frequency responses of the: (a) insertion loss and (b) return loss of the optimized magic-T.

Fig. 7. Measured and simulated isolation at ports 1 and 2 and at port E –H of the magic-T.

the phase imbalance of the magic-T is less than 0.25 dB and , as shown in Fig. 8(a) and (b), respectively. Transmission

176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

REFERENCES

Fig. 8. Measured frequency responses of the: (a) amplitude imbalance and (b) phase imbalance of the optimized magic-T.

TABLE III SUMMARY OF MEASURED PERFORMANCE OVER 1-dB INSERTION-LOSS BANDWIDTH OF THE MAGIC-T COMPARED WITH THE PRIOR MAGIC-T

zeros in the magic-T in the port 1- and 2- transmissions result in a sharp increase in-phase and amplitude imbalance at GHz and GHz. These frequencies are in agreement with those computed using (3). The measurement errors are dominated by the return-loss phase and amplitude mismatch at the connectorized broadband load terminations. A secondary error results from the bend line at port , which results in perturbation in the phase and impedance mismatch at the reference plane. When compared with the previous design, this magic-T shows significant improvement in bandwidth. The magic-T also has less parasitic around the tee junction, which makes it less sensitive to fabrication misalignment. This results in a much smaller phase imbalance in this design. Their performance comparison is shown in Table III. ACKNOWLEDGMENT The authors would like to thank the Georgia Electronic Design Center, Georgia Institute of Technology, Atlanta, for providing microwave test facilities.

[1] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits, ser. MIT Rad. Lab. New York: McGraw-Hill, 1948, vol. 8, ch. 9–12. [2] K. S. Ang and Y. C. Leong, “Converting balun into broadband impedance-transforming 180 hybrid,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1990–1995, Aug. 2002. [3] L. Fan, C.-H. Ho, S. Kanamaluru, and K. Chang, “Wide-band reduced-size uniplanar magic-T, hybrid-ring, and de Ronde’s CPW slot couplers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2749–2758, Dec. 1995. [4] J. P. Kim and W. S. Park, “Novel configurations of planar multilayer magic-T using microstrip–slotline transitions,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1683–1688, Jul. 2002. [5] M. Aikawa and H. Ogawa, “A new MIC magic-T using coupled slot lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 523–528, Dec. 1980. [6] G. J. Laughlin, “A new impedance-matched wideband balun and magic tee,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 3, pp. 135–141, Mar. 1976. [7] K. U-yen, E. J. Wollack, J. Papapolymerou, and J. Laskar, “Compact planar magic-T using microstrip–slotline transition,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 37–40. [8] M. Arain and N. W. Spencer, “Tapered asymmetric magic tee,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 12, pp. 1064–1067, Dec. 1975. [9] H. Okabe, C. Caloz, and T. Itoh, “A compact enhanced-bandwidth hybrid ring using an artificial lumped-element left-handed transmission line section,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 798–840, Mar. 2004. [10] K. U-yen, E. J. Wollack, S. Horst, T. Doiron, J. Papapolymerou, and J. Laskar, “Slotline stepped circular rings for low-loss microstrip-toslotline transitions,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 100–102, Feb. 2006. [11] J. P. Kim and W. S. Park, “Analysis of an inclined microstrip–slotline transition with the use of the spectral-domain immittance approach,” Microw. Opt. Technol. Lett., vol. 15, no. 4, pp. 256–260, Jul. 1997.

Kongpop U-yen (S’02–M’06) received the B.S. degree in electrical engineering from Chulalongkorn University, Bangkok, Thailand, in 1999, and the M.S. and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2002 and 2006, respectively. In 2000, he was an Engineer with L3 Communications, San Diego, CA, in 2000, where he was responsible for several switching power supply design. In 2001, he joined Texas Instruments Incorporated, where he designed BiCMOS integrated-circuit RF transmitters. In 2004, he joined the NASA Goddard Space Flight Center, Greenbelt, MD, where he is currently a Senior Design Engineer. His research interests are millimeter-wave passive components and RF integrated-circuit designs.

Edward J. Wollack (S’85–M’87–SM’98) received the B.Sc. degree in physics (with a math minor) from the Institute of Technology, University of Minnesota at Minneapolis, in 1987, and the M.Sc. and D.Sc. degrees in physics from Princeton University, Princeton, NJ, in 1991 and 1994, respectively. In 1994, he began a post-doctoral fellowship with the Central Development Laboratory, National Radio Astronomy Observatory, Charlottesville, VA, with a concentration on low-noise millimeter-wavelength detectors and receiver systems for precision continuum radiometry. In 1998, he joined the Laboratory for Astronomy and Solar Physics, NASA Goddard Space Flight Center, Greenbelt, MD, where he is currently an Astrophysicist. His research interests include astrophysical and remote sensing, radiometric measurement and calibration techniques, and device noise theory.

U-YEN et al.: BROADBAND PLANAR MAGIC-T USING MICROSTRIP–SLOTLINE TRANSITIONS

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with the University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 140 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and microelectromechanical systems (MEMS) devices in microwave, millimeter-wave and terahertz circuits and the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates [liquid-crystal polymer (LCP), low-temperature co-fired ceramic (LTCC)] for system-on-a-chip (SOC)/system-on-package (SOP) RF front ends. Dr. Papapolymerou is the vice-chair for Commission D of the U.S. National Committee of URSI. He is an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan at Ann Arbor Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

177

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree in computer engineering (with math/physics minors) from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology, Atlanta, in 1995, he has held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. With the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group, the Director of Georgia’s Electronic Design Center, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. He heads a research group with a focus on integration of high-frequency electronics with opto-electronics and integration of mixed technologies for next-generation wireless and opto-electronic systems. His research has focused on high-frequency integrated-circuit design and their integration.

178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Analysis and Modeling of Hybrid Planar-Type Electromagnetic-Bandgap Structures and Feasibility Study on Power Distribution Network Applications Ki Hyuk Kim, Member, IEEE, and José E. Schutt-Ainé, Fellow, IEEE

Abstract—A unified 1-D analysis model of hybrid planar-type electromagnetic-bandgap (EBG) structures is developed. Based on the analysis results, three types of hybrid design methods to reduce the cutoff frequency of the EBG structures are discussed, and design equations for their noise suppression bandwidths are derived. In order to simulate switching noise characteristics of the hybrid planar-type EBG structures, 2-D circuit level models are developed and experimentally verified. With the developed circuit-level models and CMOS active switching devices, feasibility studies on the power distribution network design using the hybrid EBG structures are conducted. The hybrid EBG structure with series lumped chip inductors shows efficient noise suppression characteristics in both the frequency and time domains; however, it has potential limitations because of its generation of higher switching noise voltages depending on power supply connection configurations. Index Terms—Electromagnetic bandgap (EBG), power distribution network (PDN), simultaneous switching noise (SSN), system-in-package/system-on-package.

I. INTRODUCTION

D

UE TO the increasing need for cost-effective and multifunctional electronic components, integration schemes using system-in-package or system-on-package technology have been widely researched [1]. One of the critical design issues of such high-density systems is the reduction of noise coupling between neighboring functional blocks, e.g., noisy digital and sensitive analog/RF circuits in the same system. In addition, continuous device scaling results in the reduction of supply voltage levels and corresponding noise margins, as a result, the intra-noise coupling in the digital block also degrades the performances of the digital circuit. Inductive simultaneous switching noise (SSN) generated by the digital circuits can propagate through both the on-chip and the package/printed circuit board (PCB) level substrates. However, fundamental mechanisms of the noise propagations are quite different; the noise signal in the on-chip substrate propagates through resistive paths of the substrate, and the sources of the package/PCB level noise coupling are cavity resonant modes of the power distribution network (PDN) on the substrate. The PDN forms the rectangular cavity resonator, which has two parallel metal patches and four magnetic sidewalls [2]. In the

Manuscript received May 24, 2007; revised September 8, 2007. The authors are with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61801 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912199

vicinity of the resonant frequencies, vertical transitions of the noisy signals such as time varying power/ground via pins and vias of high-speed signals excite the cavity resonator structure and create standing waves. Such electromagnetically coupled noise signals can be minimized using a gapped-/island-type PDN [3], a resistive termination method [4], and efficient bypassing techniques such as shorting vias and capacitive walls [5]. However, their practical implementations are limited by the multiple power supply requirements, narrow operating bandwidths, and large number of additional passive components. Several mushroom- and planar-type electromagneticbandgap (EBG) structures are proposed to suppress the cavity resonant modes and the noise signal propagations on the package/PCB substrate [6]–[9]. However, it is difficult to design the EBG structures, which have low cutoff frequency and wide stopband characteristics because of the low inductances of the vias/bridges and the low capacitances of the patches. Recently, several planar-type EBG structures have been proposed to reduce the cutoff frequency, and most of the efforts are focused on increasing bridge inductances between patches by extending the lengths of the microstrip line bridges [8], [9]. Two hybrid planar-type EBG structures were also proposed; one increases the patch capacitances by using lumped chip capacitors [10], and the other increases the bridge inductances by using lumped chip inductors [11]. In this paper, a 1-D analysis of the hybrid planar-type EBG structures is conducted, and three types of hybrid EBG structure design methods to reduce the cutoff frequency are discussed. Noise suppression bandwidths for various enhanced hybrid planar-type EBG structures are derived using this analysis. In Section III, 2-D circuit-level models of the hybrid planar-type EBG structures are developed in order to include distributed effects of the EBG structures and co-simulate with actual digital and analog/RF circuits. Accuracies of the developed simulation models are experimentally verified by comparing the simulated -parameter responses with the measured data. A feasibility study of the application of the hybrid planartype EBG structures to the design of the PDN is conducted in Section IV. 16-bit CMOS output drivers are co-simulated with the hybrid cutoff frequency-enhanced EBG PDN in both the frequency and time domains. Not only the noise suppression characteristics, but also the noise generation characteristics of the PDNs are discussed in order to explore the SSN characteristics of each hybrid EBG structure.

0018-9480/$25.00 © 2007 IEEE

KIM AND SCHUTT-AINÉ: ANALYSIS AND MODELING OF HYBRID PLANAR-TYPE EBG STRUCTURES AND FEASIBILITY STUDY ON PDN APPLICATIONS

179

where is the width of the microstrip line and is equal to 0.2 nH/mm. also varies depending on the physical implementation of the bridges. Typical values for , which is the largest when implemented using the lumped chip inductors are less than 0.3 pF for inductance in the 47–560-nH range [14], are in the range of 0.6–1.2 while typical values for nH including the inductances of the via [15], which is calculated using (5) [16] as follows: (5) Fig. 1. Schematics of 1-D hybrid planar-type EBG PDN and corresponding equivalent circuits.

II. 1-D ANALYSIS OF HYBRID PLANAR-TYPE EBG STRUCTURES A. Description of 1-D Hybrid Planar-Type EBG Structures Fig. 1 shows schematics of the th unit cell of the 1-D hybrid planar-type EBG structure and corresponding lumped equivalent-circuit models including all hybrid components and parasitics. The lumped circuit approximation is valid for metal patches with a width of less than one-tenth of the guided wavelength in the patches. Components within the dotted rectangle correspond to the th unit cell of the EBG structure, where and are the center-to-center and gap distance between two neighboring metal patches, respectively, is the width of the rectangular metal patches, and is the height of the dielectric substrate. The th unit cell consists of half of the th patch, th patch. and are one bridge, and half of the the inductance and capacitance of each metal patch, respecis the gap capacitance between metal patches, and tively, and are the parasitic series capacitance and and the inductance of the bridge, respectively. are the capacitance and parasitic inductance of the lumped chip capacitors, respectively. and are calculated using the following The values of quasi-static equations [12]: (1) (2) and are the permittivity and permeability of free where is the relative dielectric constant of space, respectively, and the substrate. Equation (3) is used to calculate the gap capacitances between the patches [8] and [13] (3) is equal to the inductance of the microstrip lines beor that of the lumped chip inductors tween the patches depending on the physical implementation of the bridges. The inductance of the microstrip lines per unit length is given by (4)

where is the diameter of the via in millimeters and is equal to 0.2 nH/mm. It is important to note that the capacitance ratios of and are very small for typical package/PCB structures, which have large patch width and low substrate thickness. B. Frequency Response of 1-D Planar-Type EBG Structures The image parameter method [12] is used to analyze the frequency responses of the 1-D hybrid planar-type EBG structure. The image impedance of the EBG structure is given by

(6a) where (6b) (6c) and (6d) The previous two capacitance ratios are used to derive the noise suppression bandwidths of the hybrid planar-type EBG structures. Noise suppression bandwidth is defined as the dif) ference between the low-pass cutoff frequency ( and the high-frequency limitation of the EBG structures. The de’s are only dependent rived equations show that on the values of ( ), , and . Therefore, there are three different hybrid design methods to reduce the cutoff frequency of the planar-type EBG structures, and the derived and high-frequency limitations of each hybrid planar-type EBG structure are summarized in Table I. It is important to note that the EBG structure used in method 3 has an additional passband due to the parallel resonance of and , which limits the noise suppression bandwidth, and that the first resonant frequency of the EBG structure used in method 4 is lower than those of other EBG structures because of its high dielectric constant. , is derived The maximum noise suppression level, using a periodic -stage pi-type equivalent model, which

180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I NOISE SUPPRESSION BANDWIDTHS OF CUTOFF FREQUENCY-ENHANCED HYBRID PLANAR-TYPE EBG STRUCTURES

consists of the shunt

and series

and

(7)

where is the number of unit cells and . Impedance mismatches between the unit cells are not considered in the derivation. In order to predict the dispersive behavior of the hybrid planar-type EBG structures, the unit cells of each structure are parameters [13], which is given by analyzed using

Fig. 2. First passband of dispersion diagram for hybrid planar-type EBG structures with parameters b = 13:7 mm, g = 1:3 mm, h = 0:4 mm, " = 4:4, C = 0:103 pF , and L = 1 nH. (a) Using method 2 with variable . (b) Using method 3 with variable of C . of L

are as follows: (9) (10)

(8) where and are the effective phase constant of the unit cell and and the phase constant of the patch, respectively, and

Fig. 2(a) and (b) shows the first passband of the dispersion diagram for the hybrid planar-type EBG structure using and that for the hybrid method 2 with a variable of planar-type EBG structure using method 3 with a variable of , respectively. In both cases, it is shown that, by increasing , the cutoff frequency of the hybrid planar-type EBG structure is effectively reduced and the cutoff frequencies of each structure from the dispersion diagram are in good agreement with the calculated values using (7b) and (7c).

KIM AND SCHUTT-AINÉ: ANALYSIS AND MODELING OF HYBRID PLANAR-TYPE EBG STRUCTURES AND FEASIBILITY STUDY ON PDN APPLICATIONS

181

Fig. 3. Schematics and corresponding equivalent-circuit models for building blocks of hybrid planar-type EBG structures. (a) Patch. (b) Gap and bridge. (c) Lumped chip inductor. (d) Lumped chip capacitor. Synthesized EBG structure: (e) using method 2 and (f) using method 3.

III. CIRCUIT-LEVEL MODELING OF HYBRID PLANAR-TYPE EBG STRUCTURES 2-D equivalent-circuit models of the planar-type EBG structures are developed in order to include their distributed effects and co-simulate the hybrid planar-type EBG structures with the digital and analog/RF circuits. A commercial circuit-level simulator, Spectre from Cadence Design Systems Inc., San Jose, CA, is used in this study. Fig. 3(a)–(d) shows the schematics and equivalent-circuit models for the metal patch (width ), gap and bridge between patches (distance ), lumped chip inductor, and lumped chip capacitor, respectively. They are basic building blocks of the hybrid planar-type EBG structures. Every EBG structure using one of the design methods shown in Table I can be synthesized with those building blocks, e.g., the EBG structure using method 2 consists of an array of metal patches and the gap with lumped chip inductors, while the EBG structure using method 3 consists of an array of metal patches with lumped chip capacitors and the gap between the patches. Fig. 3(e) and (f) shows the 2-D circuit level simulation models of the EBG structures using methods 2 and 3, respectively. The details of the equivalent-circuit models are explained as follows. A. Circuit-Level Modeling of Metal Patches Fig. 3(a) shows the circuit-level model of the metal patch. Instead of the conventional merged plane models, the distributed plane models are used for the patch in order to describe the current voltage variations on the ground and power planes [17]. array of RLC cells is used to model each patch, where An is dependent of the guided wavelength in the substrate and, in this study, is equal to 10. The dielectric constant of the substrate is 4.4, the maximum analysis frequency is 6 GHz, and the size of the RLC cell is an approximate 1/20 of the guided wavelength at the maximum frequency.

B. Circuit-Level Modeling of Gap Between Patches Fig. 3(b) shows the circuit-level model of the gap and the bridge between the patches. Nine-section distributed capacitors and a single-section inductor are used to model the gap capacitance and the inductance of the microstrip line bridge. In the case where the lumped chip inductor is used as the bridge, the inductor model of the microstrip line is replaced by the lumped chip inductor model in Fig. 3(c). Previous studies on planar-type EBG structures use (3) to calculate the gap capacitances [8], [13]; (3), however, was derived under the assumption that there is only a pair of patches without the ground plane [18]. This assumption is true for the mushroom-type EBG power plane without the ground plane because the metal patches are connected to the power plane through vias. However, in the case of the planar-type EBG structures, the pres. ence of the ground plane reduces the value of In [19], equations for the coupled microstrip lines are used to calculate the gap capacitances with the ground plane considered, and details of design formulas are found in [20]. Fig. 4(a) and (b) shows the calculated and simulated values of the gap capacitances for the planar-type EBG structures with the center-to-center distances of 15 and 30 mm, respectively. The thickness of the dielectric substrate vary from 0.4 to 1.6 mm with 0.4-mm steps, and the gap distances are 5%, 7.5%, and 10% of the patch widths. Equation (3) and the equations in [20] are used to calculate the gap capacitances, and Maxwell 2-D SV, a commercial 2-D quasi-static R, L, and C extractor from the Ansoft Corporation, Pittsburgh, PA, is used to numerically simulate the gap capacitances. Both of the analytic equations overestimate the gap capacitances, as shown in Fig. 4. The calculated gap capacitances using (3) is independent with the height of the dielectric substrate because the ground plane is not considered in the derivation of (3) [18]. Equations for the gap capacitances in [20] were obtained empirically with limited ranges of the physical dimensions that are applicable to microstrip lines. As a result, the calculated gap capacitances between the large width coupled patches are not accurate. As expected, the calculation

182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE II DESIGN PARAMETERS OF HYBRID PLANAR-TYPE EBG PDNS

Fig. 4. Calculated and simulated values of gap capacitances. (a) a = 15 mm. (b) a = 30 mm.

Fig. 5. EBG structure using method 2. (a) Fabricated PCB. (b) Simulated and measured jS 21j responses.

errors are inversely proportional to the heights of the dielectric substrate. In this study, the numerically simulated gap capaci. tances are used to model C. Circuit-Level Modeling of Lumped Chip Inductors and Capacitors First-order parallel LC and first-order series LC models are used to model the lumped chip inductors and lumped chip capacitors, respectively. The parasitic parallel capacitances of the lumped chip inductor and the parasitic series inductances of the lumped chip capacitor are calculated using their self resonant frequencies (SRFs) provided by the chip components’ vendors [14], [15]. Fig. 3(c) and (d) shows the circuit level of the lumped chip inductor and lumped chip capacitor, respectively.

Fig. 6. Frequency-domain characteristics of hybrid EBG PDNs. (a) jS 21j responses of EBG PDN using method 2. (b) jS 21j responses of EBG PDN using method 3.

D. Verification of Circuit Level Models for Hybrid Planar-Type EBG Structures The accuracy of the developed 2-D circuit level simulation models is experimentally verified. Fig. 5(a) shows the fabricated hybrid planar-type EBG structure using method 2 in Table I. The width of the patch and the gap distance between the patches are 13.7 and 1.3 mm, respectively. The thickness and dielectric constant of the substrate are 0.4 and 4.4 mm, respectively. Locations of two measurement ports are also shown in Fig. 5(a). Twelve 560-nH lumped chip inductors with 0.16-pF parasitic series capacitance are used as the bridges.

KIM AND SCHUTT-AINÉ: ANALYSIS AND MODELING OF HYBRID PLANAR-TYPE EBG STRUCTURES AND FEASIBILITY STUDY ON PDN APPLICATIONS

183

Fig. 7. Chip-PDN co-simulations. (a) 16-bit output drivers. (b) Input voltage waveforms with 500- and 2500-ps rise times. (c) Output voltage waveforms. (d) Transient short-circuit currents. (e) 2-D solid PDN. (f) Hybrid EBG PDN using method 2/method 3.

Fig. 5(b) shows the measured and simulated frequency responses of the EBG structure and a 2-D solid plane pair, which has the same area as that of the ground plane of the EBG structure. The Agilent E8358A PNA series vector network analyzer (VNA) is used to measure the -parameters from 300 kHz to 6 GHz. Solid lines and the crosses correspond to simulated and responses for the 2-D solid plane pair, respecmeasured tively, while solid rectangles and open circles represent the same respective quantities for the EBG structure. The 2-D circuit level responses of simulations accurately predict the measured both of the EBG structures, except for the levels of the insertion loss. The calculated maximum insertion loss using (7) is 130 dB, which shows a good agreement with the 2-D simulation results. The discrepancy in the noise suppression levels is , due to the noise floor of the VNA. The measured responses start which is defined as a frequency where the to decrease monotonically, is 106.1 MHz, while the calculated and the simulated values are 99.4 and 99.5 MHz, respectively. In Fig. 5(b), the measured peak of the EBG structure at resonant mode of the 5.14 GHz, corresponds to the patches, which have an area of 13.7 13.7 mm , while several resonant peaks of the 2-D solid plane pair correspond to the cavity resonant modes of the 45 45 mm area patch. Basically, the hybrid planar-type EBG structures enhance the noise suppression bandwidth by reducing the width of the unit cells and, consequently, by moving the first resonant frequency higher [11] and [21]. IV. FEASIBILITY STUDY ON POWER DISTRIBUTION NETWORK DESIGN APPLICATION Previous studies on PDN design using EBG structures have considered only the noise signal suppression characteristics of the EBG structures by measuring insertion losses such as

responses. Here, three different cutoff frequency-enhanced hybrid planar-type EBG structures using methods 2–4 are designed, and their feasibility for PDN application are studied using both the frequency- and time-domain simulations with the noise generation characteristics of the EBG structures considered by including CMOS active switching devices in the time-domain simulations. A. Noise Suppression Characteristics and EBG PDN—Frequency-Domain Analysis We arbitrarily set the target cutoff frequency of the EBG PDNs to be 300 MHz, and the calculated circuit-level parameters of each EBG PDN using the derived equations in Section II are summarized in Table II. It is important to note that the dielectric constant of 269 in Table II is not a realistic value, moreover, the high dielectric constant with the same patch width of the patch results in low, which limits the noise suppression bandered width of the EBG PDN. The first resonant frequency of that structure is only 668 MHz, and for such reasons, the EBG PDN using method 4 is excluded in this study. High dielectric constant embedded capacitors also have limited noise suppression bandwidths due to the same reason. The schematics and the 2-D circuit-level simulation models of each EBG PDN are shown in Fig. 3(e) and (f), and the locations of ports 1 and 2 are (5 mm, 5 mm) and (40 mm, 40 mm), respectively. responses of the Fig. 6(a) and (b) shows the simulated hybrid EBG PDNs using the 1-D and 2-D circuit-level models. ’s of the EBG PDN using method The simulated 2 are 269 MHz (1-D models) and 358 MHz (2-D models), re’s of the EBG spectively, while the simulated PDN using method 3 are 300 MHz (1-D models) and 270 MHz

184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

(2-D models), respectively. They show good correlations with the calculated value of 300 MHz using (7b) and (7c). The noise suppression bandwidth of the EBG PDN using method 2 is limited by the first resonant frequency of the patches, which is equal to 5.14 GHz. However, that of the EBG PDN using method 3 is limited by the parallel resonant and , which is equal to 1.18 GHz. frequency of The bandwidth of the passband is determined by the coupling factor between the parallel resonators, which is equal to plus . B. PDN Applications of Each EBG Structure—Time-Domain Analysis In order to study the feasibilities of the PDN applications, the developed 2-D circuit level simulation models of the EBG PDNs are incorporated with 16-bit output drivers, which are designed using United Microelectronics Corporation (UMC) 0.13- m digital CMOS technology, and are supposed to generate the SSN. Including the active circuits results in more realistic switching noise characteristics of the EBG PDNs because the noise generation characteristics are considered. Packaging related parasitic components such as pad capacitance and bond-wire or lead-frame inductance are not included in the simulations. Only parasitics of the PDN are considered. Fig. 7 shows the schematics of the chip-PDN co-simulation environments including: (a) the CMOS 16-bit output drivers, (b) the input voltage waveforms with 500- and 2500-ps rise times, (c) the output voltage waveforms, and (d) the short-circuit transient current waveforms, respectively. The input voltages with 500- and 2500-ps rise times result in the short-circuit transient currents with 106- and 735-ps rise times, which correspond to signal bandwidths of 3.3 GHz and 476 MHz, respectively. The output drivers are designed using CMOS inverters, which have dimensions of 80 m/0.13 m for pMOS and 40 m/0.13 m for NMOS. VDD_SUPPLY and GND_SUPPLY stand for the locations of the VDD and GND connections between the dc power supply and the PDN, respectively, while VDD_CHIP and GND_CHIP are the locations of the VDD and GND connections between the PDN and the chip, which embed the CMOS 16-bit output drivers. By co-simulating the PDNs with the output drivers, the noise generation characteristics, as well as the noise suppression characteristics, can be analyzed. Three different types of PDNs are considered. Fig. 7(e) shows the schematics of the 2-D solid plane pair PDN and Fig. 7(f) shows the EBG PDN with the series lumped chip inductors (method 2) or the shunt lumped chip capacitors (method 3). The locations of all ports are also shown in Fig. 7(e). Fig. 8(a)–(c) shows the generated (port 1) and propagated (port 4) noise voltages on the 2-D solid PDN, EBG PDN using method 2, and EBG PDN using method 3, respectively for 2500-ps rise time of the input voltages. The VDD_/GND_SUPPLY and VDD_/GND_CHIP connections are located in the same patch; specifically the VDD_/GND_CHIP connections are located at port 1 and the VDD_/GND_SUPPLY connections are located at (0 mm, 5 mm). The generated noise voltages during the transient times are similar to each other; however, the waveforms of the excited

Fig. 8. Generated and propagated noise voltages with rise time of 2500 ps. VDD_/GND_CHIP and VDD_/GND_SUPPLY connections are located at the same patch. (a) 2-D solid PDN. (b) EBG PDN using method 2. (c) EBG PDN using method 3.

noise voltages are quite different. Due to the wide bandwidth of the transient current, several resonant frequencies are excited, e.g., the excited frequencies of 416 MHz, 1.76 GHz, and 299 MHz correspond to the series resonant frequencies of the VDD_SUPPLY to GND_SUPPLY loop for the 2-D solid PDN, the EBG PDN using method 2, and the EBG PDN using method 3, respectively. In consequence, the wide stopband characteristics of the EBG PDNs are essential to suppress the propagation of the generated switching noise. The EBG PDN using method 2 generates the largest amplitude of switching noise voltage due to its inductive boundary conditions. The amplitudes of the excited noise voltage are dependent on the frequency components of the short-circuit currents and the input impedance at the VDD_/GND_SUPPLY connections. For brevity, only the propagated noise voltages at port 4 on each PDN are plotted. The noise voltage suppression ratios, which are defined as the ratio of the propagated noise voltage of the EBG PDN using method 2 or 3 to that of the 2-D solid plane PDN, are 11% for the EBG PDN using method 2 and 33.3% for the EBG PDN using method 3. The frequencies of the propagated noise voltages correspond to the passband frequencies of the EBG PDNs shown in Fig. 6(a) and (b). Notice that the

KIM AND SCHUTT-AINÉ: ANALYSIS AND MODELING OF HYBRID PLANAR-TYPE EBG STRUCTURES AND FEASIBILITY STUDY ON PDN APPLICATIONS

185

TABLE III SUMMARY OF NOISE GENERATION AND SUPPRESSION CHARACTERISTICS OF EBG STRUCTURES USING METHODS 2 AND 3

which are connected at other patches. This increases the effective inductance of the VDD_SUPPLY to VDD_CHIP path of the EBG PDN and corresponding switching noise voltage, which is given by (11)

Fig. 9. Generated and propagated noise voltages with rise time of 500 ps. VDD_/GND_CHIP and VDD_/GND_SUPPLY connections are located at the same patch. (a) 2-D solid PDN. (b) EBG PDN using method 2. (c) EBG PDN using method 3.

where is the number of the switching gates, is the time , , and derivative of the switching current, and are the effective inductance of the VDD plane, that of the ground plane, and mutual inductance between them of the EBG PDN, respectively. The increased inductance of the VDD_SUPPLY to VDD_CHIP path is a potential limitation of the EBG application to the PDN designs and the hybrid EBG PDN using the lumped chip inductors are only applicable to package designs. Previously published planar-type EBG structures [8], [9] have the same limitations due to the bridge inductances. Table III summarize the switching noise generation and suppression characteristics of EBG structures using method 2 and method 3. V. CONCLUSION

frequencies of the generated noise voltages at port 1 and the propagated noise voltages at port 4 are not identical because the frequencies of the generated noise voltages are dependent on the input impedances at the VDD_/GND_SUPPLY connections. Fig. 9(a)–(c) shows the generated (port 1) and propagated (port 4) noise voltages on the 2-D solid PDN, EBG PDN using method 2, and EBG PDN using method 3, respectively for 500-ps rise time of the input voltages. Due to the narrow noise suppression bandwidth of the EBG PDN using method 3, the suppression noise voltage ratio is degraded to 76%. C. Drawback for Hybrid EBG PDN Using Lumped Chip Inductors It is important to note that if the VDD_/GND_SUPPLY and VDD_/GND_CHIP connections are not located in the same patch, then the increased inductance of the VDD_SUPPLY to VDD_CHIP path results in a large amount of switching noise. As shown in Fig. 7(d), the switching current waveforms have wide bandwidth of the frequency components, however, the EBG PDNs block not only the propagation of the switching noise voltages to other patches, but also the sourcing/sinking of the currents from the VDD_/GND_SUPPLY connections,

In this paper, a 1-D analysis model of the hybrid planar-type EBG structures is developed. Based-on the analysis results, design equations, which define the noise suppression bandwidth of EBG structures, are derived and three different hybrid design methods to reduce the cutoff frequency of the EBG structures are discussed. 2-D circuit-level simulation models are also developed and experimentally verified. Using the developed 2-D circuit-level simulation models, two types of cutoff frequencyenhanced EBG structures are co-simulated with CMOS 16-bit output drivers to study on the feasibilities of the PDN applications. The hybrid EBG PDN using the lumped chip inductors shows efficient noise suppression characteristics in both the frequency and time domains. However, the increased effective inductance between the power supply and active circuits when they are not connected in the same plane results in considerable switching noise voltages, which are potential limitations for the application of PDN design. REFERENCES [1] R. R. Tummala, “SOP: What is it and why? A new microsystem-integration technology paradigm-Moore’s law for system integration of miniaturized convergent systems of the next decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 241–249, May 2004.

186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

[2] C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New York: Wiley, 1997, pp. 736–749. [3] W. Cui, J. Fan, Y. Ren, H. Shi, J. L. Drewniak, and R. E. DuBroff, “DC power-bus noise isolation with power-plane segmentation,” IEEE Trans. Electromagn. Compat., vol. 45, no. 5, pp. 436–443, May 2003. [4] I. Novak, “Reducing simultaneous switching noise and EMI on ground/power planes by dissipative edge termination,” IEEE Trans. Adv. Packag., vol. 22, no. 3, pp. 274–283, Aug. 1999. [5] T. Tarvainen, “Simplified modeling of parallel plate resonances on multilayer printed circuit boards,” IEEE Trans. Electromagn. Compat., vol. 42, no. 8, pp. 284–289, Aug. 2000. [6] T. Kamgaing and O. M. Ramahi, “A novel power plane with integrated simultaneous switching noise mitigation capability using high impedance surface,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 21–23, Jan. 2003. [7] R. Abhari and G. V. Eleftheriades, “Suppression of the parallel-plate noise in high-speed circuits using a metallic electromagnetic bandgap structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 493–496. [8] T.-L. Wu, Y.-H. Lin, T.-K. Wang, C.-C. Wang, and S.-T. Chen, “Electromagnetic bandgap power/ground planes for wideband suppression of ground bounce noise and radiated emission in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2935–2942, Sep. 2005. [9] X.-H. Wang, B.-Z. Wang, Y.-H. Bi, and W. Shao, “A novel uniplanar compact photonic bandgap power plane with ultra-broadband suppression of ground bounce noise,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 267–268, May 2006. [10] W. McKinzie, “A low frequency hybrid EBG structure for power plane noise suppression,” in Proc. 15th IEEE Elect. Perform. Electron. Packag., Scottsdale, AZ, Oct. 2006, pp. 51–54. [11] K. H. Kim and J. E. Schutt-Ainé, “Design of EBG power distribution networks with VHF-band cutoff frequency and small unit cell size for mixed-signal systems,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 489–491, Jul. 2007. [12] J. Choi, “Noise suppression and isolation in mixed-signal systems using alternating impedance electromagnetic bandgap (AI-EBG) structure,” Ph.D. dissertation, School Elect. Comput. Eng., Georgia Inst. Technol., Atlanta, GA, 2005. [13] M. Rahman and M. A. Stuchly, “Transmission line-periodic circuit representation of planar microwave photonic bandgap structures,” Microw. Opt. Technol. Lett., vol. 30, no. 1, pp. 15–19, Jul. 2001. [14] “Datasheets,” Coilcraft Inc., Cary, IL, 2007. [Online]. Available: http:// www.coilcraft.com [15] “Datasheets,” Murata Manufacturing Company Ltd., Smyrna, GA, 2007. [Online]. Available: http://www.murata.com [16] H. W. Johnson and M. Graham, High-Speed Digital Design, 1st ed. New York: Prentice-Hall, 1993, pp. 258–260. [17] R. Schmitt, X. Huang, L. Yang, and X. Yuan, “Modeling and hardware correlation of power distribution networks for multi-gigabit designs,” in Proc. 54th Electron. Compon. Technol. Conf., Las Vegas, NV, Jun. 2004, pp. 1759–1765.

[18] D. F. Sievenpiper, “High-impedance electromagnetic surfaces,” Ph.D. dissertation, Dept. Elect. Eng., Univ. California, Los Angeles, CA, 1999. [19] K. Eharath, E. Engin, M. Swaminathan, K. Uriu, and T. Yamada, “Efficient modeling of package power delivery networks with fringing fields and gap coupling in mixed signal systems,” in Proc. 15th IEEE Elect. Perform. Electron. Packag., Scottsdale, AZ, Oct. 2006, pp. 59–62. [20] R. Garg and I. J. Bahl, “Characteristics of coupled microstriplines,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 7, pp. 700–705, Jul. 1979. [21] M. Hampe and S. Dickmann, “Improving the behavior of PCB power-bus structures by an appropriate segmentation,” in IEEE Int. Electromagn. Compat. Symp., Chicago, IL, Aug. 2005, pp. 961–966. Ki Hyuk Kim (M’05) received the Ph.D. degree in electronics engineering from Korea University, Seoul, Korea, in 2005. From 2001 to 2005, he was with Solid Technologies Inc., Seoul, where he was involved in research and development on mobile communication equipments for (W)CDMA and Wibro, as a member of the research and development staff. He is currently with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, where he is a Post-Doctoral Researcher. His research interests are in the design of mixed-signal/RF integrated circuit and board-level high-frequency systems using system-in-package (SiP)/system-on-packagae (SoP) technology. José E. Schutt-Ainé (S’86–M’86–SM’98–F’07) received the B.S. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1981, and the M.S. and Ph.D. degrees from the University of Illinois at Urbana-Champaign (UIUC), in 1984 and 1988, respectively. Upon graduation, he joined the Hewlett-Packard Technology Center, Santa Rosa, CA, as an Application Engineer involved with microwave transistors and high-frequency circuits. In 1983, he joined UIUC, and then joined the Electrical and Computer Engineering Department as a member of the Electromagnetics and Coordinated Science Laboratories where he currently specializes in the study of signal integrity for high-speed digital and high-frequency applications. He has been a consultant for several corporations. His interests span the spectrum from microwave measurements to the generation of computer-aided design (CAD) tools for electronic systems. Dr. Schutt-Aine was the recipient of several research awards including the 1991 National Science Foundation (NSF) MRI Award, the 1992 National Aeronautics and Space Administration (NASA) Faculty Award for Research, the 1996 NSF MCAA Award, and the 2000 UIUC–National Center for Superconducting Applications (NCSA) Faculty Fellow Award. He currently serving as Editor-in-Chief of the IEEE Transactions on Advanced Packaging.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

187

Design of Triple-Passband Microwave Filters Using Frequency Transformations Juseop Lee, Member, IEEE, and Kamal Sarabandi, Fellow, IEEE

Abstract—This paper introduces a synthesis method for triplepassband microwave filters. A frequency transformation is developed for finding the locations of poles and zeros of the triple-passband filter. The poles and zeros obtained as such are optimized to achieve a transfer function with a reduced number of transmission zeros in order to reduce the number of cross-couplings. Sixand 12-pole triple-passband filters are synthesized for validation of this proposed method. A 12-pole triple-passband filter is fabricated with a microstripline structure and shown to provide good agreement between synthesis and measurement results. Finally, the frequency transformation for asymmetric triple-passband filters is briefly discussed. Index Terms—Circuit synthesis, microwave filters, triple-passband filters.

zeros can be removed to reduce the number of cross-couplings. A minor optimization (or trial-and-error method) is needed to obtain the required frequency response. The proposed method using frequency-transformed locations of poles and zeros allows for rather accurate determination of initial values. Compared to the direct optimization, this makes it easy to find the desired transfer function with a reduced number of transmission zeros. Six- and a 12-pole triple-passband filters are synthesized to validate the proposed method. A 12-pole triple-passband filter is measured and the response shows good agreement with theory. Finally, the frequency transformation for designing asymmetric triple-passband filters is given and discussed. II. DESIGN THEORY

I. INTRODUCTION A. Transfer Function S MODERN communications systems use a complex arrangement of frequency allocation and spatial coverages, filters are required to have more complicated spectral responses such as an elliptic function response for high frequency selectivity, self-equalized response for reduced group-delay variation, and multiple-passband response for simultaneous transmission of multiple noncontinuous channels. Recently, microwave bandpass filters with two passbands have been reported. Dual-passband filters can be used to transmit two noncontinuous channels through one beam to the same service coverage area. A dual-passband coaxial resonator filter was reported [1] and dual-mode dual-passband circular waveguide resonator filters for satellite applications were designed by an optimization method [2]–[4]. Furthermore, for reduced group-delay variation in passbands, the synthesis method for a self-equalized dual-passband filter is presented in [5]. Analytic synthesis methods for dual-passband filters using frequency transformation techniques have also been of great interest [6]–[8]. However, these analytic synthesis methods are inadequate for designing triple-passband filters. This paper deals with developing a design methodology for triple-passband filters. A frequency transformation is developed for the synthesis of a triple-passband filter. The transfer function for the coupling matrix can be obtained from the frequencytransformed locations of poles and zeros. In cases where the frequency selectivity can be compromised, some transmission

A

Manuscript received June 19, 2007; revised September 27, 2007. The authors are with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109-2122 USA (e-mail: [email protected]; saraband@eecs. umich.edu). Digital Object Identifier 10.1109/TMTT.2007.912206

It has been proven that the transmission zeros can be used to split a single passband into multiple passbands. Due to the transmission zeros, the characteristic function of a multiple-passband filter can be written as a rational function (1)

and are the normalized frequency for the where multiple-passband filter. and are poles and zeros of the filter, respectively. This characteristic function determines the frequency response of the filter. With the given characteristic function, the magnitude of the transfer function can be written as (2) where is a ripple constant. Taking only the roots in the left-half plane of the domain, we can obtain the following form of the transfer function:

(3)

where and are the number of poles and zeros, respectively. The poles and zeros of the triple-passband filter can be obtained by a direct optimization method or analytic method depending on the filtering function. In Section II-B, we introduce a frequency transformation for finding the locations of poles and zeros for a desired response analytically.

0018-9480/$25.00 © 2007 IEEE

188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Frequency response of a two-pole low-pass filter prototype with the transmission zero at j 25:0 and return loss of 20 dB.

6

Fig. 1. Frequency response of the filter in , , and ! domain. The coupling matrix of the dual-passband filter is obtained from the transfer function in

domain.

B. Frequency Transformation for Triple-Passband Filters Fig. 1 shows the frequency response of the filter in three different frequency domains. is the normalized frequency for a is the normalized single-passband low-pass prototype and frequency for a triple-passband filter. The domain is the actual frequency domain where the filter operates. The frequency transformation from to can be expressed as follows: (4) where and unknown variables , , and are deterand . The 1 in the domain is transformed to mined by 1 and in the domain. 1 in the domain is also transin the domain. Therefore, unknown variables in formed to (4) can be found by simultaneously solving the following equations:

domain to the The frequency transformation from the domain is a well-known transformation and can be expressed as (6) where . Considering the fact that normalized frequencies 1 and 1 in the domain are transformed to and , and as follows: respectively, we can obtain

(7) We can decide and from the fact that 1, , , , , and 1 in the domain are transformed to , , , , , and , respectively. It is worth mentioning that the frequency transformation technique is valid for narrowband filters. Therefore, if bandwidths of passbands of the narrowband filter in the domain are identical to each other, it can be approximated that the filter has three passbands with the same bandwidth as in the domain. III. FILTER SYNTHESIS Here, six- and a 12-pole triple-passband filters are synthesized to describe the presented filter synthesis theory. A. Six-Pole Filter

(5) Using (4), we can obtain the location of poles and zeros in analytically. In the case that some of the transmission zeros in the domain are redundant for satisfying the frequency selectivity, these might be removed and other remaining poles and zeros can be adjusted.

Fig. 2 shows a single-passband low-pass prototype in the domain. A pair of transmission zeros are located at and the return loss is set to be 20 dB. With the given transmission zeros and return loss, the poles of the filter can be easily found . Using the frequency [9]. The poles are located at transformation given in (4), we can find the poles and zeros of and the triple-passband filter in the domain. For , the unknown coefficients in (5) are , , and . Fig. 3 shows the normalized

LEE AND SARABANDI: DESIGN OF TRIPLE-PASSBAND MICROWAVE FILTERS USING FREQUENCY TRANSFORMATIONS

Fig. 3. Frequency response of a low-pass filter prototype for triple-passband filter.

frequency response of the triple-passband filter. The poles and domain are zeros in the

189

Fig. 4. Frequency response of a low-pass filter prototype for triple-passband filter. This response is obtained by rearranging the poles and zeros of the frequency response shown in Fig. 3 after removing a pair of outermost transmissions zeros.

poles and zeros. The newly obtained poles and zeros in the domain are

(8) (10) and in (8), the From (1) and (2) with the obtained transfer function in the form of (3) can be obtained and the coefficients are as follows:

From (1), (2), and (10), the coefficients of the transfer function in the form of (3) for the frequency response in Fig. 4 can be obtained as follows:

(9) (11) Since we have the transfer function in the form of (3), the coupling matrix can be easily obtained. This six-pole filter transfer function has six transmission zeros. We can also have another transfer function with a reduced number of transmission zeros. The reason of removing some transmission zeros is to reduce the number of cross-coupling. For example, we can remove a pair and, using simple trial-and-error of transmissions at (or optimization), the location of poles and zeros for the desired frequency response can be obtained. To preserve the bandand were width of the triple-passband filter, dB ( and set to 20 dB and the criteria of ) 19.5 dB was used for pole/zero rearrangement. Fig. 4 shows the frequency response of the triple-passband filter after removal of a pair of transmission zeros and small adjustment of

and the coupling matrix is given in (12) as follows:

(12) The poles and zeros of the filter response in Fig. 4 can be determined by a direct optimization process. The convergence

190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 5. Frequency response of a four-pole low-pass filter prototype with the transmission zero at j 2:0 and return loss of 20 dB.

6

of the optimization depends on the initial values. Therefore, the poles and zeros in Fig. 3, which can be easily obtained by the proposed frequency transformation given by (4), are good initial values for an optimization process or trial-and-error approach.

Fig. 6. Frequency response of a low-pass filter prototype for triple-passband filter.

passbands are removed. In other words, the overlapped transare removed and poles and zeros are remission zeros at arranged. The rearranged poles and zeros are

B. 12-Pole Filter Fig. 5 shows the frequency response of a single-passband low-pass prototype in the domain. A pair of transmission zeros are located at and return loss is set to be 20 dB. With the given transmission zeros and return loss, the poles of the filter can be easily found. The poles are located and . For and at , the unknown coefficients in (4) are , , and . Using the frequency transformation given in (4), we can find the poles and zeros of the domain triple-passband filter in the

(14) From (1), (2), and (14), the coefficients of the transfer function in (3) can be obtained as follows:

(15) (13) The frequency response in the domain is shown in Fig. 6. As discussed in Section III-A, the transfer function can be calcudomain and finally lated from the pole/zero locations in the the coupling matrix can be obtained. In Section III-A, we removed a pair of outermost transmission zeros to reduce the number of cross-coupled elements and rearranged the location of the poles and zeros for the required response. Here, the transmission zeros in stopbands between two

Fig. 7 shows the frequency response of the filter with a reduced number of transmission zeros. The coupling matrix of the frequency response shown in Fig. 7 is given in (16), shown at the bottom of the following page. IV. MEASUREMENTS Here, the measured result of the 12-pole triple-passband filter is discussed briefly. The coupling matrix obtained above are used for filter design. The microstrip open-loop resonators are

LEE AND SARABANDI: DESIGN OF TRIPLE-PASSBAND MICROWAVE FILTERS USING FREQUENCY TRANSFORMATIONS

Fig. 7. Frequency response of a low-pass filter prototype for triple-passband filter. This response is obtained by rearranging the poles and zeros of the frequency response shown in Fig. 6 after removing repeated transmissions zeros at

.

6

adopted in filter design. The Rogers 5880 substrate with a dielectric constant of 2.2 and dielectric thickness of 0.787 mm is used for filter design. Since the design procedure for microstrip open-loop resonator filters are well described in [10], it is not repeated in this paper. A 12-pole triple passband filter is designed to have the center ) frequency of 2 GHz and the overall bandwidth ( of MHz. Figs. 8 and 9 show the microstrip layout and measured results of the 12-pole triple-passband filter, respectively. The tuning process has been applied to the filter in order to compensate for the errors. The potential sources of errors are frequency-dependent coupling coefficients, discrepancies between the actual and desired coupling coefficients, unwanted couplings, and fabrication error [11]. The tuning was mainly applied to the open gap of each resonator by trimming or using some tuning elements, as described in [12]. It is shown that three passbands are established and the measured response agrees well with the synthesis results. It should be noted that

191

Fig. 8. Microstrip layout of the 12-pole triple-passband open-loop resonator filter. b = 2:42, r = 17:2, g = 2:02, g = g = g = g = g = 2:36, d = 0:30, d = 0:40, d = 0:70, d = 0:95, d = 0:52, d = 1:10, = 3:00, d = 10:10, d = 1:36, and d = 2:10. All dimensions are d in millimeters.

synthesized frequency response in Fig. 9 is obtained theoretically from the coupling matrix and frequency transformation thus does not account for the losses due to the finite factor of the resonators. Basically, the insertion loss observed in the measurement is resulted from the finite factor of the resonators. Although, for demonstration, this filter has somewhat high insertion loss and rounded passband edges caused by the finite factor of resonators, its performance is good enough to show how the presented synthesis method works. V. ASYMMETRIC TRIPLE-PASSBAND FILTER Triple-passband filters with symmetric frequency responses have been dealt with above. Here, the frequency transformation for asymmetric triple-passband filters is briefly discussed. Fig. 10 shows the frequency responses of an asymmetric domains. For the asymtriple-passband filter in the and metric triple-passband filter, the frequency transformation can be written as follows:

(16)

192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 11. Frequency response of an asymmetric triple-passband filter in domain. = 0:80, = 0:05, = 0:25, and = 0:60.

0

0

where unknown variables , , , , , and can be determined by simultaneously solving the following equations:

Fig. 9. Measured and synthesized frequency response of the 12-pole triplepassband open-loop resonator filter.

(18) Fig. 11 shows the frequency response of the asymmetric triple-passband filter obtained by using the frequency transformation in (17) and a low-pass filter prototype in Fig. 5. Note that each passband can be designed to have arbitrary bandwidths. VI. CONCLUSIONS

Fig. 10. Frequency response of the asymmetric triple-passband filter in and

domains.

This paper have presented a synthesis method for triple-passband filters using frequency transformation. The presented frequency transformation generates multiple passbands with poles of the same number and return loss of the same level. Six- and a 12-pole triple-passband open-loop resonator filters have been synthesized to show the validation of the proposed synthesis approach. The measured result of the 12-pole filter has shown good agreement with the synthesized response. The frequency transformation for asymmetric triple-passband filters has also been presented for a general case. REFERENCES

(17)

[1] D. R. Jachowski, “Folded multiple bandpass filter with various couplings,” U.S. Patent 5 410 284, Apr. 25, 1995.

LEE AND SARABANDI: DESIGN OF TRIPLE-PASSBAND MICROWAVE FILTERS USING FREQUENCY TRANSFORMATIONS

[2] S. Holme, “Multiple passband filters for satellite applications,” in Proc. 20th AIAA Int. Commun. Satellite Syst. Conf. and Exhibit, 2002, Paper AIAA-2002–1993. [3] J. Lee, M. S. Uhm, and I.-B. Yom, “A dual-passband filter of canonical structure for satellite applications,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 271–273, Jun. 2004. [4] V. Lunot, S. Bila, and F. Seyfert, “Optimal synthesis for multi-band microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 115–118. [5] J. Lee, M. S. Uhm, and J. H. Park, “Synthesis of self-equalized dualpassband filter,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 256–258, Apr. 2005. [6] G. Macchiarella and S. Tamiazzo, “Design techniques for dual-passband filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3265–3271, Nov. 2005. [7] R. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [8] J. Lee and K. Sarabandi, “A synthesis method for dual-passband microwave filters,” IEEE Trans. Microw. Theory. Tech., to be published. [9] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [10] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [11] J.-S. Hong, M. J. Lancaster, and J.-C. Mage, “Cross-coupled HTS microstrip open-loop resonator filter on LAO substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 1559–1562. [12] J.-S. Hong and M. J. Lancaster, “Design of highly selective microstrip bandpass filters with a single pair of attenuation poles at finite frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1098–1107, Jul. 2000.

Juseop Lee (A’02–M’03) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, Korea, in 1997 and 1999, respectively, and is currently working toward the Ph.D. degree at The University of Michigan at Ann Arbor. In 1999, he joined LG Electronics (formerly LG Information and Communications), where his research activities included reliability analysis of RF components for code-division multiple-access (CDMA) cellular systems. In 2001, he joined the Electronics and Telecommunications Research Institute (ETRI), where he was involved in designing passive microwave equipment for - and -band communications satellites. In 2005, he joined The University of Michigan at Ann Arbor, where he is currently a Research Assistant with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor.

Ku

Ka

193

Kamal Saranbandi (S’87–M’90–SM’92–F’00) received the B.S. degree in electrical engineering from Sharif University of Technology, Tehran, Iran, in 1980, and the M.S. degree in electrical engineering, M.S. degree in mathematics, and Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1986, 1989, and 1989, respectively. He is currently Director of the Radiation Laboratory and a Professor with the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor. He possesses 22 years of experience with wave propagation in random media, communication channel modeling, microwave sensors, and radar systems, and is leading a large research group including two research scientists, 12 doctoral students, and two masters students. He has graduated 24 doctoral students and has supervised numerous postdoctoral students. He has served as the Principal Investigator on numerous projects sponsored by the National Aeronautics and Space Administration (NASA), Jet Propulsion Laboratory (JPL), Army Research Office (ARO), Office of Naval Research (ONR), Army Research Laboratory (ARL), National Science Foundation (NSF), Defence Advanced Research Projects Agency (DARPA), and numerous industries. He has authored or coauthored numerous book chapters and over 145 papers in refereed journals on miniaturized and on-chip antennas, metamaterials, electromagnetic scattering, wireless channel modeling, random media modeling, microwave measurement techniques, radar calibration, inverse scattering problems, and microwave sensors. He also has had over 340 papers and invited presentations in numerous national and international conferences and symposia on similar subjects. He is listed in American Men and Women of Science, Who’s Who in America, and Who’s Who in Science and Engineering. His research areas include microwave and millimeter-wave radar remote sensing, metamaterials, electromagnetic wave propagation, and antenna miniaturization. Dr. Sarabandi is a member of the NASA Advisory Council appointed by the NASA Administrator. He has also served as a vice president of the IEEE Geoscience and Remote Sensing Society (GRSS) and as a member of the IEEE Technical Activities Board Awards Committee. He serves as an associate editor for PROCEEDINGS OF THE IEEE and has served as an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION and the IEEE SENSORS JOURNAL. He is also a member of Commissions F and D of URSI. He was the recipient of the Henry Russel Award presented by the Regent of The University of Michigan at Ann Arbor. He was the recipient of the 1999 German American Academic Council (GAAC) Distinguished Lecturer Award presented by the German Federal Ministry for Education, Science, and Technology, which is given to approximately ten individuals worldwide in all areas of engineering, science, medicine, and law. He was a recipient of a 1996 Electrical Engineering and Computer Science (EECS) Department Teaching Excellence Award and a 2004 College of Engineering Research Excellence Award. He was a recipient of the IEEE Geoscience and Remote Sensing Distinguished Achievement Award and The University of Michigan at Ann Arbor Faculty Recognition Award, both in 2005. He was also a recipient of the Best Paper Award presented at the 2006 Army Science Conference. Over the past several years, joint papers presented by his students at numerous international symposia (IEEE APS’95,’97,’00,’01,’03,’05,’06, ’07; IEEE IGARSS’99,’02, ’07; IEEE MTT-S IMS’01; USNC URSI’04,’05,’06; AMTA’06) have received Student Paper Awards.

194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Design of Ultra-Wideband Three-Way Arbitrary Power Dividers Amin M. Abbosh

Abstract—A method to design arbitrary three-way power dividers with ultra-wideband performance is presented. The proposed devices utilize a broadside-coupled structure, which has three coupled layers. The method assumes general asymmetric coupled layers. The design approach exploits the three fundamental modes of propagation: even–even, odd–odd, and odd–even, and the conformal mapping technique to find the coupling factors between the different layers. The method is used to design 1 : 1 : 1, 2 : 1 : 1, and 4 : 2 : 1 three-way power dividers. The designed devices feature a multilayer broadside-coupled microstrip–slot–microstrip configuration using elliptical-shaped structures. The developed power dividers have a compact size with an overall dimension of 20 mm 30 mm. The simulated and measured results of the manufactured devices show an insertion loss equal to the nominated value 1 dB. The return loss for the input/output ports of the devices is better than 17, 18, and 13 dB, whereas the isolation between the output ports is better than 17, 14, and 15 dB for the 1 : 1 : 1, 2 : 1 : 1, and 4 : 2 : 1 dividers, respectively, across the 3.1–10.6-GHz band. Index Terms—Broadside coupling, power divider, three-way power divider, ultra-wideband (UWB).

I. INTRODUCTION

T

HREE-WAY power dividers are an important part of many microwave systems such as antenna feeders and power amplifiers. The simplest and most popular three-way power divider is the Wilkinson divider [2]. It is a circularly symmetric power divider, which splits an input signal into equal output signals with a good match at all the ports and a high isolation between the output ports. However, the three-way Wilkinson divider presents serious packaging problems. It requires a 3-D floating common node to connect all isolation resistors together. This requirement makes the fabrication difficult and complex, especially at the high-frequency bands [1]. Moreover, the three-way Wilkinson divider is a narrowband device. Hence, it is not suitable for ultra-wideband (UWB) applications, where a good performance is required across the 3.1–10.6-GHz band. To overcome the fabrication difficulties of the Wilkinson three-way divider and to increase the bandwidth, several configurations were proposed [3]–[8]. However, the results shown in those papers indicate a narrowband performance with around Manuscript received June 20, 2007; revised October 16, 2007. This work was supported by the University of Queensland under a Postdoctoral Research Fellowship. The author is with the School of Information Technology and Electrical Engineering, The University of Queensland, St. Lucia, Qld. 4072, Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912170

30% fractional bandwidth, and they are all for equal power division. In [9], a method to design an -way power divider with an arbitrary power split ratio was introduced. According to the proposed method, the divider consists of transmission lines with a quarter-wave-long and resistors. The device should be terminated in arbitrary impedances to improve the matching and isolation of the different ports. This creates a serious realization problem: to achieve the desired performance, too high or low impedance of the transmission lines needs to be used. Moreover, the measured result of the designed divider shows only 30% fractional bandwidth, which makes them unacceptable for the UWB applications. The design presented in [10] is a branch-line multistage multiway power divider. It consists of two or more output lines branching in parallel from an input line. The idea was originally proposed in [11]. Multisection transformers were used to achieve a broadband matching between different sections of the device. The design presented in [10] and the other similar designs shown in [12] and [13] suffer from many serious manufacturing and performance problems: very low impedances are required to achieve a good matching between the different sections of the divider, and a poor isolation was noticed between the output ports. Moreover, the developed devices exhibit an acceptable power distribution only across less than 65% fractional bandwidth. The branch-line three-way divider presented in [14] uses realizable values for matching impedances, but it has less than 50% fractional bandwidth. The broadband high-pass characteristic of the tapered-line impedance transformers was utilized in [15] to build a three-way power divider. It uses resistive films or strip resistors, which cover all or part of the area between the tapered-line conductors, to obtain a good output isolation. However, those resistors cause a significant insertion loss at the high-frequency range. Thus, they degrade the performance of the divider and limit its useful bandwidth. A modified configuration of the Wilkinson three-way power divider was introduced in [16]. The proposed configuration can transform the three-way Wilkinson power divider from a 3-D configuration into a 2-D one. However, the measured result of the divider reveals a narrowband performance. Furthermore, it requires a narrow spacing between the coupled microstrip lines. This makes the fabrication process difficult, knowing that its performance is sensitive to the coupled lines spacing. Recently in [17], a multilayer, broadside-coupled, and elliptical-shaped microstrip-slot-microstrip configuration was used to build UWB equal-power three-way divider. The configuration used in [17] was originally proposed by the author to de-

0018-9480/$25.00 © 2007 IEEE

ABBOSH: DESIGN OF UWB THREE-WAY ARBITRARY POWER DIVIDERS

sign UWB directional couplers [18]. The three-way divider presented in [17] is composed of three broadside-coupled layers, i.e., the mid layer, which contains the input port and the direct connected output port, the top layer, which contains one of the coupled output ports, and the bottom layer, which contains the other coupled output port. The coupling between the mid and top layers was assumed to be equal to that between the mid and bottom layers. The top and bottom layers were assumed to be perfectly isolated. With these assumptions, it was possible to approximate the three coupled layers with a separate pair of two coupled layers. A simple method based on the two coupled-lines theory was used to calculate the required odd and even impedance for the different coupled layers. Due to this, the method is limited to the special case of an equal power output from the coupled ports. In this paper, a method to design arbitrary three-way power dividers with an UWB performance is presented. The design method exploits the three fundamental modes of propagation in three coupled lines (even–even, odd–odd, and odd–even) [19]–[25] and the conformal mapping technique [26] to find the coupling factors between the three coupled layers. The method considers the general case of asymmetrical coupled lines. The isolation between the coupled output ports can be calculated using the proposed method. Three devices with different power ratios were designed and manufactured. The simulated and measured results show that the insertion loss is equal to the 1 dB for each of the three output ports nominated value across the 3.1–10.6-GHz band. The proposed dividers exhibit better than 17-dB return loss at their input/output ports with more than 15-dB isolation between their output ports across the ultra-wide frequency band. II. ANALYSIS OF THE BROADSIDE-COUPLED STRUCTURE The analysis of multiconductor edge-coupled systems has been extensively investigated [19]–[25]. The introduced methods are based on the use of the capacitance, immittance, or -parameter matrix of the system. Recently, the multilayer broadside-coupled structures have received an increased interest due to the new multilayer techniques adopted by the modern design technology such as low-temperature co-fired ceramics and laminated multichip modules. The broadside-coupled microstrip-slot-microstrip structures were well investigated for the case of two coupled layers [18], [27], [28]. Here, a closed-form solution is presented for the analysis of the broadside-coupled three-layer structure, which uses a microstrip–slot–microstrip configuration. The proposed method is used to design arbitrary three-way power dividers. The configuration of the proposed multilayer three-way power divider, which uses elliptical-shaped patches, is shown in Fig. 1. It consists of five conductive layers interleaved by four dielectrics. The input and one of the output ports (port 4), which are stripline ports, are located at the mid layer of the structure, while the other two microstrip output ports (ports 2 and 3) are at the top and bottom layers. The ground plane, which also includes the coupling slots, is at the second and fourth layers of the circuit. There are two additional ports, which are isolated from the input port, and thus, they have no power output. They

195

Fig. 1. Configuration of the proposed broadside-coupled three-way power divider. (a) Different layers of the structure. (b) Composite structure.

are terminated in matched loads to absorb any reflected signal from the output ports, and hence, improve their isolation. It is worthwhile to mention that the elliptical shape was chosen for the coupled structures shown in Fig. 1 because it provides some sort of a tapered coupled configuration. Hence, it gives an almost constant coupling factor across the UWB, which results in a constant power division across that band. The structure presented in Fig. 1 can be fully analyzed using the three fundamental modes of operation: even–even, odd–odd, and odd–even. Distribution of the electric field lines between the three broadside-coupled layers for the three fundamental modes is shown in Fig. 2. The excitations needed to generate the three modes are shown in Fig. 2. They are considered according to the definition given in [19]–[24]. For the even–even mode, the three layers are excited in-phase, whereas in the odd–odd mode, the top and bottom layers are out-of-phase with respect to the mid layer. In the odd–even mode, the top and bottom layers are out-of-phase with each other and the mid layer is at zero potential. It is worth mentioning that some authors used the terms odd and even modes with symmetrical and asymmetrical coupled lines [29], [30], whereas other authors replaced it for the modes) [19], case of asymmetrical coupled lines with ( -, - and modes) [31]. [24] or ( The structure displayed in Fig. 1 has six ports. Due to symmetry, the performance of the three ports at the left of the structure, i.e., input port 1 and output ports (2 and 3), is similar to their counterparts, i.e., output port 4 and the two matched ports. According to the characteristics of the backward directional couplers, the input port and the two matched ports shown in Fig. 1 are isolated. Therefore, the analysis that follows concentrates only on the calculation of the coupling between the input port and the two output ports 2 and 3. The power output from port

196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

For the odd–odd mode,

(2) For the odd–even mode,

(3) The characteristic impedance of each of the three lines at any of the three modes can be found using the relation [1] Fig. 2. Distribution of the electric field lines for the three fundamental modes of propagation between three broadside-coupled layers. (a) Even–even. (b) Odd–odd. (c) Odd–even.

(4) where subscript refers to the line number and refers to the mode, is the phase velocity of the mode, and its value can be calculated from the relation [1] (5) where is velocity of light in free space and is the effective dielectric constant of the medium of propagation at the mode . For the three-way device presented in this paper, the broadside coupling between the three layers occurs almost entirely within the substrate. Therefore, the effective dielectric constants for the three modes can be considered equal, and each of them is equal . Hence, the phase to the dielectric constant of the substrate velocity for any mode is (6)

Fig. 3. (a) Per-unit length capacitances of the used structure, and the equivalent capacitance network for the: (b) even–even mode, (c) odd–odd mode, and (d) odd–even mode.

4 can be calculated depending on the value of the input power and the two coupled output powers. Assuming a quasi-transverse electromagnetic propagation, the electrical characteristics of the coupled lines can be completely determined from the effective per unit length capacitances of the lines and the phase velocity on the lines [1]. Therefore, the structures shown in Fig. 3 can be used to analyze performance of the three-way device. In Fig. 3, represents the capacitance per unit length between the line and the ground, whereas is the mutual capacitance per unit length between the lines and . For each of the three modes of propagation, the capacitance for each of the three lines can be determined from Fig. 3(b)–(d). For the even–even mode, (1)

For the configuration of the three-way divider proposed in this paper and shown in Fig. 1, the input port is at the mid layer. This means that the odd–even mode, which occurs when the mid layer is at zero potential, has no effect on the value of the coupling between the input port at the mid layer (port 1 in Figs. 1 and 3) and the two output ports (ports 2 and 3 in Figs. 1 and 3), which are located at the top and bottom layer. Collier and El-Deeb [23] used the same assumption when they analyzed the case of a three parallel coupled lines and the input was connected to the center line. On the other hand, the odd–even mode defines the coupling factor between the two coupled output ports (ports 2 and 3). In order to get a closed-form solution for a general three-layer broadside-coupled case, the structure assumed in this paper is asymmetrical. By extending the method presented by Crystal [29] and used to analyze the coupling between asymmetrical two edge-coupled lines, it is possible to find the coupling factor between the mid layer, where the input port is, and the top layer and between the mid layer and the bottom layer (7) (8)

ABBOSH: DESIGN OF UWB THREE-WAY ARBITRARY POWER DIVIDERS

197

The coupling factors as a function of the capacitances can be obtained by substituting from (4) and (6) into (7) and (8) as follows: (9)

III. DESIGN OF ARBITRARY THREE-WAY POWER DIVIDERS Assume that it is required to design a three-way power divider at the output ports. The required with a power ratio of coupling factor between the mid layer, which is connected to the , and between the mid layer input port, and the top layer should be chosen such that and the bottom layer

(10) (16) It is possible to use a similar analysis to the one in [1], which was used for two coupled lines, to show that in order to design a divider with infinite directivity and a perfect matching at the input/output ports, which have characteristic impedance , then (11) In deriving (11), it was assumed that the coupling between the mid layer and the top and bottom layers is defined by the even–even and odd–odd modes. Substituting from (11) in (7) and (8) results in

The output power from the direct output port (port 4 in Fig. 1) . The dimensions of the elliptical coupled microstrips and slots offering the required coupling factors can be determined by extending the quasi-static approach presented in [18] to the case of a multilayer coupler. Using that approach with the help of the conformal mapping technique [26], the capacitances shown in Fig. 3 can be calculated as a function of the coupled structure’s dimensions. Using the results obtained for the capacitances, the impedances in (7) and (8) or (12) and (13) can be calculated. The final equations are is

(12) (13) Note that for the case of a traditional symmetrical two-line directional coupler, line 3 does not exist, whereas line 1 is similar to 2. Therefore, the coupling factor from (12) is , which is the well-known equation for the ordinary two-line directional coupler [1]. If it is required to find the coupling factor between the top , which is expected to be very small and and bottom layer negligible, an analysis similar to the one used for the coupling factors between the mid layer and the other two layers can be used. The final result is shown here, which is

(17)

(18)

(19) where is the dielectric constant of the substrate, is the , , first kind elliptical integral, and , and are the major diameters of the top, mid, and bottom coupled layers, respectively. The parameters in (17)–(19) are equal to

(20) (14) The equality is used in the above equation because (21) (15) This can be verified from (1)–(3). For the device under consideration, a perfect isolation is required between the output should equal to zero. From ports. Therefore, the factor . (14) and (15), this means that

(22)

198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE I VALUES OF DESIGN PARAMETERS FOR THE THREE-WAY DIVIDERS

(23)

is the where is the thickness of the one layer substrate, wavelength in the propagation medium calculated at the center and are the major diamfrequency of operation, and eters of the upper and lower slots, respectively. Lengths of the coupled layers depend mainly on the wavelength at the center frequency of operation. The method presented in [18] can be , mid , and adopted to calculate the length of the top coupled layer, and the upper and lower bottom slot as in the following equations:

(24) The design parameters used in (17)–(24) are shown in Fig. 1. Inspection of (24) reveals that length of the coupled structure is when the required diameter approaches zero. For any other value, the length is larger than . Parametric and optimization analysis of the above equations using structures of different coupling factors indicate that the required length apwhen the diamproaches a certain maximum value eter approaches , after which, any increase in the diameter has no significant effect on the optimum value for the length. Therefore, in order to generalize the use of (24), it is possible to suggest the following condition on it; (24) can be used when . If it is larger than , then the the diameter is less than . The above condition is based on the length is equal to minimum mean-square-error fitting of the optimization results. The required values for width of the input and output stripline , and microstrip ports to give 50- characteristic impedance can be determined using the well-known stripline/ microstrip equations [1] Using the presented design method, dimensions of the 1 : 1 : 1, 2 : 1 : 1, and 4 : 2 : 1 three-way dividers were calculated and then optimized using Ansoft’s HFSSv10 software. The final dimensions (in millimeters) are shown in Table I. It was found that the optimized values of the design parameters (except ) are less than 5% different from those obtained by the described was found to be less design method. The optimized value of than the calculated value by around 10%.

Fig. 4. Manufactured 4 : 2 : 1 three-way power divider.

IV. RESULTS The validity of the presented design method was tested by building 1 : 1 : 1, 2 : 1 : 1, and 4 : 2 : 1 three-way power dividers aimed at the operation in the UWB 3.1–10.6-GHz range. Rogers , mm, and loss RO4003C (with ) was used as a substrate. A photograph of one of the developed devices (with the ratio 4 : 2 : 1) is shown in Fig. 4. The developed devices have a compact size with an overall dimension of 20 mm 30 mm. The manufactured power dividers were tested via simulations and measurements. The simulations were performed using Ansoft’s HFSSv10 commercial software,

ABBOSH: DESIGN OF UWB THREE-WAY ARBITRARY POWER DIVIDERS

199

Fig. 5. Measured and simulated performance of the 1 : 1 : 1 three-way divider. (a) Insertion loss and return loss. (b) Isolation.

Fig. 6. Measured and simulated performance of the 2 : 1 : 1 three way divider. (a) Insertion loss and return loss. (b) Isolation.

whereas the measurements were done using a vector network analyzer. The simulated and measured performance of the 1 : 1 : 1 divider are shown in Fig. 5. The developed device exhibits an in1 dB sertion loss at the three output ports equal to 4.77 dB across the 3.1–10.6-GHz band revealing an UWB performance. The return loss for the input/output ports and the isolation between the output ports of the device are better than 17 dB across the 3.1-10.6-GHz band. Note that because of symmetry, , and because and due to symmetry, then , , and . The simulated and measured results for the 2 : 1 : 1 divider are presented in Fig. 6. The designed device shows an insertion 1 dB for the direct output (port 4), and 6 dB loss of 3 dB 1 dB for the two coupled outputs across the 3.1–10.6-GHz band indicating a 2 : 1 : 1 power division. The return loss for the input/output ports is better than 18 dB, whereas the isolation

between the output ports of the device is better than 14 dB across , and the UWB. Note that because of symmetry, and due to symmetry, then , because , and . The simulated and measured results for the 4 : 2 : 1 divider are depicted in Fig. 7. The manufactured device shows an insertion loss of 2.5 dB 1 dB for the direct output (port 4), 5.5 dB 1 dB for the top coupled output (port 2), and 8.5 dB 1 dB for the bottom coupled output (port 3) across the 3.1–10.6-GHz band revealing a 4 : 2 : 1 power division. The return loss for the input/output ports is better than 13 dB, whereas the isolation between the output ports of the device is better than 15 dB across the UWB. Concerning the phase performance of the developed devices, the simulated and measured results indicated that the output signals from the coupled ports (2 and 3) are in phase, whereas the output signal from the direct port (port 4) has a 90 phase shift

200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 8. Measured and simulated phase performance of the 4 : 2 : 1 three-way divider.

bottom layers of the three developed devices. The coupling between those two layers is less than 15 dB across the entire UWB (3.1–10.6 GHz) in the three developed devices. It was acfor the top and bottom tually found that layer for a wide range of design parameters. According to (14), this means that the coupling between the top and bottom layer, , is very low compared with and which is defined by . The parameter can be assumed equal to zero during the design procedure and this assumption has no significant effect on the accuracy of the final results. V. CONCLUSION

Fig. 7. Measured and simulated performance of the 4 : 2 : 1 three-way divider. (a) Insertion loss and return loss. (b) Isolation.

with respect to any of the coupled ports. This phase performance can be explained by referring to the fact that the building block of the proposed three-way divider is a quadrature coupler [18]. The simulated and measured performance of one of the developed dividers (the 4 : 2 : 1 power divider) is shown in Fig. 8. The phase difference between the output signals from the coupled ports is equal to zero according to the simulation, whereas it is 3 according to the measured results. The phase equal to 3 difference between each of the coupled ports and the direct port 5 according to the simulation and 98 8 is equal to 95 according to the measurements across the 2–11-GHz band. A better performance was noted for the 1 : 1 : 1 and 2 : 1 : 1 devices, where the phase difference between the coupled ports is less than 3 , while the phase difference between the direct port and 3 . any of the coupled ports is 90 The simulated and measured results shown in Figs. 5–7 indicate that there is a negligible coupling between the top and

A method to design arbitrary three-way power dividers with UWB performance has been presented. The proposed devices utilize a broadside-coupled structure, which has three coupled layers. The design method exploits the three fundamental modes of propagation (even–even, odd–odd, and odd–even) and the conformal mapping technique to find the coupling factors between the different layers. The method has been used to design 1 : 1 : 1, 2 : 1 : 1, and 4 : 2 : 1 three-way power dividers, which have a compact size. The simulated and measured results of the manufactured devices have shown an UWB performance concerning the insertion loss, return loss, and isolation. The analysis presented in this paper should find a good interest from the industry and the academy working in the emerging multilayer technology for UWB applications. The multilayer three-way dividers introduced in this paper are especially suitable to the implementation in the modern multilayer structures such as the laminated multichip modules and the low-temperature co-fired ceramics. In such structures, the broadside coupling is much preferred from a reproducibility and loss perspective. REFERENCES [1] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005.

ABBOSH: DESIGN OF UWB THREE-WAY ARBITRARY POWER DIVIDERS

[2] E. Wilkinson, “An N -way hybrid power divider,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [3] U. Gysel, “A new N -way power divider/combiner suitable for high power applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 1975, pp. 116–118. [4] K. Russell, “Microwave power combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 472–478, May 1979. [5] F. Ardemagni, “An optimized L-band eight-way Gysel power dividercombiner,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 491–495, Jun. 1983. [6] M. Abouzahra and K. Gupta, “Multiport power divider-combiner circuits using circular-sector-shaped planar components,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1747–1751, Dec. 1988. [7] D. Maurin and K. Wu, “A compact 1.7–2.1 GHz three-way power combiner using microstrip technology with better than 93.8% combining efficiency,” IEEE Microw. Guided Wave Lett., vol. 6, no. 2, pp. 106–108, Feb. 1996. [8] F. Alhargan and S. Judah, “Circular and annular sector planar components of arbitrary angle for N -way power divider/combiners,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1617–1623, Sep. 1999. [9] H. Ahn, L. Lee, and N. Myung, “General design equations of N -way arbitrary power dividers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 1, pp. 65–68. [10] M. Kishihara, I. Ohta, and K. Yamane, “Multi-stage, multi-way microstrip power dividers with broadband properties,” IEICE Trans. Electron., vol. E89-C, no. 5, pp. 622–629, 2006. [11] H. Chapell, “Binary power-divider design approach,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 5, pp. 580–581, May 1974. [12] A. Saleh, “Planar electrically symmetric N -way hybrid power divider/ combiners,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 6, pp. 555–563, Jun. 1980. [13] M. Kishihara, K. Yamane, I. Ohta, and T. Kawai, “Broadband multiway microstrip power dividers,” IEICE Trans. Electron., vol. E88-C, no. 1, pp. 20–27, 2005. [14] J. Lim, S. Eom, and S. Nam, “Power divider with various power dividing,” Electron. Lett., vol. 35, no. 22, pp. 1961–1963, 1999. [15] Y. Tahara, H. Oh-hashi, M. Miyazaki, and S. Makinoet, “A broadband three-way tapered-line power divider with several strip resistors,” in Proc. 35th Eur. Microw. Conf., 2005, vol. 1, pp. 49–52. [16] J. Chiu, J. Lin, and Y. Wang, “A novel planar three-way power divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 8, pp. 449–451, Aug. 2006. [17] A. Abbosh, “A compact UWB three-way power divider,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 598–600, Aug. 2007. [18] A. Abbosh and M. Bialkowski, “Design of compact directional couplers for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 189–194, Jan. 2007. [19] S. Yamamoto, T. Azakami, and K. Itakura, “Coupled strip transmission line with three center conductors,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 10, pp. 446–461, Oct. 1966.

201

[20] D. Pavlidis and H. Hartnagel, “The design and performance of three-line microstrip couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 10, pp. 631–640, Oct. 1976. [21] V. Tripathi, “On the analysis of symmetrical three-line microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 9, pp. 726–729, Sep. 1977. [22] Y. Tajirna and S. Kamihashi, “Multiconductor couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 10, pp. 795–801, Oct. 1978. [23] R. Collier and N. El-Deeb, “On the use of a microstrip three-line system as a six-port reflectometer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 10, pp. 847–853, Oct. 1979. [24] E. Abdallah and N. El-Deeb, “On the analysis and design of three coupled microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 11, pp. 1217–1222, Nov. 1985. [25] L. Yu and B. Rawat, “Quasi-static analysis of three-line microstrip symmetrical coupler on anisotropic substrates,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1433–1437, Aug. 1991. [26] R. Collin, Foundations for Microwave engineering, 2nd ed. Piscataway, NJ: IEEE Press, 2001. [27] T. Tanaka, K. Tsunoda, and M. Aikawa, “Slot-coupled directional couplers between double-sided substrate microstrip lines and their applications,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1752–1757, Dec. 1988. [28] M. Wong, V. Hanna, O. Picon, and H. Baudrand, “Analysis and design of slot-coupled directional couplers between double-sided substrate microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2123–2129, Dec. 1991. [29] E. Cristal, “Coupled-transmission line directional couplers with coupled lines of unequal characteristic impedances,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 7, pp. 337–346, Jul. 1966. [30] N. Alexopoulos and C. Krowne, “Characteristics of single and coupled microstrips on anisotropic substrates,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 6, pp. 387–393, Jun. 1978. [31] T. Kitazawa and Y. Hayashi, “Asymmetrical three-line coupled striplines with anisotropic substrates,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 7, pp. 767–772, Jul. 1986. Amin M. Abbosh received the M.Sc. degree in communications systems and Ph.D. degree in microwave engineering from Mosul University, Mosul, Iraq, in 1991 and 1996, respectively. Until 2003, he was a Head of the Computer and Information Engineering Department, Mosul University. In 2004, he joined Griffith University and then the School of Information Technology and Electrical Engineering, The University of Queensland, Brisbane, St. Lucia, Qld., Australia, as a Research Fellow. His research interests include antennas, radio wave propagation, microwave devices, and UWB wireless systems.

202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Broadband Low-Cost Frequency Meters Thorsten Sokoll, Member, IEEE, and Arne F. Jacob, Senior Member, IEEE

Abstract—Two types of low-cost frequency meters for signals from several hundred megahertz up to 8 GHz are presented. The first system utilizes the well-known principle of frequency counters. The second one is based on a novel concept, where the frequency is derived from a direct comparison with a known reference. The two approaches are discussed and compared in terms of their principles and limits. Based on a statistical analysis of the results, this paper demonstrates the advantages of the novel concept for short measurement times. Index Terms—Frequency counter, frequency measurement, measurement accuracy.

I. INTRODUCTION REQUENCY measurements are a common task at microwaves. In the laboratory, these are performed either in the time or frequency domain by means of frequency counters [1] or spectrum analyzers [2], respectively. In both cases, sophisticated and, therefore, costly measurement equipment is usually needed. However, outside the laboratory, as for instance, in mobile systems or for industrial microwave sensors [3, p. 175], compact and low-cost frequency meters featuring low power consumption may be required. The basic architecture of a conventional frequency counter is is guided via an optional shown in Fig. 1. The RF signal at frequency divider with ratio to a gate. This gate is opened for by the controller, which is clocked a given time interval ) reference oscillator. The signal freby a low-frequency ( quency is then determined from the product of the divider ratio and the period count during

F

(1) Whereas the long-term accuracy strongly depends on the stability of the reference oscillator, short-term uncertainty mainly arises from the discretization error bounded by [3, p. 166] (2) To overcome this principle limitation, different techniques have been developed in the past. In the 1980s, reciprocal counters were conceived [4], which, in contrast to conventional ones, base on counting clock cycles needed to cover a specified number of RF periods. Since in this case the reference Manuscript received July 25, 2007; revised October 17, 2007. This work was supported by the German Research Council Deutsche Forschungsgemeinschaft (DFG). The authors are with the Institut für Hochfrequentechnik, Technische Universität Hamburg–Harburg, 21073 Hamburg, Germany (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.912169

Fig. 1. Basic conventional frequency counter.

is to be counted, effective interpolation techniques allow the measurement of fractions of its period, resulting in an improved resolution [5]. Further enhancements are possible by time-stamping techniques based on linear regression methods, which require continuous measurements [1]. A detailed review of further sophisticated time interval measurement techniques is given in [6]. For compact low-cost frequency meters, however, the use of a standard microcontroller is sufficient. By performing only a single measurement cycle and no post-processing, low power consumption is ensured. In the following, two such frequency meters are presented, realized, and evaluated in an automated measurement setup. Both systems rely on the unconventional use of a common phase-locked loop (PLL) integrated circuit. The achievable frequency accuracy in a given measurement time is assessed statistically. Finally, both systems are equipped with an additional divide-by-4 prescaler and tested up to 18 GHz. II. SYSTEM CONCEPTS AND IMPLEMENTATIONS A. Frequency Counter Most of the components (gate, counter, and controller) required for a basic frequency counter, as depicted in Fig. 1, are already integrated in standard microcontrollers. In the following, the reduced-instruction-set controller ATMega8L (ATMEL Corporation, San Jose, CA) is used, which is especially designed for low power consumption. At the lowest V, this device features a possible supply voltage of current consumption of less than 1 mA in idle mode if operated MHz (or less). With at a reference frequency of this setting, which is used throughout this paper, the integrated counters can handle rectangular pulses with a duty cycle of 50% MHz. The counter and a maximum frequency of limitation to 16 bit can easily be overcome by appropriately defining counter-overflow interrupts. Thus, to measure a 8-GHz signal with such a controller, the . divider (cf. Fig. 1) has to exhibit a value of at least However, commercially available dividers/counters, even if implemented in fast emitter coupled logic, typically feature a maximum divider ratio of 256 and an upper frequency limit

0018-9480/$25.00 © 2007 IEEE

SOKOLL AND JACOB: BROADBAND LOW-COST FREQUENCY METERS

203

Fig. 3. Schematic of the frequency counter. The divider ratio N is flexibly adjustable by the microcontroller (C) via an internal serial interface.

Fig. 2. Measured input and output signals at the D flip-flop.

of 2.2 GHz combined with a comparatively high price and current consumption. This bottleneck can be overcome by the following technique. Instead of utilizing a divider/counter integrated circuit, the flexibly adjustable RF-divider section of a PLL chip is used in conjunction with a simple D flip-flop. For our purpose, low-cost PLL chips from the ADF410X series (Analog Devices, Norwood, MA) with a typical input power ranging from 10 to 0 dBm are used. The maximum input frequency is 8 GHz and the ratio of the divider obeys the relationship (3) is represented by a 13-bit counter and by a 6-bit where counter; is an internal dual-modulus prescaler, which can be set to either 8/9, 16/17, 32/33, or 64/65. All these values can be configured via the microcontroller by an internal six-wire serial interface. This allows the highly flexible adjustment of divider . The upper graph of Fig. 2 shows ratios of up to the divider output if a 1-GHz input signal is applied and the registers , , and are set to 64/65, 39, and 4, respectively, . By means of an internally fed-back D resulting in flip-flop, the insufficient duty cycle of only 3% is increased to the required value of 50%, as shown in the lower graph of Fig. 2. Furthermore, the D flip-flop doubles the divider ratio to , which thus fulfills the requirement derived above. The entire setup is depicted in Fig. 3. The reference oscillator is the low-cost device AQO 7050 (Auris, Hameln, Germany) with a nominal output frequency of 4 MHz ( 50 ppm). For integration in an automated measurement setup, the counter is furthermore equipped with a low-power RS-232 interface. The performance of the counter is discussed in Section III. B. Frequency Comparator Microwave measurement setups relying on comparison techniques operate in the frequency domain [7, p. 127]. They usually down-convert the RF signal by means of at least one mixer and often use comb generators for covering the frequency range up to 100 GHz and more [8]. These components, however, are comparatively expensive and, thus, not appropriate for low-cost applications. An alternative setup is shown in Fig. 4. The RF and and are prescaled by the reference signal frequencies

Fig. 4. Schematic of the frequency comparator. The input signals are divided and applied to a phase frequency detector (PFD), which drives a charge pump (CP). The divider ratios N and R are flexibly adjustable by the microcontroller (C) via an internal serial interface.

ratios and , respectively. These signals are then applied to a digital phase-frequency detector, which drives a charge pump. Such a detector is typically built from two fed-back D flip-flops and allows the broadband comparison of the two input signals’ frequencies and phases [9]. In PLLs, the filtered charge pump signal aims at phase locking a voltage-controlled oscillator to . In the comparator, it is the frequency difference at the phase-frequency detector input [10, p. 220] (4) that matters, as explained below. In this setup, the charge pump charges an element if the input frequencies, reference divider , and RF divider obey the relationship (5) and discharges it otherwise. By systematically varying the divider ratios by and and sampling the voltage across the element with the microcontroller, is determined by the state of the registers ( and ) when a occurs. The measured frequency is then transition of (6) The measured frequency difference depends on the corresponding variations of the registers and and on the initial value of . The largest uncerfollows from tainty

(7)

204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 6. Automated measurement setup. The synthesizer and frequency meters are controlled by the PC.

Fig. 5. Realized combined system.

Starting with , is incremented ( ) or is decremented ( ) until rises from 0 V to . The measured frequency difference is then bounded by (8)

(

Beginning with ) or incremented ( to 0 V. This leads to

,

has to be decremented ) until drops from Fig. 7. Measured f

and theoretical bounds versus input frequency.

(9) In contrast to , which has zero mean [see (2)], averages to , which can easily be compensated. The is ( ) times larger maximum uncertainty when varying instead of . Although it is the same for both cases, for low power consumption, it is preferable to start with since the element is only charged at the end of the measurement. III. PERFORMANCE ANALYSIS A. Automated Measurement Setup Figs. 3 and 4 reveal that the two systems have essential components in common: the microcontroller, PLL chip, reference oscillator, and RS-232 interface. To compare the two frequency meters, they are realized on the same printed circuit board and share the devices (see Fig. 5) so that fabrication tolerances have no impact. The printed circuit board (Rogers 4003C, Rogers, mm) measures 43 mm 38 mm. The CT, height V and the current consumption is supply voltage is 20 mA under operating conditions. The counter further comprises a low-cost D flip-flop (74LV74), the comparator a element made of two 0603 surface-mounted devices (100 , 100 pF). For integration into the automated measurement setup, the device is equipped with an RF connector at the input of the -divider and with a low-voltage RS-232 transceiver for the data traffic. The firmware download to the microcontroller is accomplished via a serial interface, which is also used for programming the PLL chip (cf. Figs. 3 and 4). Finally, this system is implemented in a measurement setup, as depicted in Fig. 6. A personal computer controls via a general-purpose

interface bus (GPIB) a high-precision laboratory synthesized sweeper (HP 83657A), which provides the RF-input signal at . After initialization of the combined system, the frequency measurement is performed and the data is sent back to the personal computer. Since neither its operating system, nor the used software supports real-time applications, the time intervals needed to compare the two systems (see below) are measured directly by the microcontroller and transferred to the PC together with the results of the frequency measurement. In the following, 1000 data points, covering the entire frequency range of the PLL chip from 200 MHz to 8 GHz, provide the basis for characterizing and comparing both frequency meters. B. Frequency Counter Uncertainty According to (2), the maximum measurement uncertainty . Whereas is of the counter is proportional to restricted by the frequency range and hardware limitations (as , can be matched derived in Section II-A) to to the particular requirements. In view of the results achieved with the comparator-based frequency meter (cf. Section III-C), ms is chosen in the following, resulting in a maximum uncertainty of 45.45 kHz. This is demonstrated versus together with the in Fig. 7, which depicts is 116.8 Hz (29.2 ppm) theoretical bounds. For this system, below the nominal value of 4 MHz, as was determined by an is initial calibration. The mean frequency deviation determined from [5] (10)

SOKOLL AND JACOB: BROADBAND LOW-COST FREQUENCY METERS

Fig. 8. Transient response of V for f and GHz.

=1

8

with to standard deviation is

with

1 = 1 and 1 N

T

205

= 65 536 ms :

Fig. 9. Pulsewidth versus frequency difference at the phase-frequency detector and GHz. for f

=1

8

kHz. With this value, the

kHz

(11)

C. Frequency Comparator Uncertainty 1) Time-Domain Analysis: The used PLL chip is originally designed and optimized to phase lock a voltage-controlled oscillator to a reference signal, but not for the current application. Therefore, its suitability is investigated. This is done by starting with and measuring the output signal while and keeping constant. Prior to the voltage incrementing ), one step expected when condition (5) is met ( observes a series of extra pulses. Their duration increases with , i.e., with decreasing magnitude of . This is illustrated in Fig. 8, which shows the measured pulse pattern for and GHz. Here, (5) is met at 65 ms, and is reset at . The voltage is thus sampled after incrementing . This delay is necessary to avoid sampling one of the precursor pulses and, thus, systematically underestimating the frequency. It then has to exceed the duration of the longest of these pulses. is incremented by , Here, is set to 256 and kHz. Due to the 29.2-ppm resulting in , (5) is met at (1 GHz) and offset of (8 GHz), respectively. The width of the precursor pulses is shown versus in Fig. 9. The curves are and GHz. Depending on the seen to be congruent for increases in steps of approximately 0.24 and value of , 0.03 Hz, respectively. For operation up to 8 GHz, the condition ms must be fulfilled for ensuring an accuracy . Here, ms was chosen (see of Fig. 8). 2) Measurement Procedure: A simple increment with , as considered in Section III-C.1 is not suited for a broadband frequency meter. If, for instance, the frequency GHz search is started at 200 MHz, the detection of would take several hours. Thus, to achieve fast measurements combined with a high accuracy over a broad frequency range,

Fig. 10. Flowchart of the search algorithm.

a more effective search procedure is required. A nested algorithm, as outlined in Fig. 10, is proposed here. The procedure ( ) and a large uncertainty, i.e., starts at , being kept constant ( ). In the inner a large is incremented by until (5) is met. In the outer loop, loop, is reduced by , resetting the PLL chip ( ), is decreased. The procedure ends when the final and uncertainty is reached. The algorithm thus iteratively increases the accuracy and narrows the search bandwidth. In the fol, a fixed lowing, this algorithm is implemented with , and variable dual-modulus prescaler value of and , allowing the coverage of the entire freregisters is quency range of interest. Initially, set. This corresponds to MHz. The further and corresponding to increments are and MHz and kHz. The shortest is empirically found to be 256 s for possible the first three iterations and 1.072 ms for . For the

206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 11. Measured frequency f and , respectively.

65536

6400

1

Fig. 12. Measurement time T and , respectively.

65536

6400

versus input frequency f

for

1N =

versus input frequency f

for

1N =

last iteration ms is chosen (cf. Fig. 8), its optimization being discussed in Section III-C.3. The total depends on these settings and the measurement time input frequency, as will be shown below. Fig. 11 illustrates the staircase dependence of the measured and . The versus the input frequency for , it measurement time is plotted in Fig. 12. For also exhibits a staircase dependence and, at 8 GHz, the total measurement time including several initialization procedures is 2.251 ms. illustrates the measureThe second sweep with ment procedure and demonstrates its advantage. As the search interval is now at most 1024-MHz wide, the additional meaMHz remains below surement time required for 5.7 ms. When searching frequencies up to 8 GHz, at most 7.9 ms are needed, instead of approximately 32 ms if the algorithm were not applied and the RF signal were probed directly with . The procedure is repeated until the predefined value kHz is reached. The measured frequency difference is shown in the upper graph of Fig. 13. All values are located within the bounds defined by (8). The lower graph depicts the total measurement time, which increases with up to a maximum value of approximately 10 s. For such a long measurement time, the counter, in contrast, would exhibit

=

0

Fig. 13. Measured frequency difference f f f together with versus the theoretical bounds [see (8)] and total measurement time T f for T : ms after completion of the iterative measurement procedure.

1

= 65 536

Fig. 14. Measured frequency difference f for T : ; : ; : T

1

1

1

and total measurement time

= 65 536 4 096 2 048 and 1:024 ms.

a maximum frequency uncertainty of only 500 Hz [cf. (2)], i.e., approximately 30 times less. 3) Optimization of : Thus, the performance of the comparator has to be improved. This is achieved by reducing for the last iteration ( ), as discussed below. Fig. 14 shows (upper graph) and (lower and ms, graph) for decreases accordingly. respectively. As expected, ms, which is the shortest delay giving At reproducible results, its minimum, average, and maximum ms, ms, and values are ms, respectively. As already mentioned in Section III-B, ms was chosen as the counter to ease the comparison of the two frequency gate time , is no longer bounded meters. When reducing broadens and exhibits a negative by (8). Its trace versus slope, steepening with decreasing . This is explained by the time-domain measurements discussed in Section III-C.1. For the following considerations, this slope and the mean value are adequately compensated and the obtained results are evaluated statistically in the same manner as for the counter. In is kept for the compensated the following, the notation kHz as for the counter, the values. Instead of kHz if standard deviation [cf. (11)] is is set to 1024 ms. The statistical results for all curves presented

SOKOLL AND JACOB: BROADBAND LOW-COST FREQUENCY METERS

TABLE I PERFORMANCE OF THE COMPARATOR

207

the functionality of the frequency meters. However, the standard deviation of both systems is increased by the additional divider ratio. Exemplarily, Fig. 15 shows the frequency differms). ence measured with the comparator ( The standard deviation is now 64 kHz, i.e., approximately four times larger, whereas the measuring time and average value are comparable to those of Section III-C. IV. CONCLUSION AND OUTLOOK

TABLE II PERFORMANCE OF THE COUNTER

Fig. 15. Frequency offset versus input frequency f an external prescaler.

for the comparator with

in Fig. 14 are summarized in Table I. For the sake of completeness, the performance of the counter for a gate time of and ms, representing the maximum, mean, and minimum measuring time of the comparator (cf. last row of Table I), are detailed in Table II. These results confirm . In particular, the predicted degradation with smaller ms, which corresponds to the it appears that for average measurement time of the comparator with ms, both the standard deviation and maximum frequency difference are more than twice larger. D. Frequency Meters With External Prescaler The frequency range of the device is easily extendable by inserting an integrated low-cost prescaler between the RF source and frequency meter input. Commercially available prescalers typically feature a divider ratio between 2–4 and operate up to 20 GHz. Here, a divide-by-4 prescaler with a maximum frequency of 18 GHz (HMC493LP3, Hittite Microwave Corporation, Chelmsford, MA) is employed. It requires an additional V and exhibits a current consumpsupply voltage of tion of 95 mA. The first and second harmonic are satisfactorily suppressed over the entire frequency range and do not limit

This paper has discussed two types of low-cost broadband frequency meters for signals from 200 MHz up to 8 GHz. The first one is based on the principle of well-known frequency counters. Its realization with standard microcontrollers requires a frequency divider with a high divider ratio and a high maximum frequency limit. Such a device has been realized with a low-cost and low-power PLL chip in combination with a standard D flip-flop. Compared to divider-integrated circuits, it is flexibly adjustable via an internal serial interface. The second frequency meter essentially relies on the same components, but does not require a flip-flop. This frequency meter is based on a comparison technique. The RF signal and a reference signal are separately divided and compared in a phase-frequency detector, elewhich, in turn, drives a charge pump connected to an ment. By appropriate variation of the divider ratios and probing element, the frequency of the the voltage drop across the RF signal can be determined. Both devices are realized on a common printed circuit board and tested in an automated measurement system, providing statistically meaningful measurements. The comparator is more accurate than the counter for measuring times 110 ms. By inserting an external prescaler, the frequency range can be extended at the expense of the absolute measurement accuracy. In the future, we will focus on performance enhancements by improved search algorithms. Furthermore, the comparator will be applied to miniaturized active low-cost microwave sensors for civil-engineering applications. REFERENCES [1] S. Johannson, “New frequency counting principle improves resolution,” in Proc. IEEE Freq. Control Symp. and Expo., Aug. 2005, pp. 628–635. [2] J. Khazam, “Microwave frequency measurements with frequency counters and spectrum analyzers,” Microw. J., vol. 34, no. 7, pp. 128–165, Jun. 1991. [3] E. Nyfors and P. Vainikainen, Industrial Microwave Sensors. Norwood, MA: Artech House, 1989. [4] V. D. Martin, “All about frequency counters,” Radio Electron., vol. 57, no. 4, pp. 69–73, Apr. 1986. [5] E. Rubiola, “On the measurement of frequency and of its sample variance with high resolution counters,” in Proc. IEEE Int. Freq. Control Symp. and Exhibition, Aug. 2005, pp. 46–49. [6] J. Kalisz, “Review of methods for time interval measurements with picosecond resolution,” Metrologica, vol. 41, no. 1, pp. 17–32, Feb. 2004. [7] Frequency Measurement and Control, ser. Microw. Technol., M. H. Carpentier and B. L. Smith, Eds. London, U.K.: Chapman & Hall, 1994, vol. 7. [8] L. Miller and R. Beers, “Counter phase locks sources up to 110 GHz,” Microw. Syst. News, vol. 11, no. 8, pp. 58–64, Aug. 1981. [9] M. Curtin and P. O’Brian, “Phase locked loops for high-frequency receivers and transmitters—Part 3,” Analog Dialogue, vol. 33, no. 7, pp. 1–5, Jul. 1999. [10] U. L. Rhode, Digital PLL Frequency Synthesizers – Theory and Design. Englewood Cliffs, NJ: Prentice-Hall, 1983.

208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Thorsten Sokoll (M’05) was born in Schleswig, Germany, in 1973. He received the Master of Science degree from the University of Rhode Island, Kingston, in 2002, and the Dipl.-Ing. degree from the Technische Universität Braunschweig, Braunschweig, Germany, in 2002. From October 2001 to September 2004, he was a Member of the Research Staff with the Institut für Hochfrequenztechnik, Technische Universität Braunschweig. Since October 2004, he has been with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, Hamburg, Germany. His research interests include microwave moisture sensors and low-cost compact microwave measurement systems for in-situ moisture monitoring.

Arne F. Jacob (S’79–M’81–SM’02) was born in Braunschweig, Germany, in 1954. He received the Dipl.-Ing. and Dr.-Ing. degrees from the Technische Universität Braunschweig, Braunschweig, Germany, in 1979 and 1986, respectively. From February 1986 to January 1988, he was a Fellow with CERN (European Laboratory for Particle Physics), Geneva, Switzerland. From February 1988 to September 1990, he was with the Accelerator and Fusion Research Division, Lawrence Berkeley Laboratory, University of California at Berkeley. He then joined the Institut für Hochfrequenztechnik, Technische Universität Braunschweig, as a Professor. Since October 2004, he has been a Professor with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, Hamburg, Germany. His current research interests include the design and application of planar circuits at microwave and millimeter frequencies, and the characterization of complex materials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

209

Prediction of Passive Intermodulation From Coaxial Connectors in Microwave Networks Justin Henrie, Student Member, IEEE, Andrew Christianson, Student Member, IEEE, and William J. Chappell, Member, IEEE

Abstract—Coaxial connectors are frequently the dominant contributors to passive intermodulation (PIM) distortion in high-frequency networks. This paper reports on a circuit model enabling estimation of PIM distortion by coaxial connectors in the design of high-frequency networks. A method of modeling the effect of multiple point sources of PIM is applied to coaxial connectors, allowing the prediction of the PIM of networks with several connectors. Typical ranges of PIM produced by common connectors in a two-tone test are reported. The stability and repeatability of PIM produced by a single connector is examined. Nonlinear current–voltage curves for coaxial connectors are given that predict the PIM distortion output by coaxial connectors over a broad range of input powers. An experimental verification is given showing that PIM of a system can be predicted if the characteristics of the individual components are known. Index Terms—Coaxial connectors, communication system nonlinearities, passive intermodulation (PIM) distortion.

I. INTRODUCTION ASSIVE intermodulation (PIM) is the distortion generated by the small nonlinear characteristics of passive RF components such as antennas and connectors. Passive nonlinearity is almost always a small effect, typically resulting in mixing products more than 100 dB down from the generating signal, which is typically insignificant compared to the levels of nonlinear distortion generated by active circuit components such as amplifiers. However, this large nonlinearity from active components can usually be eliminated by filtering. PIM distortion cannot always be eliminated by filtering and, thus, is often the dominant source of nonlinear distortion in high-powered systems. As a result of the great difference in power between transmitted and receive signals, PIM distortion levels as low as 115 dBm are potentially problematic sources of interference in many systems as the nonlinearity of passive components causes power at transmit frequencies to mix into the system’s receive band [1]. PIM is most problematic in transmit/receive systems where transmit and receive bands are closely spaced. Frequency bands

P

Manuscript received July 27, 2007; revised October 15, 2007. This work was supported in part by the U.S. Army Research Office as a Multi-disciplinary University Research Initiative on Standoff Inverse Analysis and Manipulation of Electronic Systems under Grant W911NF-05-1-0337. J. Henrie and A. Christianson are with the Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]). W. J. Chappell is with the Electrical and Computer Engineering Department and the Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912166

Fig. 1. Measurement system architecture.

are becoming more densely populated, making PIM a growing concern in the wireless community. The ability to predict total PIM of a system incorporating multiple PIM sources could have many applications, potentially enabling near-noise-floor PIM measurements and allowing for engineers to account for PIM in the design phase of the creation of a circuit. This paper describes an effort toward that end that focuses on the PIM produced by coaxial connectors. Coaxial connectors are frequently the dominant contributors to PIM distortion in high-frequency networks [2]. While the linear properties of coaxial connectors are well understood [3], less is known about their nonlinear properties that contribute to PIM. A comprehensive methodology has also not been presented to model the collective contribution of coaxial connectors to the total PIM distortion of a system. In this paper, the third-order intermodulation (IM) product of coaxial connectors is investigated and modeled to show that when the level of PIM of individual connectors is known, the collective IM distortion due to coaxial connectors of the entire system can be predicted using simple circuit and transmission line theory. The general methodology is explained and used first to verify the accuracy of our measurement system. Specific third-order PIM levels are reported for some common connector types. Next, current–voltage relations for the nonlinearity of coaxial connectors are presented, which are used to predict the power level in the third IM product as a function of power passed through the connector. Lastly, an experiment using all the techniques outlined here is used to predict the total IM distortion of an RF network involving several different types of coaxial connectors as a function of power. II. ANALYSIS OF COMPLEX PIM-PRODUCING ASSEMBLIES All measurements in this study were taken using a Summitek SI-400C PIM distortion analyzer [4]. A simplified diagram of this measurement system is shown in Fig. 1. In this system, two high-powered carrier tones at frequencies and are

0018-9480/$25.00 © 2007 IEEE

210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

transmitted through a duplexing filter to the device-under-test (DUT), which is terminated in a 50- load. The purpose of the duplexer is to reject the high power carrier tones at the receiver port so that the low-level third-order IM product’s signal can be detected. Spurious signals produced by PIM are generated in the DUT and propagate in both directions—“forward” to the matched termination and “reverse” to the duplexing filter (the sense of forward and reverse are taken relative to the propagation direction of the two large input tones generated by the external test system). The frequencies of the transmitted excitation tones and the measured IM products are set by the transmit (Tx) and receive (Rx) bands of the duplexing filter. The power of the reverse-propagating IM wave is measured at the receiver. For all measurements reported herein, the carrier tones were set at frequencies of 463 and 468 MHz, and unless otherwise specified were set at a transmit power of 42 dBm. The measured IM MHz. product was at the frequency A. Point-Source Model of PIM in Coaxial Assemblies One of the difficulties in ascertaining the IM distortion (hereafter “IM” or “nonlinear distortion”) level of any DUT is that the IM produced by the DUT is always accompanied by an unknown amount of “extraneous” IM power from the measurement system that interferes coherently with the IM generated by the DUT, potentially causing large measurement error. This extraneous IM power comes from two distinct mechanisms. First, unwanted IM is produced by nonlinearities in the measurement system. As much as possible, nonlinear components such as amplifiers and circulators are separated from the DUT by the duplexing filter shown in Fig. 1, which removes the nonlinear distortion of these components from the signal reaching the DUT and receiver. However, other system components such as cables, connectors, the dummy load, and the filter itself may generate IM, which can interfere with the IM produced by the DUT. This unwanted IM that is produced by the measurement system is called system residual IM and is discussed by Deats and Hartman in [5]. This system-generated IM sets the sensitivity floor of the instrument. The second mechanism that contributes to measurement error comes from the fact that most low-PIM loads are unterminated cables [6], which can have poor matching characteristics at lower frequencies as the quality of the match presented by such a load degrades with decreasing frequency. Reflections are caused by this impedance mismatch at the dummy load, which results in self-interference of the IM signal as investigated by Hienonen and Raisanen in [7]. The interference of both of these factors with the IM produced by a DUT is depicted in Fig. 2. The IM resulting from the combination of all nonlinearities is the internal to the DUT results in two voltage waves: reverse-propagating IM voltage wave, which is emitted at the is the forward-propreverse-facing port of the DUT, and agating IM voltage wave produced at the forward-facing port of , , and are all the DUT. The electrical lengths is the distance from the internal to the DUT. The length reverse-facing port of the DUT to the first nonlinearity inside is the distance between the first and last nonthe DUT, is the distance from the last linearity inside the DUT, and nonlinearity and the forward-facing port of the DUT. The other

Fig. 2. Diagram of interaction between IM of a DUT, residual system IM, and finite reflection due to load mismatch at a single frequency.

voltage sources and represent the residual IM of the measurement system as it appears at the ports of the DUT. The and load impedance are electrical distance to the load is meaalso included. The reverse-traveling voltage wave sured by the system’s power sensor. Studies have found the PIM from coaxial connectors to be generated by point-source, as opposed to distributed, nonlinearities [2], [5], [8], i.e., the nonlinear distortion in a connector occurs at a specific point along the length of the connector (most likely at the metal–metal junction between the adapters). Following the discussion given in [5], it can be shown that in the forward direction, the voltage of all IM sources add in-phase so that the total IM voltage of a system with no reflections propagating in the forward direction is given as (1) where is the IM voltage generated by each point-source nonlinearity. When taking a reverse measurement, the phase difbetween IM sources must be included, ference of is the physical length between the first and th IM where source, and is the propagation constant. We thus find an expression for the total reverse-traveling IM voltage wave (2) With this in mind, the total reverse-traveling IM voltage wave at the receiver , as shown in Fig. 2, is expressed as

(3) Again, is the propagation constant and all other symbols are taken from Fig. 2. The first three terms in (3) are reverse-trav, , eling waves generated by the IM voltage sources . The last term is the reflecand the reverse-traveling wave , , tion of the forward traveling waves generated by . In general, all parameters in (3) are unknown, except and the load reflection coefficient , which can be measured with a network analyzer. We can use experimental data to solve for all unknowns in (3). Toward this end, we start by making the assumption that

HENRIE et al.: PREDICTION OF PIM FROM COAXIAL CONNECTORS IN MICROWAVE NETWORKS

211

Fig. 3. Diagram of PIM at the third-order IM generated by a series of coaxial connectors (two depicted).

different connectors of the same make and model have the same , , , PIM characteristics. Due to this, the of different Pasternack Enterprises PE 9506 subminiaand ture A (SMA) connectors used in the following experiment are assumed to have the same values. As a point-source nonlinearity, we model the PIM of a coaxial connection as a voltage source between two sections of transmission line, the sum of whose lengths is equal to the total length of the connector. The voltage and phase of this source is dependent on the voltage and phase of the carrier tones incident upon it; the nature of this phase dependency is described in [5]. If we connect multiple PE 9506 connectors together in cascade, we are able model the interference between the connectors, as shown in Fig. 3. source corresponds to the point nonHere, each voltage linearity of a single connection, and

Fig. 4. Predicted versus measured IM (PIM) levels for cascades of coaxial connectors ranging from 1 to 12 connectors in length.

in the cascade, we By varying the number of connectors can generate an arbitrary number of independent equations for each based on (8). We can also measure the value of of these independent equations by simply constructing the appropriate cascade of connectors and measuring the IM that is generated in a two-tone test. Thus, we can solve for all unknown parameters in (3)–(8), determining both the characteristics of our DUT, as well as the residual IM sources in our measurement system.

(4) B. Use of Model to Determine Measurement Accuracy is the total electrical length of the connector and is where easily measurable with a network analyzer. Since all connectors are assumed to have identical parameters, simple expressions for the voltage waves result from this cascade of connectors. For a stack of cascaded connectors, the reverse-traveling IM will be voltage wave (5) where is the IM voltage produced in the connector and is defined in (4). Since the forward-propagating waves generated by the connectors add in phase, the forward-traveling wave takes on the simple form (6) and the internal electrical length of the DUT is (7) Equations (5) and (6) can now be used to give and in (3). Similarly, (4) and (7) can be used with (3) to yield

(8)

Finding the exact value of all variables in (8) requires solving six independent nonlinear equations simultaneously. If instead one merely wishes to see whether or not the residual system IM and load reflection is influencing a measurement, the much simpler (5) can be used to predict what the relative magnitudes of the IM received from an assembly of known IM sources in the absence of any interference from the external system parameters such as system residual IM or load reflections. A series of such cascades of coaxial connectors as described from 1 by (5) was tested on our measurement system for to 12, and compared against measurement. Fig. 4 shows the number of connectors in each cascade on the -axis, and the power of the IM generated by these assemblies on the -axis. The excellent agreement (maximum error: 0.4 dB) between the measured values and those predicted by (5) validates the assumptions made in constructing the model. Our first assumption was that the PIM of coaxial connectors is generated by a point nonlinearity at the connection between two coaxial connectors so that the entire connection could be modeled as a single voltage source between two lengths of transmission line, as shown in Fig. 3. Our second assumption was that the nonlinear characteristics of a group of SMA connections of the same manufacturer’s model would be nearly equal to one another so that they would all produce identical IM under identical conditions. Another observation that can be made for this particular test from Fig. 4 is that all sources of interference in this case are

212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

negligible. Both the system residual IM and the reflections due to impedance mismatches in the system are very small in magnitude compared to the PIM produced by SMA connectors in this experiment. If this were not the case, the measured values shown would deviate from those predicted by (5). An analysis of (8) using the data in Fig. 4 showed that the residual IM of the system was at least 17 dB less than the IM of the SMA connections, corresponding to a maximum measurement error of 0.5 dB. Therefore, we have a high level of confidence in the accuracy of the data reported in Section III on the measured IM of SMA connections. A similar procedure was followed to determine the measurement error when measuring the IM produced by other types of connectors, and this measurement error is reported in the Section III. III. MEASUREMENTS ON INDIVIDUAL COAXIAL CONNECTORS In order to predict the total IM behavior of a system comprising many coaxial connection IM sources, the individual contribution of each connection must be known. Once we have established that the residual IM floor is sufficiently small through a procedure such as outlined above, this can be determined through direct measurement. This section reports on such a measurement of some common types of coaxial connectors. The results of an experimental study of the IM characteristic connectors are shown in of several common SMA and Section III-A. Also, it is necessary that the characteristic of a connection when it is measured singly be treated as unchanged when it is incorporated into a large network. That is, the IM produced by a connection must be nearly constant over several mate/de-mate cycles. Section III-B reports on an experiment that establishes the validity of this assumption. A. Ranges of IM Produced by Individual Coaxial Connectors We experimentally quantified the IM output of four common connector types in a two-tone test. Although this data is strictly useful only for a system design close to this power and frequency, the data is still of interest generally because the relative IM output of several different connector types can be seen. The coaxial connectors investigated were as follows. • Pasternack Enterprises SMA connectors with gold plated inner conductor contact and outer conductor contact of nickel (part numbers PE 9103, PE 9081, and PE 9082) or stainless steel (PE 9433 and PE 9506). The level of system residual IM when testing these connectors gave a maximum measurement error of 0.5 dB. • Pasternack Enterprises bayonet Neill-Concelman (BNC) connectors with gold-plated inner conductor contact and nickel outer conductor contact (part numbers PE 9002 and PE 9127). The level of system residual IM when testing these connectors gave a maximum measurement error of 2 dB. • Pasternack Enterprises “standard” -type connectors with gold-plated inner conductor contact and outer conductor contact of nickel (PE 9311) or stainless steel (PE 9426). The level of system residual IM when testing these connectors gave a maximum measurement error of 0.2 dB.

Fig. 5. Ranges of third-order IM power output by different types of coaxial connections in a two-tone test with 2 43 dBm forward power at carrier frequencies of 463 and 468 MHz. Shaded bands denote the range of the IM generated by a connector family. Dots inside these bands are the IM produced by individual connections.

2

• Spinner GmbH type with silver-plated inner and outer conductor contacts (part numbers BN 950890 and BN 203834). The level of system residual IM when testing these connectors gave a maximum measurement error of 8 or 4 dB. • Spinner GmbH DIN 7–16 connectors with silver-plated inner and outer conductor contacts (BN 756404 and BN 203391). The IM of these connectors is less than the residual IM of our measurement system, therefore, Fig. 5 shows the upper bound on the IM these connectors could generate. The results of this study are summarized in Fig. 5. Here each dot on the graph represents the IM produced by an individual connection. The range defined by the IM of these connections is shown by the darkened bands. It is notable that the IM levels of the different families of connectors are distinct, with the average IM level of different connector families varying by tens of decibels. Therefore, an individual connection’s expected IM level can be estimated roughly by the ranges in Fig. 5 without having to test it individually. Although hardly rigorously proven here, we also note the general trend that as a connector increases in size, the IM level of the connection decreases. This is most clear when comparing the SMA to standard -type connectors, which have similar metallic composition, but very distinct IM levels. We also see a great difference in IM levels between the “standard” and silverconnections, which shows a dependence of IM level plated on metal composition, as has been noted for other structures in [9]. These two families of connectors are essentially identical in geometry, yet the IM of the silver-plated connectors is at least 30 dB less than that of the “standard” type, which has a gold-plated center pin and nickel or stainless-steel outer contact. B. Stability of PIM Output For the analysis given in Section II, we assume the IM output of a coaxial connection to be constant with time. However, PIM

HENRIE et al.: PREDICTION OF PIM FROM COAXIAL CONNECTORS IN MICROWAVE NETWORKS

213

the IM produced by a coaxial connector to be constant from connection to connection.

Fig. 6. Time plot of the PIM produced by: (a) a loosely connected and (b) a connector. fully tightened “standard”

N

in RF components including connectors has shown a high sensitivity to environmental factors such as transient stresses [10]. Such transient IM behavior cannot be predicted as yet since the underlying causes of PIM in coaxial connectors are not known. Therefore, in order to successfully predict the IM level in highpower systems, the transient component of the IM output of a coaxial connection must be considered negligible (i.e., the IM of a connection must be assumed constant with time) as long as the power and frequency of the signal passing through the connectors is constant. This section seeks to establish the conditions under which this approximation is valid. Fig. 6 shows the IM of a “standard” connector as a function of time. For the first 16 s, the connector is loosely connected and tightened down in (a), and is fully tightened thereafter in (b). When the connector is loosely connected in (a), the IM of this connector had a broadly variable (40 dB) transient behavior. In contrast, when properly tightened to the correct torque and immobilized, the IM of the connector was constant with time. Therefore, care must be taken so that the torsion stress exerted on the coaxial connection is small, especially in the case of small connector types like SMA. However, it is reasonably simple to assure this condition in our experimental setup, and thus, for the experiments in this paper, we take the IM of a coaxial connection to be constant with respect to time. Another source of time variance in the IM produced by a coaxial connector is the fact that the IM level of a connector changes from connection to connection. While we have found this to be true, this change in the IM level is quite small, even when more connections than the specified number for a connector’s lifetime are made. We measured the IM level of an SMA connector as it was cycled through 50 disconnect/reconnect cycles (as SMA connectors are only rated for a “very limited number of connection cycles” [11], 50 cycles was thought sufficient to probe the connector’s IM behavior over a normal lifetime). The distribution of IM power varied over a narrow range with a standard deviation of just 0.24 dB without a trend toward either increasing or decreasing. Therefore, we also treat

IV. IM DEPENDENCE ON POWER DELIVERED THROUGH THE CONNECTION One of the most interesting aspects of PIM is the anomalous less than 3-dB/dB regrowth rate of the third-order IM product (in this study, will refer to the with respect to input power power in either one of the equal-power carrier tones). Although not yet fully understood from a physical perspective, many investigators have noted this behavior as reported in [2], [12], and [13]. Here, we present two methods of accounting for the power dependence of the PIM produced by coaxial connectors. The first method involves fitting a multiorder polynomial cur) rent versus voltage (I–V) curve to the measured IM power ( curve of a coaxial connector. The second method is versus an I–V curve based on the hyperbolic tangent function. This method is able to predict the IM level of coaxial connectors over broad ranges of input power with far fewer fitting parameters (coefficients of the terms of the nonlinear function) than required for the first method. A. Polynomial-Series-Based I–V Curves Due to its ease of use, the first attempt of describing a nonlinear system usually takes the form of a polynomial series. Below we will describe how a polynomial series expansion can be made to model the versus curve of a coaxial connection or other PIM-producing component. A first model of a nonlinear device’s I–V curve is typically a simple polynomial series (9) Given an input signal where both input tones have the same magnitude, (10) the harmonic and IM frequencies can be obtained through direct expansion of (9) [14]. Although the total expansion gives all harmonics and IM products generated by a nonlinearity described by (9), for simplicity, in the following analysis, we will focus . An on the lower sideband third-order IM at frequency expression for the voltage of this IM is found by substituting (10) into (9), expanding, and collecting all the terms containing . The average power at this frequency a factor is then found to be (11) is proportional to for . where versus ) curves The IM power versus input power ( of common electronic nonlinear components such as amplifiers usually obey the relation (12) where is the order of the IM, and is the magnitude of the voltage of the input tones, as used in (10) and (11). However, this simple behavior has been found not to hold for many PIM-producing components, including coaxial connections. For these

214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 7. P versus P curve for an SMA connection measured on a Summitek SI-400C PIM analyzer (dotted line). Also shown is a fit to this curve based on a third-order Taylor expansion of the I–V curve.

devices, the regrowth rate, in (12), is usually less than the order of the IM, and in fact, is not constant with respect to input power [2], [13]. This behavior in an SMA coaxial connection is shown in Fig. 7, which plots the measured IM of an SMA connection under a two-tone test as the power in both carriers was swept from 13 to 43 dBm. A single-term third-order polynomial that loosely fits this behavior is also shown as a straight line in Fig. 7. As can be seen, the simple third-order polynomial obeys the characteristic of (12). Since the IM level of the SMA connection does not obey (12), a single-term third-order I–V curve is not a good model of the power dependence of the IM generated by this connection. To achieve the measured behavior shown in Fig. 7, polynomial-based I–V curves for coaxial connections necessarily contain many terms. As a first step toward constructing a polynomial I–V curve to fit the measured versus curve shown in Fig. 7, let us examine Fig. 8, where (11) is plotted as a function of power input. In constructing this graph, we chose , , and such that was 0.01 and was 1, resulting in the the ratio nulls at 20 and 0 dBW. These nulls are a result of the interference between orders of the polynomial (11) (there is a seto to ). Nulls such as these quential sign change from have been observed in active nonlinear devices, such as in [15]. We see three distinct regions separated by nulls in the IM power in Fig. 9. Near the nulls, the simple regrowth law (12) is not upheld, but only over a small range (approximately 3 dB) of input power. Contrarily, the behavior of the SMA connection shown in Fig. 7 contradicts the prediction of (12) over a large range of input powers. There are also no nulls observed in the SMA data shown in Fig. 7. To achieve the necessary reduction of slope from that predicted by (12) while avoiding the nulls seen in Fig. 8, we allow three or more terms of the polynomial I–V expansion (11) to interfere simultaneously. The coefficients and are adjusted so that the two nulls observed in Fig. 4 move together and merge. The result of this three-term interference can be seen in Fig. 9—the two nulls disappear, and we are left with a short region over which slope is less than 3,

Fig. 8. Nulls occur in (11) due to interference between the three terms.

Fig. 9. Nulls in Fig. 8 come together and interfere, causing a broad region of less-than predicted slope.

similar to that of the measured versus curve shown in Fig. 7, but for a much narrower range (approximately 10 dB) of input power. The third–fifth–seventh-order interference shown in Fig. 9 begins to approximate the behavior of the real IM measurement shown in Fig. 7. Thus, by adding more terms of progressively higher order to (1), a polynomial series can be used to model the versus behavior of coaxial connections. As an example, versus a 24-term 49th-order polynomial I–V curve fit to the characteristic of an SMA connector is shown in Fig. 10. Two drawbacks to using this approach are apparent. In the first case, we required the interference of three odd-order polynomial terms to produce the local departure from (12)-type behavior seen in Fig. 9. The number of orders required to span the 30-dB input power range covered in Fig. 8 is rather large. The second drawback is a well-known problem with polynowhere the fitmial fitting expressions: beyond the range of ting function is valid, it begins to diverge rapidly, as can be seen in the upper right corner of Fig. 10. However, within the range

HENRIE et al.: PREDICTION OF PIM FROM COAXIAL CONNECTORS IN MICROWAVE NETWORKS

Fig. 10. Measured P versus P curve of an SMA connector under two-tone test, along with a fit provided by a 24-term 49th-order polynomial I–V curve.

of power measured, we find that the 24-term polynomial series agrees well with the measurement

215

Fig. 11. Measured P versus P (triangles) of the network shown in Fig. 11 along with the IM predicted utilizing the circuit model and P versus P data of individual connections (dotted line) and the circuit model where the P versus P dependence is predicted by the hyperbolic-tangent-based I–V curve (13) (solid line).

B. Hyperbolic Tangent-Based I–V Curves The hyperbolic tangent function has been used as a prototypical nonlinear device model by Carvalho and Borges [14]. More recently, Macchiarella and Sartorio [16] employed the hyperbolic tangent as a model for describing behavior of IM produced by coaxial resonators. Specifically, an I–V curve given by (13) was used by Macchiarella and Sartorio to describe the curve of versus in coaxial resonators, where is adjusted to give the correct linear impedance of the device, and is the input versus characteristic of voltage. A reasonable fit to the an SMA connection can be made by adjusting the parameters and . We have found the model (13) to adequately describe IM behavior of coaxial connections over large ranges of input power . Fig. 11 in Section V shows the prediction given when using this expression to model the I–V curves of the point nonlinearities in a test network. In contrast to the polynomial series, which requires tens of independent parameters to model this curve, the model based on (13) is able to follow the data curve closely over a 30-dB input power domain and a range of 70 dB by optimizing and . only two independent parameters V. EXPERIMENTAL VERIFICATION The main purpose of this paper has been to demonstrate that once the IM level of all individual components have been characterized, the total IM output of a network can be calculated by circuit theory, treating the coaxial connectors as point nonlinearities separated by transmission lines. By measuring the IM produced by an RF network’s components and the electrical distances separating nonlinearities, we can use (1) and (2) to construct expressions like (8), which accurately predict the total IM output of a system. The excellent agreement of the cascade of

Fig. 12. Network used to verify the technique of predicting the IM distortion product of a system due to coaxial connectors.

coaxial connectors shown in Fig. 4 can be taken as a verification of both the techniques described and the assumptions taken herein. However, as a more comprehensive example that includes one of the models of the power-dependence of IM power described in Section IV, a mixed network of connectors, cables, soldered connections, and a microstrip line was assembled and is pictured in Fig. 12. The coaxial connections in this network were: four DIN 7–16, four -type connections, one BNC, and one SMA. The IM level of the individual connectors was measured at a range of input power from 13 to 42 dBm per input tone in a two-tone test. The electrical lengths separating the nonlinear coaxial connections were calculated by measuring each component’s physical length and by dividing this by the wavelength in the component. A circuit model was constructed using the technique outlined in Section II. We compare the cases where the power dependence is acversus charcounted for using: (a) measured data of the acteristic of each connection measured by itself and (b) the twoversus curve fit based on (13). The predicparameter tions of each of these models are compared against the measured values of the IM of the test network in Fig. 11. The total PIM output of the system is dominated at low input power by the single SMA connector in the assembly. Then as power is increased, the increasing PIM output of the BNC connector begins to destructively interfere with that of the SMA connector, resulting in a lower total PIM (2.7 dB) output than would result

216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

from the SMA connector alone. This 88% drop in IM power due to the interference of the multiple sources of PIM is predicted accurately by the model. versus values are taken For the circuit model whose directly from measurement of the individual connections, we see good agreement over the 22-dB range of power measured (maximum error of 1.1 dB) and reasonable agreement between predicted and measured values when the power dependence of the IM produced by each connection is accounted for by (13) with a maximum error of 2.7 dB. This accuracy indicates that the point nonlinearities of the coaxial connectors dominate the PIM response of the DUT over the entire range of powers we measured, and that the behavior of the IM distortion of the network as a whole is reasonably captured by our model. REFERENCES [1] V. Golikov, S. Hienonen, and P. Vainikainen, “Passive intermodulation distortion measurements in mobile communication antennas,” in Veh. Technol. Conf., 2001, vol. 4, pp. 2623–2625. [2] P. L. Lui, “Passive intermodulation interference in communication systems,” Electron. Commun. Eng. J., vol. 2, pp. 109–118, Jun. 1990. [3] R. Timsit, “High speed electronic connectors: A review of electrical contact properties,” IEICE Trans. Electron., vol. E88-C, pp. 1532–1545, Aug. 2005. [4] R. Hartman, “Passive intermodulation analyzers-D configuration,” Summitek Instrum., Engelwood, CO, Tech. Data, 2007. [Online]. Available: http://www.summitekinstruments.com/passive/docs/Technical%20Data%20D.pdf [5] B. Deats and R. Hartman, “Measuring the passive-IM performance of RF cable assemblies,” Microw. RF Eng., vol. 36, pp. 108–114, 1997. [6] Y. Yamamoto and N. Kuga, “PIM characteristics of resistive dummy loads,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 5. [7] S. Hienonen and A. V. Raisanen, “Effect of load impedance on passive intermodulation measurements,” Electron. Lett., vol. 40, pp. 245–247, Feb. 2004. [8] J. A. Jargon et al., “NIST passive intermodulation measurement comparison for wireless base station equipment,” in 52nd ARFTG Conf. Dig., 1998, pp. 128–139. [9] F. Arazm and F. A. Benson, “Nonlinearities in metal contacts at microwave frequencies,” IEEE Trans. Electromagn. Compat., vol. 22, no. 8, pp. 142–140, Aug. 1980. [10] R. Hartman, “Passive intermodulation measurement techniques,” Summitek Instrum., Englewood, CO, 1999. [Online]. Available: http://www.summitekinstruments.com/passive/docs/pimprimer.pdf [11] “Connector care,” Agilent Technol., Palo Alto, CA, 2007. [Online]. Available: http://na.tm.agilent.com/pna/connectorcare/connector_care.pdf [12] C. V. Quiles, B. Mottet, and H. L. Hartnagel, “PIM analysis at waveguide flanges: A theoretical approach,” in Proc. 4th Int. Multipactor, Corona, Passive Intermodulation in Space RF Hardware Workshop, Sep. 2003. [Online]. Available: http://conferences.esa.int/03C26/papers/a024.pdf [13] E. M. Rutz-Phillip, “Power conversion in nonlinear resistive elements related to interference phenomena,” IBM J., vol. 11, pp. 544–552, Sep. 1967. [14] N. B. Carvalho and J. C. Pedro, Intermodulation Distortion in Microwave and Wireless Circuits. Norwood, MA: Artech House, 2003, pp. 11–22.

[15] M. J. Bailey, “Intermodulation distortion in pseudomorphic HEMTs and an extension of the classical theory,” IEEE Trans. Microw. Theory Tech., vol. 48, pp. 104–110, Jan. 2000. [16] G. Macchiarella and A. Sartorio, “Passive intermodulation in microwave filters: Experimental investigation,” in IEEE MTT-S Int. Microw. Symp. Dig. WMB-7 Filter II Workshop, Long Beach, CA, Jun. 2005. [Online]. Available: http://maxwell.uwaterloo.ca/~myu/publications/WMB-7-color-Macchiarella.pdf Justin Henrie (S’07) was born in Salt Lake City, UT. HE received the B.S. degree in electrical and computer engineering from Brigham Young University, Provo, UT, in 2005, and is currently working toward the Ph.D. degree at Purdue University, West Lafayette, IN. He is currently a Graduate Research Assistant with the IDEAS Laboratory, Electrical and Computer Engineering Department, Purdue University.

Andrew Christianson (S’05) was born in Denver, CO. He received the B.S. degree in electrical and computer engineering from Purdue University, West Lafayette, IN, in 2005, and is currently working toward the Ph.D. degree at Purdue University. He is currently a Graduate Research Assistant with the IDEAS Laboratory, Electrical and Computer Engineering Department, Purdue University.

William J. Chappell (S’98–M’02) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1998, 2000, and 2002, respectively. He is currently an Assistant Professor with the Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN, and is also a member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. His research focus is on advanced applications of RF and microwave components. He has been involved with numerous Defense Advanced Research Projects Agency (DARPA) projects involved in advanced packaging and materials processing for microwave applications. His research sponsors include Homeland Security Advanced Research Projects Agency (HSARPA), Office of Naval Research (ONR), National Science Foundation (NSF), the State of Indiana, Communications-Electronics Research, Development, and Engineering Center (CERDEC), U.S. Army Research Office (ARO), as well as industry sponsors. His research group uses electromagnetic analysis, unique processing of materials, and advanced design to create novel microwave components. His specific research interests are the application of very high-quality and tunable components utilizing package-scale multilayer components. In addition, he is involved with high-power RF systems, packages, and applications. Dr. Chappell was the recipient of the URSI Young Scientist Award, the Joel Spira Teaching Excellence Award, and the Eta Kappa Nu 2006 Teacher of the Year Award presented by Purdue University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

217

A Measurement Process to Characterize Natural and Engineered Low-Loss Uniaxial Dielectric Materials at Microwave Frequencies Gokhan Mumcu, Student Member, IEEE, Kubilay Sertel, Senior Member, IEEE, and John L. Volakis, Fellow, IEEE

Abstract—We present a measurement method to characterize low-loss engineered materials and natural uniaxial dielectrics. Our approach employs a rectangular cavity coupled with tailored finite-element simulations to accurately determine the permittivity tensors and loss tangents of material assemblies. Although similar approaches for natural crystals have been reported, this is the first time this method is adapted to engineered metamaterials. Loss-tangent measurements with an accuracy of 4–5 significant digits can be achieved by this simple and effective measurement approach. To demonstrate the method, we characterize a layered barium titanate–alumina stack and show that low-loss engineered crystals can be achieved via a proper choice of a bonding agent. Index Terms—Anisotropy, engineered materials, low-loss dielectric materials, microwave measurements, uniaxial dielectric characterization.

I. INTRODUCTION

A

RTIFICIAL materials such as engineered composites, electromagnetic bandgap, and periodic structures have drawn great interest in recent years [1] due to their potential to revolutionize antenna and microwave circuit characteristics. Defect mode resonances of photonic crystals have allowed highly directive antennas [2], negative index metamaterials have enabled miniaturization of ordinary microstrip delay lines [3], and periodic structures operating at their bandgap frequencies have successfully been used to enhance (low profile) printed antennas [4]. More recently, magnetic photonic and degenerate band edge crystals, composed of uniaxial dielectric and ferromagnetic layers, have been shown to support frozen modes that could realize novel miniature antennas and RF devices [5]–[8]. Theoretical considerations of such metamaterials have mostly assumed lossless materials and used equivalent-circuit models to demonstrate the validity of the underlying theory. When realistic material loss values are considered, especially for resonant and slow or frozen modes, the performance of the proposed devices may be severely affected. Realizing low-loss metamaterials and being able to characterize their overall loss

Manuscript received June 13, 2007; revised October 11, 2007. This work was supported by the U.S. Air Force Office of Scientific Research under Grant FA9550-04-1-0359. The authors are with the ElectroScience Laboratory, Department of Electrical and Computer Engineering, The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912168

is, therefore, critically important [9]. In this paper, we propose a measurement methodology for characterizing low-cost engineered uniaxial crystals made from periodic dielectric assemblies to replace expensive natural crystals (such as sapphire, rutile, or quartz). The measurement setup employs a highly resonant cavity providing high accuracy in characterizing low-loss dielectrics at microwave frequencies [10]–[12]. To avoid depolarization, a rod- or disk-shaped dielectric is typically placed in a cylindrical cavity to minimize the normal electric field components at the dielectric–air interface. Although cylindrical geometries suppress depolarization, the more readily available rectangular samples are especially of interest. The method presented here extends that presented in [11] to rectangular prism–shaped material samples. Further, our approach incorporates the finite-element method (FEM) to determine resonant frequencies and mode field distributions within the sample loaded cavity. The sample permittivity is subsequently determined by matching the measured resonant frequencies to those computed via the FEM. In Section II, we first describe and validate the measurement approach for a natural uniaxial crystal, rutile (TiO ). In Section III, the proposed measurement procedure is then used to characterize an engineered uniaxial material consisting of periodic barium titanate (BaTiO ) and alumina (Al O ) layers. II. MEASUREMENT METHODOLOGY AND RUTILE CHARACTERIZATION Measurement methods for dielectric constant characterization can be classified in two groups: nonresonant and resonant methods. When using nonresonant methods, the permittivity of the dielectric sample is determined by solving an inverse problem to match the reflection and/or transmission coefficients to those measured [13]–[18]. Although nonresonant techniques provide for wideband permittivity measurements, they are not sensitive enough for low-loss characterization due to several reasons. For example, in waveguide–based setups, wall dissipation and machining requirements (to fit the sample into the waveguide cross section) reduce loss sensitivity [13]. For the free-space–based methods, the sample cross section should also be large enough to minimize edge diffraction [13]. This requirement is likely too difficult to achieve, especially for engineered crystals and natural uniaxial crystals, due to manufacturing difficulties and cost. On the other hand, resonant methods utilize specific modes within the loaded cavities to estimate and distinguish dissipation on cavity walls from that within the sample. As a result, resonant methods provide more accurate dielectric constant and loss-tangent characterization at the subject resonant

0018-9480/$25.00 © 2007 IEEE

218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

frequencies. Among resonant methods, traditional perturbation techniques (see [19]) assume that the resonant mode distribution remains essentially the same after introduction of the dielectric sample within the cavity. Therefore, perturbation–based techniques are applicable for low to moderate permittivities (becoming less and less accurate as the permittivities of the samples increase to the point of modifying the mode distribution). Further, as noted, cavity wall dissipation decreases accuracy of the loss tangent. Cavity perturbation techniques have indeed been extended to characterize uniaxial dielectrics [20] and combined with the FEM to handle arbitrarily shaped and sized samples [21]. However, as mentioned above, this method still lacks the accuracy for measuring loss tangents. In contrast, cavities whose resonances allow for field concentration mostly within the sample under test are expected to be more accurate. An approach for designing such a measurement system was described in [11] and [22] for a cylindrical cavity geometry. This approach was also extended to characterize anisotropic samples in [12], again for cylindrical cavities and sample shapes. The same approach was further improved in [23] where the FEM was also incorporated as part of the measurement process. Recently, a highly resonant cylindrical cavity (with cylindrical-shaped samples) was also employed to characterize anisotropic materials such as liquid crystal displays (LCDs) [24] and anisotropic dielectric sheets [25]. Nevertheless, cylindrical–shaped samples are often inconvenient, especially for engineered materials. In this paper, we extend the highly resonant cavity method to rectangular prism–shaped anisotropic material samples. As part of the permittivity tensor measurement process, we demonstrate an approach to select sample dimensions and their distinguished axis orientations. Further, use of the FEM broadens the range of materials (e.g., textured dielectrics, artificial uniaxial dielectrics, etc.). For example, by using the FEM, we are able to characterize (for the first time) an engineered uniaxial crystal assembly composed of layered dielectric materials. We begin our discussion below by presenting a step-by-step algorithm of the measurement procedure to first characterize a single crystal rutile (TiO ) sample. This is followed by the characterization of a layered material sample with an equivalent uniaxial dielectric tensor. A. Cavity Design and Measurement Procedure 1) Sample Choice: It is necessary to choose a cavity size much larger than the sample [11], [12] to excite the proper resonances (see Figs. 1 and 2). Further, the sample permittivity needs to be roughly known. This information can be obtained from published data (e.g., [26] for rutile) or less accurate measurements (see [19]). As an example, for a uniaxial crystal, the relative dielectric tensor has the form (1) where is the dielectric constant along the distinguished axis is along the other directions ( - or -axis) ( - or -axis) and perpendicular to the -axis. For such uniaxial crystals, both and must be known approximately before proceeding with

Fig. 1. Measurement setup and sample choices for rutile characterization.

Fig. 2. Electric field components of the resonant mode concentrated within the sample having its k-axis along y . (a) jE j. (b) jE j. (c) jE j. Note that jE j (k-axis direction) is essentially zero within the sample. Hence, the resonance frequency mainly depends on  . As a result, the resonance frequency varied less than 1% for various  values.

the sample choice and cavity design. For rutile sample characand , as terization, in our case, we used previously reported in [26] for 5 GHz. Characterization of uniaxial crystals requires the excitation and are decoupled. To of specific cavity modes such that do so, one of the sample dimensions can be chosen smaller than the others, assuring that the lowest order resonant modes will only be polarized along the longer dimensions. Hence, when the -axis of the crystal is aligned with the shortest dimension, the lowest resonance characteristics (mode frequency, loss tangent, and . etc.) will only depend on For the rutile sample of interest, we chose the dimensions (see , , and mm to be measured Fig. 1) over 7–10 GHz. Specifically, two rutile samples were obtained from the MTI Corporation, Richmond, CA. Of these, one had its -axis along the -axis and the second had the -axis along its -axis. Fig. 1 depicts the overall measurement setup and the coordinate system used throughout this paper. To demonstrate from , in Fig. 2 we plot the field compothe decoupling of nents for one of the lowest order resonant modes. In this case, the first sample was placed in a cavity whose dimensions were eight times larger (initial guesses are used as permittivity values). 2) Cavity Design: The loss measurement accuracy is somewhat dependent on the cavity size. As can be understood, accuracy can be increased by reducing cavity wall losses. To observe

MUMCU et al.: MEASUREMENT PROCESS TO CHARACTERIZE NATURAL AND ENGINEERED LOW-LOSS UNIAXIAL DIELECTRIC MATERIALS

this, we note that in absence of radiation, the cavity form [27]

219

has the

(2) is the ratio of average stored energy to that dissipated where within a cycle (when the sample loaded cavity is not connected are the electric field to any other external circuitry); -axes, and are the filling ratios along the . Further, is the cavity loss tangents associated with wall surface resistivity, and is a geometry factor [11] given by (3) As usual, refers to the magnetic field (with being the is the free-space tangential magnetic field on the walls) and permeability. Thus, represents the ratio of the stored energy within the loaded cavity to that dissipated on the cavity walls. In practice, at least 10% of the overall energy loss/dissipation should be due to the sample under test for accurate loss measurements. Hence, from (2), a cavity having large (e.g., 10 000) is preferred since it minimizes the last term as compared to the others. and the resonant mode fields, the eigenTo evaluate values (resonant frequencies) and eigenvectors of the sample loaded cavity system (4) and for uniaxial sample otherwise cavity

(5)

must be determined. Here, denotes the electric field inside the cavity, is the free-space wavenumber, is the relative dielectric constant tensor of the uniaxial sample, and is the identity dyad. An FEM solution of (4) and (5) provides the resonant frequencies and the discrete form of (3) to numerically evaluate (see the Appendix). Using FEM analysis and initial permittivity guesses [see on cavity size for the two Section II.A-1)], dependence of lowest order resonances is depicted in Fig. 3(a). The plot as the cavity size is increased from 3 shows the value of reaches a to 14 times of the sample size. It is seen that maximum when the cavity size is approximately ten times the sample, implying that the resonant fields are almost totally concentrated inside the sample for this setup. Nevertheless, for practical purposes, a copper cavity eight times the size for the lowest order of the sample, providing a resonance (higher order resonances tend to have even larger factors), was manufactured, i.e., the cavity used in the setup , , [shown in Fig. 3(b)] had dimensions mm. Noting that the resistivity of copper is and m at 10 GHz, and losses within the dielectric sample should be at least 1/10th of the overall loss, we conclude from ) of the setup will (2) that the loss-tangent sensitivity ( for . On the other hand, be if the cavity wall losses are not accounted for, the sensitivity . would have been

Fig. 3. (a) G factor dependence on cavity size. (b) Manufactured rectangular cavity showing the rutile sample.

TABLE I FEM SEARCH TO DETERMINE  FROM FIRST SAMPLE ( = 165)

3) Cavity Excitation/Feed: To excite the resonant modes, a short coaxial probe can be used as the feed. For the best excitation, the probe location must be chosen to match the highest normal electric field value at the cavity wall parallel -plane (see Fig. 1). For rutile characterization we to the specifically designed two cavity covers with different probe locations to excite different modes. Depending on the mode field distributions at the cavity walls, two different probe locations helped us distinguish and classify higher order resonances. One wall, of the probe location was selected at the middle of the and the other was 14 mm away from the first probe location along the -axis, as shown in Fig. 1. The cavity choice, sample size, and excitation are finalized in Sections II.A-1–3. These choices are critical to achieving high loss sensitivity. Sample size and orientation permit decoupling - and -axis properties. and : To determine , the first 4) Extraction of sample is placed in the cavity so that its -axis coincides with the -direction. The cavity was then excited by connecting the probe feed (see Fig. 1) to the network analyzer (Agilent E8362B, 10-MHz–20-GHz PNA series network analyzer). The resonant frequencies were then determined by finding the null ). locations in the measured reflection coefficient curve ( These frequencies are given in Table I (first column) for the rutile sample. Having obtained the measured resonant frequencies, a 1-D was then performed using the FEM analysis until search for the measured frequencies matched the calculated ones. We note very efhere that the FEM readily facilitates several runs for ficiently. For the specific rutile sample, the calculated frequenvalues are given in Table I. From these, we cies for different predicted the resonances within 1.6% of deduce that

220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE II FEM SEARCH TO DETERMINE  FROM SECOND SAMPLE (

= 89)

Fig. 4. (a) 15-layer barium titanate–alumina stack (white layers are Al O and dark layers are BaTiO ) with the k-axis oriented along x. (b) Eight-layer barium titanate–alumina stack with k-axis along y .

the experimental values. Thus, it was chosen as the best approxof the rutile sample. imation for We could next excite higher order modes polarized along the - and -axes to determine . However, this may lead to longer CPU times in FEM simulations due to increased resonant frequencies requiring finer FEM discretization. Therefore, to determine , a second sample having the -axis along the -direction was placed inside the cavity. The cavity resonances were again measurement. determined from the Similar to the procedure for , a simple numerical search to match the resonant frequencies with the measured ones lead to (see Table II, column 3). More specifically, for the case , all simulated resonant frequencies are within 1.0% of of the experimental. We remark that the small errors (1.6% for and 1.0% for ) (in Tables I and II) between measured and simulated resonance frequencies can be improved by increasing the FEM mesh density at the expense of CPU time. However, depending on the uncertainty of the sample location, a finer FEM and . On mesh may not appreciably increase accuracy for the other hand, the relative accuracy of the loss-tangent measurement primarily depends on the factor magnitude. 5) Loss-Tangent Extraction: The last step in the characterization of rutile is the determination of the loss tangents and along the - and -axes, respectively. To do so, , , , and in (2) must be calculated. As such, one final FEM simulation must be performed using the permittivity values oband ) to tained from Section II.A-4 (i.e., determine and . The electric filling ratios are found by integrating the field solutions using (6) As usual, and are the stored electric energies along the - and -axes, respectively, and is the total stored electric energy inside the sample loaded cavity. For calculating , we refer to (3). If the calculated factors are smaller than the required (to satisfy the desired measurement sensitivity (see Section II.A-3), the overall cavity design process must be repeated with the factor (see measured permittivity values to increase the Sections II.A-1–3). For our case, the loss tangents can be determined accurately at the well matched ( dB) resonant frequency of 9.78 GHz. Having calculated the quality factors ( ) from the

measurements (as in [27]), electric field filling ratios and factor from FEM simulation (as in Sections II.A-3 and 4), (2) . From (2), we can be subsequently used to determine and at calculated 9.78 GHz. Although these values are higher than those reported in [26] (around 5 GHz), we should note that our frequency is also higher. Also, in contrast to [26], the loss tangent along the -axis was found to be larger than that along the -axis. We attribute this to different impurities within the samples. As can be understood, the above steps can be readily adapted to characterizations of other materials, including engineered periodic assemblies. In summary, the cavity and sample sizes are determined in Sections II.A-1–3, whereas Section II.A-4 is used to extract the permittivities associated with the - and -axes. Finally, in Section II.A-5, these permittivity values in a final FEM simulation to determine the associated loss tangents are used. III. LOSS CHARACTERIZATION OF PERIODIC ASSEMBLIES An increasingly important application of the proposed measurement setup is the characterization of engineered materials such as periodic structures. For low frequencies (where the periodicity is much smaller than the wavelength within the material), the structures can be characterized by an effective permittivity tensor. In this case, the above procedure can be used. However, for layered and periodic media, the FEM analysis plays an important part of the characterization process. As an example, let us consider a stack of layered alumina–barium titanate (Al O –BaTiO ) platelets. This assembly (see Fig. 4) emulates an artificial uniaxial dielectric medium [28]. An important aspect of this sample is the necessity to model the assembly using the individual layer dielectric constants to verify the validity of the equivalent model at the frequency range of interest. Using manufacturer supplied values of for titanate and for alumina, we obtain the equivalent and (see permittivity tensor values of [28]). We actually found rather good agreement between the resonant mode distributions and frequencies (within 2%–3%) when the FEM analysis was carried out using the individual layer dielectric constants and their equivalent tensor. This was somewhat expected since the individual layer thicknesses (e.g., mm at the resonant frequency of 7.54 GHz with the first sample (Fig. 4(a) in the cavity) are much smaller than the wavelength within the high contrast titanate layers ( ). Fig. 5 presents a comparison of the resonant field distribution within the equivalent media and the actual layered medium shown in Fig. 4(a). Thus, we can use the equivalent homogenized tensor (instead of the individual layers) to extract

MUMCU et al.: MEASUREMENT PROCESS TO CHARACTERIZE NATURAL AND ENGINEERED LOW-LOSS UNIAXIAL DIELECTRIC MATERIALS

Fig. 5. Comparison of a resonant mode field distribution in sample loaded cavity. (left) Full-wave model at 7.68 GHz. (right) Equivalent model at 7.54 GHz. The sample shown in Fig. 4(a) is placed inside the cavity, as in Fig. 3(b).

the permittivities and loss tangents with greater ease (i.e., less CPU time by using the homogenized model). Taking the above dielectric constants as initial values for the permittivity tensor, , , and mm were two samples of size prepared for the cavity shown in Fig. 3(b), giving a factor of 1000. The samples consisting of 15 and 8 layers (with alternating alumina and barium titanate layers each of 0.508-mm thickness) are shown in Fig. 4(a) and (b), respectively. The 15-layer stack has its -axis along the -direction, and the eight-layer stack has its -axis along the -direction. As already implies an accuracy of discussed, the value of . To extract the equivalent tensors of these stacks, the employed FEM analysis used 22 400 hexahedra with 3000 in the stack. This tessellation was more than sufficient since a coarser mesh (7440 hexahedra with 800 in the material sample) predicted a resonance that was within 1%. Inevitably, such ceramic structures are prepared using a suitable low-loss glue to assemble the individual layers together. However, the bonding agent between the layers can significantly increase the overall loss of the engineered material. Using the measurement procedure detailed in Section II, we extracted the loss tangents of the layered structure assembled with different low-loss glues. We found that the measured resonances were within 1% of those numerically computed and . with equivalent permittivities Thus, the subject of Section II.A-4 was skipped. Following the procedure in Section II.A-5, we used the second sample shown at in Fig. 4(b) to extract the loss tangent of 7.57 GHz for the unglued layers (held together by a tiny plastic strap). Using the sample in Fig. 4(a), we also identified a loss at 6.95 GHz. However, when the tangent of M Bond 610 (a two-component phenol-epoxy) glue is used to increased significantly up to hold the assembly together, , whereas was very close to the value without the glue. This increase in loss tangent is certainly a major concern. However, even if it is an order of magnitude larger than that of rutile, the loss is still acceptable for thin slow wave resonant structures [7], [8].

221

an FEM–based search as an integral part of the characterization process. Effective parameters for engineered layered metamaterials were also characterized for the first time. For rutile (at room temperature), we found that the crystal exhibits and with loss relative dielectric constants of and tangents at 9.78 GHz. We also fabricated and measured an engineered uniaxial medium built by combining barium titanate and alumina layers. For this sample, we measured a low-loss tangent and at approximately of 7 GHz when no glue is used to hold the stack together. Although this paper specifically concentrated on rutile and layered stacks, the same procedure can be applied to characterize low-loss samples for any class of anisotropic bulk or periodic materials (for frequencies where homogenization applies) where high accuracy maybe required. APPENDIX FEM FOR DETERMINING CAVITY RESONANCES Throughout the paper, we used the FEM to solve for the eigenvalues of (4) for the cavity–sample system. The FEM is well justified due to its flexibility in modeling arbitrary textures forming the composition of the engineered materials. For our case, we used the FEM to discretize the cavity via a connected volumetric mesh of hexahedral elements. The numerical Raylegih–Ritz procedure was adapted to generate the matrix system. To do so, we start with the wave equation (4) in a source-free region and introduce the discrete expansion

(7) where

are the unknown coefficients of the expansion and are the tangential edge-based vector basis functions defined on curvilinear hexahedral finite elements (see [29] and [30] for a detailed discussion of a curvilinear FEM). Hence, (4) can be rewritten as

(8) Next, applying Galerkin’s testing [30] results in

(9) for

. This is further simplified to

IV. CONCLUDING REMARKS We have presented a simple methodology to characterize low-loss engineered materials and natural uniaxial dielectrics. The measurement setup was a rectangular prism–shaped cavity coupled with the FEM to accurately determine the permittivity tensor and loss tangent of uniaxial materials. We demonstrated

(10)

222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

using the properties of the basis functions in (7) and the boundary conditions on the cavity walls. This expression can now be cast into a generalized eigenvalue equation

(11) where

and (12) are the eigenvalues. The solution of (12) then provides the resof the loaded cavity. onant frequencies As already mentioned, this hexahedral finite-element modeling is particularly suited for rectangular cavities and the rectangular sample geometries. Of importance is that the anisotropic nature of the sample under test can be readily incorporated into (12). Upon solving (11), the resulting fields can be substituted in (6) to determine the filling ratios. The geometrical factor in (3) can also be computed from the eigensolutions (11) using (13) which is readily available in the elements comprising

.

ACKNOWLEDGMENT The authors would like to thank L. Zhang and Prof. H. Verweij, both with the Material Science and Engineering Department, The Ohio State University, Columbus, for their help in the preparation of the samples. REFERENCES [1] IEEE Trans. Antennas Propag. (Special Issue), vol. 51, no. 10, Oct. 2003. [2] B. Temelkuran, M. Bayindir, E. Ozbay, R. Biswas, M. M. Sigalas, G. Tuttle, and K. M. Ho, “Photonic crystal based resonant antenna with a very high directivity,” J. Appl. Phys., vol. 87, no. 1, pp. 603–605, Jan. 2000. [3] M. A. Antoniades and G. V. Eleftheriades, “Compact linear lead/lag metamaterial phase shifters for broadband applications,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 7, pp. 103–106, Jul. 2003. [4] F. Yang and Y. Rahmat-Samii, “A low profile circularly polarized curl antenna over an electromagnetic bandgap (EBG) surface,” Microw. Opt. Technol. Lett., vol. 31, no. 4, pp. 264–267, Nov. 2001. [5] A. Figotin and I. Vitebsky, “Electromagnetic unidirectionality in magnetic photonic crystals,” Phys. Rev. B, Condens. Matter, vol. 67, pp. 165 210,1–20, Apr. 2003. [6] G. Mumcu, K. Sertel, J. L. Volakis, I. Vitebskiy, and A. Figotin, “RF propagation in finite thickness unidirectional magnetic photonic crystals,” IEEE Trans. Antennas Propag., vol. 53, no. 12, pp. 4026–4034, Dec. 2005. [7] G. Mumcu, K. Sertel, and J. L. Volakis, “Miniature antennas and arrays embedded within magnetic photonic crystals,” IEEE Antennas Wireless Propag. Lett., vol. 5, no. 12, pp. 168–171, Dec. 2006.

[8] S. Yarga, G. Mumcu, K. Sertel, and J. L. Volakis, “Degenerate band edge crystals and periodic assemblies for antenna applications,” in IEEE Int. Antenna Technol. Small Antennas and Novel Metamater. Workshop, Mar. 2006, pp. 408–411. [9] A. Grbic and G. V. Eleftheriades, “Practical limitations of subwavelength resolution using negative-refractive-index transmssion-line lenses,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 3201–3209, Oct. 2005. [10] Y. Kobayashi and T. Senju, “Resonant modes in shielded uniaxial–anisotropic dielectric rod resonators,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2198–2205, Dec. 1993. [11] J. Krupka, K. Derzakowski, B. Riddle, and J. Baker-Jarvis, “A dielectric resonator for complex permittivity of low loss dielectric materials as a function of temperature,” Meas. Sci. Technol., vol. 9, no. 10, pp. 1751–1756, Oct. 1998. [12] J. Krupka, K. Derzakowski, A. Abramowicz, M. E. Tobar, and R. G. Geyer, “Use of whispering–gallery modes for complex permittivity determinations of ultra–low–loss dielectric materials,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 752–759, Jun. 1999. [13] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and V. K. Varadan, Microwave Electronics: Measurement and Materials Characterization. Chichester, U.K.: Wiley, 2004. [14] O. Tantot, M. Chatard-Moulin, and P. Guillon, “Measurement of complex permittivity and permeability and thickness of multilayered medium by an open-ended waveguide method,” IEEE Trans. Instrum. Meas., vol. 46, no. 4, pp. 519–522, Apr. 1997. [15] M. D. Deshpande, C. J. Reddy, P. Tiemsin, and R. Cravey, “A new approach to estimate complex permittivity of dielectric materials at microwave frequencies using waveguide measurements,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 359–366, Mar. 1997. [16] Y. Huang and M. Nakhkash, “Characterisation of layered dielectric medium using reflection coefficient,” Electron. Lett., vol. 34, no. 12, pp. 1207–1208, 1998. [17] J. N. Sahalos, “Dielectric constant measurement of homogeneous and anisotropic materials in the microwave region,” J. Phys. D, Appl. Phys., vol. 18, no. 7, pp. 1415–1422, 1985. [18] M. Pauli, T. Kayser, and W. Wiesbeck, “A versatile measurement system for the determination of dielectric parameters of various materials,” Meas. Sci. Technol., vol. 18, no. 4, pp. 1046–1053, 2007. [19] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [20] L. Chen, C. K. Ong, and B. T. G. Tan, “Cavity perturbation technique for the measurement of permittivity tensor of uniaxially anisotropic dielectrics,” IEEE Trans. Instrum. Meas., vol. 48, no. 12, pp. 1023–1030, Dec. 1999. [21] M. Santra and K. U. Limaye, “Estimation of complex permittivity of arbitrary shape and size dielectric samples using cavity measurement technique at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 718–722, Feb. 2005. [22] J. Krupka, K. Derzakowski, M. Tobar, J. Hartnett, and R. G. Geyerk, “Complex permittivity of some ultralow loss dielectric crystals at cryogenic temperatures,” Meas. Sci. Technol., vol. 10, no. 5, pp. 387–392, 1999. [23] M. E. Tobar, J. G. Hartnett, E. N. Ivanov, P. Blondy, and D. Cros, “Whispering gallery method of measuring complex permittivity in highly anisotropic materials: Discovery of a new type of mode in anisotropic dielectric resonators,” IEEE Trans. Instrum. Meas., vol. 50, no. 4, pp. 522–525, Apr. 2001. [24] J. Parka, J. Krupka, R. Dabrowski, and J. Wosik, “Measurements of anisotropic complex permittivity of liquid crystals at microwave frequencies,” J. Eur. Ceram. Soc., vol. 27, no. 8-9, pp. 2903–2905, 2007. [25] P. I. Dankov, “Two-resonator method for measurement of dielectric anisotropy in multilayer samples,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1534–1544, Apr. 2006. [26] M. E. Tobar, J. Krupka, E. N. Ivanov, and R. A. Woode, “Anisotropic complex permittivity measurements of mono–crystalline rutile between 10 and 300 K,” J. Appl. Phys., vol. 83, no. 3, pp. 1604–1609, Feb. 1998. [27] D. Kajfez and P. Guillon, Dielectric Resonators. Dedham, MA: Artech House, 1986. [28] R. E. Collin, “A simple artificial anisotropic dielectric medium,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 206–209, Feb. 1958. [29] G. E. Antilla and N. G. Alexopoulos, “Scattering from complex threedimensional geometries by a curvilinear hybrid finite-element-integral equation approach,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 11, no. 4, pp. 1445–1457, 1994.

MUMCU et al.: MEASUREMENT PROCESS TO CHARACTERIZE NATURAL AND ENGINEERED LOW-LOSS UNIAXIAL DIELECTRIC MATERIALS

[30] J. Volakis, A. Chatterjee, and L. Kempel, Finite Element Method for Electromagnetics. Piscataway, NJ: IEEE Press, 1998. Gokhan Mumcu (S’03) was born in Bursa, Turkey, on March 30, 1982. He received the B.S. degree from Bilkent University, Ankara, Turkey, in 2003, the M.S. degree from The Ohio State University, Columbus, in 2005, and is currently working toward the Ph.D. degree at The Ohio State University, all in electrical engineering. He is currently a Graduate Research Associate with the ElectroScience Laboratory, The Ohio State University. His research is focused on electromagnetic theory, computational electromagnetics, and metamaterials and their applications to small directive radiators and printed miniature antennas. Mr. Mumcu ranked first in mathematics, physical sciences, and social sciences on a national university entrance exam taken annually by over 1.5 million students. Upon his success, he was the recipient of the 1999 International Education Fellowship of the Turkish Ministry of Education. He was also a recipient of the 2003 University Fellowship presented to first year graduate students by The Ohio State University.

Kubilay Sertel (M’03–SM’07) received the B.S. degree from Middle East Technical University, Ankara, Turkey, in 1995, the M.S. degree from Bilkent University, Ankara, Turkey, in 1997, and the Ph.D. in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 2003. He is currently an Adjunct Assistant Professor with the Electrical and Computer Engineering Department and a Research Scientist with the ElectroScience Laboratory, The Ohio State University, Columbus. He coauthored Frequency Domain Hybrid Finite Element Methods in Electromagnetics (Morgan & Claypool, 2006). His research includes electromagnetic theory, computational electromagnetics, volume-surface integral equations and hybrid methods, periodic metamaterials, fast and efficient methods for large-scale electromagnetics problems, and parallel implementations of fast algorithms. Dr. Sertel is a member of URSI Commission B.

223

John L. Volakis (S’77–M’82–SM’89–F’96) was born in Chios, Greece, on May 13, 1956. He received the B.E. degree (summa cum laude) from Youngstown State University, Youngstown, OH, in 1978, and the M.Sc. and Ph.D. degrees from The Ohio State University, Columbus, in 1979 and 1982, respectively. He began his career with Rockwell International (now Boeing Phantom Works) (1982–1984). In 1984, he became an Assistant Professor with The University of Michigan at Ann Arbor, becoming a Full Professor in 1994. From 1998 to 2000, he also served as the Director of the Radiation Laboratory, The University of Michigan at Ann Arbor. Since January 2003, he has been the Roy and Lois Chope Chair Professor of Engineering at The Ohio State University, Columbus, and is also the Director of the ElectroScience Laboratory. He has authored or coauthored over 250 papers in major refereed journals, nearly 380 conference papers, and 20 book chapters. He coauthored Approximate Boundary Conditions in Electromagnetics (IEE Press, 1995), Finite Element Method for Electromagnetics (IEEE Press, 1998), and Frequency Domain Hybrid Finite Element Methods in Electromagnetics (Morgan & Claypool, 2006). He edited the Antenna Engineering Handbook (McGraw-Hill, 2007). He was an Associate Editor for Radio Science (1994–1997). He is currently an Associate Editor for the Journal of Electromagnetic Waves and Applications and the URSI Bulletin. He has also authored several well-edited coursepacks on introductory and advanced numerical methods for electromagnetics and has delivered short courses on numerical methods, antennas, and frequency-selective surfaces. His primary research deals with antennas, computational methods, electromagnetic compatibility and interference, propagation, design optimization, new RF materials, multiphysics engineering, and bioelectromagnetics. He is listed by ISI among the top 250 most referenced authors. He has graduated/mentored over 60 Ph.D. students/post-docs. Dr. Volakis was the 2004 president of the IEEE Antennas and Propagation Society (IEEE AP-S) and served on the IEEE AP-S Administrative Committee (AdCom) from 1995 to 1998. He was associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION (1988–1992). He was an associate editor for the IEEE Antennas and Propagation Society Magazine (1992–2006). He chaired the 1993 IEEE AP-S Symposium and Radio Science Meeting, Ann Arbor, MI, and co-chaired this same symposium in 2003 in Columbus, OH. He is a member of URSI Commissions B and E. He was the corecipient of eight Best Paper Awards. He was the recipient of The University of Michigan at Ann Arbor College of Engineering Research Excellence Award (1998) and the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor Service Excellence Award (2001).

224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

New Time-Domain Voltage and Current Waveform Measurement Setup for Power Amplifier Characterization and Optimization Souheil Bensmida, Philippe Poiré, Renato Negra, Member, IEEE, Fadhel M. Ghannouchi, Fellow, IEEE, and Gilles Brassard

Abstract—This paper reports a novel voltage and current waveform measurement system suitable for large-signal transistor and power amplifier characterization and optimization. This technique is original in its use of a double six-port (SP) reflectometer as a homodyne vector network analyzer, which is calibrated in magnitude and phase by means of a reference multiharmonic signal generator, to measure the waveforms at the output terminal of the device. An active branch load–pull setup is used to control the source impedance at the fundamental frequency, and the load impedance at the fundamental, second, and third harmonic frequencies. The capability of the proposed SP reflectometer-based configuration is demonstrated experimentally, by measuring the voltage and current waveforms of a GaAs metal–semiconductor field-effect transistor that is biased in class AB and tuned for maximum efficiency. To assess the level of accuracy of the proposed method, the same waveforms were also measured using a microwave-transition-analyzer-based system. A comparison of the results shows that the proposed SP reflectometer setup obtains results accurate enough for power amplifier characterization with lower equipment cost. Index Terms—Power amplifier, reference generator, six-port (SP) reflectometer, source–pull/load–pull, step recovery diode (SRD), voltage/current waveform.

I. INTRODUCTION

W

AVEFORM measurements are necessary to verify the operation mode of amplifiers; and time-domain waveform measurement techniques are considered one of the most useful methods for characterizing nonlinear microwave devices and amplifiers. This is especially important for switching-mode and harmonic-controlled circuits. The principle of highly efficient operation, which has been the research topic of many recent publications, is to allow for a specific amount of higher harmonic content in the current and voltage waveforms at the

Manuscript received August 2, 2007; revised October 10, 2007. This work was supported by the National Science and Engineering Research Council of Canada (NSERC), under the Canada Research Chair (CRC) Program, and by the Informatics Circle of Research Excellence (iCORE), Alberta, BC, Canada. S. Bensmida, R. Negra, and F. M. Ghannouchi are with the iRadio Laboratory, Electrical and Computer Engineering Department, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]; [email protected]). P. Poiré is with Alcatel Alenia Space, Toulouse 31037 Cedex, France (e-mail: [email protected]). G. Brassard is with the Digital and Power Electronics Group, Space Technologies Branch, Canadian Space Agency, Saint-Hubert, QC, Canada J3Y 8Y9. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912225

transistor output terminals. In this way, power dissipation in the device is minimized by avoiding the simultaneous presence of high currents and voltages in the device. Unlike conventional amplifier classes, such as classes A, AB, and C, where the operation mode is mainly defined by the quiescent bias point and input drive level, high-efficiency power amplifier operation in classes D–F is defined solely through the shape of the current and voltage waveforms at the device output terminal. In order to verify proper operating conditions or to tune a circuit, it is essential to measure and analyze the waveforms. Moreover, information about the amount of magnitude and phase of spectral components in the output waveforms of a nonlinear device under large-signal stimulus is important for a better understanding of the electrical behavior and for accurate largesignal modeling of the device-under-test (DUT). Oscilloscopes are standard instruments for time-domain measurements at low and medium frequencies. At microwave and millimeter frequencies, high-speed sampling oscilloscopes [1], special vector network analyzer (VNA) setups, optical sampling systems [4], and microwave transition analyzer (MTA) techniques [5], [6] are used. The principle of high-speed sampling oscilloscopes is the repetitive sampling of a signal at a frequency below the Nyquist rate at properly spaced time intervals. The waveform of periodic signals can be reconstructed by processing the multiple correlated sub-sampled data. Since the waveform is reconstructed from several consecutive measurements, this method is limited to analyzing a periodic signal in a 50- environment due to the input impedance of the oscilloscope. In measuring time-domain waveforms, the use of a VNA with either a microwave oscilloscope [3] or calibrated with a phase-reference method [2] has a restriction similar to that of the microwave oscilloscope: these approaches are limited to a 50- environment. Optical sampling [4] and other alternative setups, also called largesignal network analyzers (LSNAs), do not have this limitation. State-of-the-art LSNAs have the capability of operating in a source– and load–pull system [8]–[11], [21] and of using modulated test signals [20].1 This paper proposes a novel and inexpensive approach for high-frequency time-domain voltage and current waveform measurements. The six-port (SP) reflectometerbased source– and load–pull system reported in [13] is extended to perform time-domain current and voltage waveform measurements. The advantage of the proposed waveform measurement setup is its relatively low implementation cost compared to all the above-mentioned measurement techniques, especially 1[Online]. lsna1.htm

0018-9480/$25.00 © 2007 IEEE

Available:

http://www.maurymw.com/products/rfdcs/lsna/

BENSMIDA et al.: NEW TIME-DOMAIN VOLTAGE AND CURRENT WAVEFORM MEASUREMENT SETUP

225

at millimeter-wave frequencies. Due to the passive structure, it is easy and inexpensive to build SP reflectometers at microwave and millimeter-wave frequencies. II. TIME-DOMAIN WAVEFORM MEASUREMENT METHODOLOGY and One method of determining time-domain voltage current waveforms consists of measuring the complex Fourier coefficients of the waves in the frequency domain. By accurately determining the magnitude of the dc component ( , ), as well as the magnitude and phase of the fundamental ( , ) and harmonic frequency components ( , ), the time-domain waveforms can be calculated from the measured coefficients by using the well-known inverse Fourier transformation

Fig. 1. Schematic of the used multiharmonic generator based on an SRD.

TABLE I MEASURED FOURIER COEFFICIENTS OF THE MULTIHARMONIC REFERENCE SIGNAL GENERATOR

(1) (2) where denotes the harmonic order, is the fundamental frequency, and is the total number of included harmonics. and are routinely measured directly with a Whereas biasing instrument, the measurement of the complex Fourier and , for , is more difficult. Due to the coefficients required phase information, a simple spectrum analyzer is not sufficient. Instead, an LSNA [8]–[11], which performs phase and magnitude measurements at the fundamental and harmonic components, has to be used for this type of measurement. In this study, we propose to perform these measurements with a deliberately modified SP reflectometer that is used as a homodyne VNA. Microwave and millimeter-wave SP reflectometers have two main advantages: they are less expensive and easy to implement. and at the To be able to determine the waveforms DUT output plane, the measurement of the complex voltage traveling waves and , also called pseudowaves [7], is per, second , and third formed at the fundamental harmonic frequencies. At a given reference plane, and in (1) and (2) are related to the incident and reflected voltage traveling waves by [7], [14] (3)

A. Multiharmonic Reference Generator The multiharmonic generator shown in Fig. 1, which generwith well-known magates the harmonic-rich voltage wave nitude and phase components, is used as a reference signal generator for the time-domain waveform measurements. The reference generator consists of a step recovery diode (SRD) excited by a continuous wave (CW) RF signal at the funGHz. To reach an adequate input damental frequency drive level for the SRD, a high-gain amplifier is used. The output of the SRD is a harmonic-rich RF signal with spectral compoand its higher harmonics. nents at The complex voltage frequency components , measured at the output of the multiharmonic generator by means of an LSNA, are listed in Table I. The waveforms for input drive levels from 10 to 12 dBm are also shown graphically in Fig. 2.

(4) where is the characteristic impedance of the system, typically 50 . By measuring the pseudoreflection coefficient , the magnitude and phase of the voltage traveling wave can easily be determined if the voltage traveling wave is delivered by a reference generator, i.e., its magnitude and phase are well known. We propose to measure the pseudoreflection coefficient at a given plane by means of an SP reflectometer. In the proposed source– and load–pull setup, the reflection coefficient measured by the SP reflectometer is equal to since the reference impedance used to define the voltage traveling waves is equal to characteristic impedance of the system [7]. Hence, the voltage and the current frequency components can be deduced according to (3) and (4).

III. MULTIHARMONIC SP REFLECTOMETER A. SP Reflectometer Principle The SP reflectometer is an interferometric circuit with six ports. Measurements are performed by connecting a generator to Port 1, also called the generator port, and an unknown load to Port 2, denoted as the measurement port. In this configuration, the RF powers detected at Ports 3–6 are related to the reflection coefficient presented by the unknown load by an equation described in [13] and [18]. An SP reflectometer can be used to determine both the source and load reflection coefficients, as illustrated in Fig. 3. Referring to Fig. 3(a), the SP reflectometer operates in the forward configuration, i.e., it is driven by an RF source at Port 1 and measures

226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Calculated multiharmonic reference generator voltage waveforms for different input drive levels.

Fig. 4. Multiharmonic SP reflectometer topology from [13].

Fig. 3. Typical setup for reflection coefficient measurement using an SP reflectometer. (a) Forward configuration. (b) Reverse configuration.

the source reflection coefficient Port 2 as follows:

(5) of the DUT connected to

(5) In the reverse configuration, shown in Fig. 3(b), the SP re(6) at flectometer measures the load reflection coefficient the DUT plane, presented by the impedance seen through the SP reflectometer as follows: (6) Moreover, the SP reflectometer is able to measure the incident delivered to a load connected to the measurement power port. is related to the detected power at Port 3 by a relation described in [13] and [18].

in Fig. 4, to become frequency selective and, hence, allow for multiharmonic measurements. The power detection block consists of a single-pole-four-through (SP4T) switch, a tunable yttrium–iron–garnet (YIG) filter, and a power meter. For harmonic measurements, the YIG filter is adjusted to pass only one harmonic frequency component at a time from the output of the SP4T switch to the power meter. Using the SP4T switch to successively switch from Ports 3 to 6 and detect the powers, the recan be measured in magnitude and flection coefficient phase according to (5). The measurement procedure is detailed in the following sentences. The YIG filter is centered at . Port 3 is selected by means of the switch S4PT and the power is measured. Before tuning the YIG filter to , the powers at Ports 4–6 are measured. In the next measurement cycle, the filter is adjusted to and the powers at Ports 3–6 are determined. Finally, the filter is set to and the power detection steps are repeated. Before every measurement, the repeatability of the YIG filter adjustment and the switch contact quality has to be verified. The multiharmonic SP reflectometer is calibrated at , , and . The relationship between the measured powers at Ports 4–6 ( , , , and ) and the output power and the reflection coefficient are given by (7)

B. Multiharmonic SP Reflectometer Architecture Typical broadband SP architectures based on branch-line couplers and a power divider are available for several octaves of bandwidth. However, the detection block of a conventional SP reflectometer is not able to discriminate the different spectral components because it only detects the total power at the detection ports. Time-domain waveform measurements, however, require the measurement of a few complex Fourier coefficients of the signal. To be able to perform this measurement, the power detection block of the SP reflectometer is modified, as shown

(8) (9) The constants and the system related constants (for ) are determined by the SP calibration procedure. The constants, , are determined by an absolute power calibration method using a reference power meter. represents the harmonic order.

BENSMIDA et al.: NEW TIME-DOMAIN VOLTAGE AND CURRENT WAVEFORM MEASUREMENT SETUP

227

and

(11) Combining (10) and (11) leads to

Fig. 5. Definition of the calibration principle of the SP reflectometer for timedomain voltage and current waveform measurements.

(12) In the same way, if the source delivering be written as

is OFF,

can

(13) The superposition of (12) and (13) for the coexistence of and results in Fig. 6. Flow graph of the calibration principle of the SP reflectometer.

(14) IV. MULTIHARMONIC SP REFLECTOMETER CALIBRATION FOR TIME-DOMAIN WAVEFORM MEASUREMENTS Fig. 5 shows the proposed SP reflectometer calibration principle for time-domain voltage and current waveform measurements in a source– and load–pull environment. The Fourier coefficients of the harmonic-rich reference voltage wave , injected by , have to be well known in , the spectral content of both magnitude and phase. Unlike , generated by , does not have to be known; Therefore, can be any arbitrary multiharmonic generator. In Fig. 5, consists of three active branches forming the load impedance tune system. As indicated in Fig. 5, and are total voltage traveling waves that travel toward the SP and , respectively, in the measurement reference plane. is the total voltage wave consisting of the reference signal, , generated by and any reflected wave. The same relation is valid for and . represents the reflection coefficient presented by the source impedance of when . represents the reflection coefficient presented by the source impedance of the SP reflectometer when is OFF; in other words, . is the reflection coefficient presented by the load impedance synthesized by at the measurement plane and measured by the SP reflectometer. Since , as shown in Fig. 5, delivers the wave with a known magnitude and phase, the calibration procedure of the SP reflectometer for time-domain waveform measurement consists of determining magnitude and phase of . With the flow graph in Fig. 6, which was deduced from the calibration setup of the SP reflectometer, the following expression for can be derived using a superposition approach. According to Fig. 6, with the source delivering turned OFF, is given by

(10)

An expression for determining an analog manner

can be derived from Fig. 6 in

(15) The measured reflection coefficient is thesized load of

provided by the syn-

(16) In this way, the complex voltage wave

is given by

(17) is the known wave generated by , only , Since , and have to be measured. and are measured either with an SP reflectometer or a VNA, where and are OFF, respectively. is measured by means of the SP in reverse configuration, as explained in Fig. 3(b). A. Multiharmonic Calibration Verification Procedure The multiharmonic calibration of the SP can be verified by measuring the reference signals at a different power level from the one used to determine during the calibration procedure. Since the SP reflectometer measures , the voltage waves are extracted using (17). The voltage waveforms deduced from the SP reflectometer measurements are then compared with the waveforms previously measured with an LSNA and reported in Table I. Fig. 7 shows the comparison of the measurement results of the voltage waveform at the output of the

228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 7. Comparison between the SP reflectometer and an LSNA measurements of the time-domain waveform at the output terminal of the harmonic generator.

harmonic generator obtained by the calibrated SP reflectometer and an LSNA. Although the SP takes into account only the first three harmonic components of the signal ( , and ), the relative error compared to the LSNA measurement based on a total of five harmonics is less than 2.5%. This result shows the accuracy and efficiency of the calibration procedure. V. TIME-DOMAIN VOLTAGE AND CURRENT MEASUREMENTS IN A SOURCE– AND LOAD–PULL SYSTEM Fig. 8 shows the complete architecture of the proposed source– and load–pull measurement system suitable for determining high-frequency time-domain waveform measurements. The RF vector signal generator, protected by the isolator I6, proGHz. vides an RF signal at the fundamental frequency This signal is split equally by means of the power divider DIV1. The input path is composed of the variable attenuator ATT1, which is used to control the input power level, followed by the power divider DIV2. The attenuator ATT2 and the high-gain and high-output power amplifier A4, protected by I4, allows for input power level control when the switch SW is set in position 1. In this case, the SP reflectometer SP1 performs input power and input reflection coefficient measurements at the input terminal of the DUT. The SP, i.e., SP1, operates, in this case, in the forward configuration described in Fig. 3(a). When the switch SW is in position 2, SP1 measures the fundamental . SP1 operates, in this frequency source impedance through case, in the reverse configuration described in Fig. 3(b). The source impedance can be adjusted by controlling the magnitude and phase settings of the vector modulator VM4. VM4 combines the functions of an electronic attenuator and an electronic phase shifter. It is controlled by two complementary pairs of voltages (I and Q), using a pseudogradient algorithm [15]. A high-gain amplifier, A5 in Fig. 8, compensates for losses in the active branch. Isolator I5 protects the components in the active source impedance branch from any mismatch caused by the DUT. In the presence of broadband signals, variable delay lines are used to compensate for the delay between envelopes and , on one hand, and and , on the other hand. In the output path, the multiharmonic generator detailed in Section II is fed by the RF vector signal generator and provides RF signals at GHz, GHz, and GHz. The frequency components of this multiharmonic signal are separated by a high-directivity triplexer TRX1 [16] and fed to the appropriate active branch of the load impedance tune system. The fundamental frequency component is available at

output Port 1, the second harmonic frequency component at Port 2, and the third harmonic frequency component at Port 3 of TRX1. The active branch dedicated to the load impedance control at the fundamental frequency is composed of a vector modulator VM1, a high-gain amplifier A1, and an isolator I1. Based on and are the same principle, active branches operating at composed of VM2, A2, and I2, as well as VM3, A3, and I3, respectively. The triplexer TRX2 combines the individually amplitude- and phase-adjusted spectral components and delivers the synthesized multiharmonic signals to the output terminal of and load reflection cothe DUT. The output power efficient are measured by means of the SP reflectometer SP2 only at the most relevant harmonic frequencies, i.e., , , and . The SP reflectometer SP3, which is situated between the power divider DIV3 and the directional coupler C1, is dedicated to voltage and current waveform measurements. repIn the time-domain measurement plane in Fig. 8, resents the reflection coefficient presented by the DUT output represents the reflection coefficient presented impedance. by the source impedance of the SP reflectometer SP3 when the . is the reflection coharmonic generator is OFF, i.e., efficient measured by SP3 in reverse configuration, when the DUT, multiharmonic generator, and the load impedance tune system are operating. and are injected by the multiharmonic The waves generator and DUT, respectively. If the directional coupler C1 is a complex constant. Otherwise provides high directivity, is not constant, but rather a function of the reflection coefand ficient provided by the load impedance tune system. in Fig. 8 are defined as total voltage waves in the time-domain measurement plane traveling toward SP3 and the DUT, respectively. A similar equation to (17) can be derived to express the voltage wave reflected from the output of the DUT and is in Fig. 8 as follows: denoted as (18) in (18) has been determined in the calibration prowhereas , cedure by replacing the DUT with a reference generator, , and need to be measured with either an SP recan now be determined and can flectometer or a VNA. be calculated according to (19) where is measured by the SP reflectometer SP2 in Fig. 8. A drawback of measurement systems using SP reflectometers in a reverse configuration is the drastic loss of measurement accuracy in a 50- environment. This is not an issue, however, for source– and load–pull systems since the impedance levels are typically different form the system reference impedance, ensuring sufficient precision for the reflection coefficient measurement in the proposed setup. Finally, voltage and current waveforms at the DUT output port are obtained using (1)–(4) as follows: (20) (21)

BENSMIDA et al.: NEW TIME-DOMAIN VOLTAGE AND CURRENT WAVEFORM MEASUREMENT SETUP

229

Fig. 8. Complete architecture of the proposed source– and load–pull measurement system suitable for determining high-frequency time-domain waveform measurements.

Due to the bandwidth limitation of the used SP reflectometers, only fundamental, second, and third harmonic frequency components can be measured. However, these measurements are sufficient to determine the shape of time-domain voltage and current waveforms accurately. The instantaneous voltage and current waveforms at the output terminal of the DUT are given by (22) and (23) and ( ) are measured with SP2 and SP3, and are measured with a bias instrument. For whereas the sake of clarity, biasing circuits and instruments have been omitted from Fig. 8. The instantaneous output power at the output terminal of the DUT is described by (24) Positive instantaneous power means that the power is dissipated by the DUT. A negative means that the current flows in the opposite direction of convention. Hence, in this

setup, negative instantaneous power means the power is dissipated in the load. For large-signal time-domain characterization, the achievable sensitivity and minimum power detection level of the SP is more than enough to measure accurately waveforms. The system developed is fully automated; hence, a full load–pull source–pull characterization is normally completed within 1 h. VI. EXPERIMENTAL VERIFICATION OF THE TIME-DOMAIN VOLTAGE/CURRENT SOURCE– AND LOAD–PULL SYSTEM In order to verify the errors and validity of the proposed time-domain measurement approach, a Fujitsu FLC091WF medium power GaAs field-effect transistor (FET) was characterized under large-signal operation. The power device was biased in class AB at V and mA. The fundamental source and load impedances at , , and were tuned to optimize drain efficiency . A maximum of 73% was obtained with the source and load conditions listed in Table II. Voltage and current waveform measurements were performed using the system proposed in Fig. 8, and verified with an MTA-based system presented in [19]. The spectral components of the output voltage and current waveforms measured with the proposed SP reflectometer-based system and the MTA-based system are compared in Table III. Measured time-domain voltage and current waveforms at the output terminal of the FET are presented in Fig. 9. The simultaneous presence of high current and high voltage in the device

230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE II SUMMARY OF SOURCE– AND LOAD–PULL MEASUREMENTS DATA FROM [13]

TABLE III MEASURED VOLTAGE AND CURRENT FREQUENCY COMPONENTS AT THE OUTPUT OF THE DUT

in Fig. 9(a) and in Fig. 9(b) were calculated according to (22) and (23), respectively. The fact that instantaneous drain current can reach negative values has a significant effect on drain efficiency because the average current value is decreased. This result is in accordance with measurements reported in [17] and is observable only by using time-domain measurement systems. The results show that time-domain measurements using SP reflectometers are accurate and efficient. The difference between the waveforms measured by the SP reflectometer- and MTA-based system in Fig. 9 is mainly due to the limited bandwidth of the used SP reflectometer. The SP reflectometer-based system is capable of measuring only up to three harmonic components, whereas the MTA-based system can measure up to five harmonics. Moreover, intrinsic errors of the calibration procedure and the repeatability of the YIG filter tuning and the switch SP4T contacts also have a small impact. Considering these effects, the errors in voltage waveform measurements are evaluated as 1 mV for the dc component, 100 mV for the fundamental frequency component, and 50 mV for and . In the case of current waveform measurements, the errors amount to 1 mA for the dc component, 10 mA at , and 5 mA at and . Hence, the relative errors between the waveforms are in the order of 4% for current and 2% for voltage. VII. CONCLUSION

Fig. 9. Comparison of voltage and current waveforms measured with the proposed setup and an MTA. (a) Voltage waveform. (b) Current waveform.

In this paper, an original system has been presented to perform high-frequency voltage and current waveform measurements in a source– and load–pull environment. The proposed time-domain waveform measurement method is based on broadband SP reflectometer technology and is well suited to perform waveform measurements in non 50- systems. It also offers all the advantages of SP reflectometers, such as low equipment cost and flexibility in the presence of modulated signals. According to [12], SP reflectometers can be used to characterize circuits not only with CW, but also with complex modulated test signals. However, modifications to the proposed setup need to be done in order to be able to perform measurements with realistic signals. The developed calibration procedure for time-domain waveform measurements is simple. It requires only a well-known multiharmonic reference generator. To demonstrate the capability of the proposed setup, a medium-power device was tuned for maximum efficiency by harmonic tuning. Time-domain voltage and current waveform measurements were performed with the proposed setup and, for comparison, an MTA-based system. The maximum relative error of the measured waveforms is approximately 4%. This excellent agreement demonstrates the accuracy and capability of this novel SP reflectometer-based method. ACKNOWLEDGMENT

is minimized by tuning the load impedances at the most relevant harmonic frequencies for maximum efficiency. It can be seen in Fig. 9(a) that the drain-to-source voltage is shaped much like half of a sine wave, whereas the drain current in Fig. 9(b) has a flatter top, indicating a higher odd harmonic content. In this way, the power dissipated in the device is minimized.

The authors would like to thank J. Verspecht, Jan Verspecht bvba, Steenhuffel, Belgium, and the former Network Measurement and Description Group, Hewlett-Packard Company, Brussels, Belgium, for their assistance in the design and the calibration of the multiharmonic generator. The Canadian Space Agency is thanked for their technical support.

BENSMIDA et al.: NEW TIME-DOMAIN VOLTAGE AND CURRENT WAVEFORM MEASUREMENT SETUP

REFERENCES [1] M. Sipila, K. Lehtinen, and V. Pora, “High frequency periodic timedomain waveform measurement system,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 10, pp. 1397–1405, Oct. 1988. [2] U. Lott, “Measurement of magnitude and phase of harmonics generated in nonlinear microwave two-ports,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 10, pp. 1506–1511, Oct. 1989. [3] G. Kompa and F. V. Raay, “Error-corrected large-signal waveform measurement system combining network analyzer and sampling oscilloscope capabilities,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 4, pp. 358–365, Apr. 1990. [4] M. Weiss and Z. Popovic´ , “Time-domain optical sampling of nonlinear microwave power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 889–892. [5] F. V. Raay and G. Kompa, “A new on-wafer large-signal waveform measurement system with 40 GHz harmonic bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1992, pp. 1435–1438. [6] J. Verspecht, P. Debie, A. Barel, and L. Martens, “Accurate on wafer measurement of phase and amplitude of the spectral components of incident and scattered voltage waves at the signal ports of a nonlinear microwave device,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1995, pp. 1029–1032. [7] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Standards Technol., vol. 97, no. 5, pp. 533–562, Sep. –Oct. 1992. [8] J. G. Leckey, A. D. Patterson, and J. A. C. Stewart, “A vector corrected waveform and load line measurement system for large signal transistor characterization,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1995, vol. 3, pp. 1243–1246. [9] F. V. Raay and G. Kompa, “Combination of waveform and load–pull measurements,” in Proc. IEEE Experimentally Based FET Device Modeling and Related Nonlinear Circuit Design Workshop, Jul. 1997, pp. 10.1–10.11. [10] D. Barataud, F. Blache, A. Mallet, P. P. Bouysse, J.-M. Nebus, J. P. Villotte, J. Obregon, J. Verspecht, and P. Auxemery, “Measurements of time-domain voltage/current waveforms at RF and microwave frequencies based on the use of a vector network analyzer for the characterization of nonlinear devices-application to high-efficiency power amplifiers and frequency-multipliers optimization,” IEEE Trans. Instrum. Meas., vol. 47, no. 4, pp. 335–342, Aug. 1999. [11] D. J. Williams and P. J. Tasker, “An automated active source and load pull measurement system,” Proc. 6th IEEE High-Freq. Postgraduate Student Colloq., pp. 7–12, Sep. 2001. [12] S. Bensmida, E. Bergeault, G. I. Abib, and B. Huyart, “Power amplifier characterization: An active load–pull system based on six-port reflectometer using complex modulated carrier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2707–2712, Jun. 2006. [13] S. Bensmida, P. Poire, and F. M. Ghannouchi, “Source–pull/load–pull measurement system based on RF and baseband coherent active branches using broadband six-port reflectometers,” presented at the EUMW, Munich, Germany, Oct. 2007. [14] J. Verspecht, “Large-signal network analysis,” IEEE Micro, vol. 6, no. 4, pp. 82–92, Dec. 2005. [15] P. Poire, Le Di-Luan, and F. M. Ghannouchi, “A PC controlled fully automatic active load–pull measurement system using a pseudo-gradient algorithm,” in IEEE Instrum. Meas. Tech. Conf., Apr. 1995, pp. 628–631. [16] B. J. Minnis, “Printed circuit coupled-line filters for bandwidths up to and greater than an octave,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 3, pp. 215–222, Mar. 1981. [17] C. J. Wei, Y. A. Tkachenko, J. C. M. Hwang, K. R. Smith, and A. H. Peake, “Internal-node waveform analysis of MMIC power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 3037–3042, Dec. 1995. [18] E. Bergeault, B. Huyart, G. Geneves, and L. Jallet, “Accuracy analysis for six-port automated network analyzers,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 492–496, Mar. 1990. [19] N. Boulejfen, F. M. Ghannouchi, and A. B. Kouki, “A frequency/time domain characterization technique for frequency-translating devices,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 2, pp. 937–940. [20] P. S. Blockley, J. B. Scott, D. Gunyan, and A. E. Parker, “Nonlinear network analysis and measurement,” in Proc. Applicat. in Radio Sci. Workshop, Blue Mountains, N.S.W., Australia, Feb. 2006, pp. 1–10. [21] J. Verspecht, F. D. Groote, and J.-P. Teyssier, “Advanced measurement technologies for characterizing power transistors,” in Proc. IEEE Top. Power Amplifiers for Wireless Commun. Symp., Long Beach, CA, Jan. 2007 [Online]. Available: http://www.janverspecht.com/pdf/abstract_invited_paper_pas_2007_pdf, session 1.2

231

Souheil Bensmida was born in Gafsa, Tunisia, in 1977. He received the DEA degree in electronics and instrumentation from the University of Pierre and Marie Curie Paris 6, Paris, France, in 2000, and the Ph.D. degree in electronics and communications from the Ecole Nationale Supérieure des Télécommunications (ENST), Paris, France, in 2005. Since October 2006, he has been a Post-Doctoral Fellow with the iRadio Laboratory, University of Calgary, Calgary, AB, Canada. His research interest is the nonlinear characterization and linearization of power amplifiers for mobile and satellite applications and microwave instrumentation.

Philippe Poiré received the Ph.D. degree in electrical engineering from Ecole Polytechnique de Montréal, Montréal, QC, Canada, in 2000. He is currently a Project Manager with Alcatel Alenia Space, Toulouse, France, possessing seven years of experience in project management. He is involved in the area related to radio integrity for liability critical applications. He is also currently involved with other project management, among which is SCORE, for the application of satellite navigation to the road sector.

Renato Negra (S’06–M’07) received the M.Sc. degree in telematics from the Graz University of Technology, Graz, Austria, in 1999, and the Ph.D. degree in electrical engineering from ETH Zürich, Zürich, Switzerland, in 2006. From 1998 to 2000, he was with Alcatel Space Norway AS (formerly AME Space AS), Horten, Norway, where he was involved in the design and characterization of space-qualified RF equipment. In April 2000, he joined the Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zürich. Since January 2006, he has been a Post-Doctoral Fellow with the iRadio Laboratory, University of Calgary, Calgary, AB, Canada. His research interests are linearization techniques, highly efficient power amplifiers, and advanced wireless transmitter architectures.

Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’06) received the Ph.D. degree in electrical engineering from the University of Montréal, Montréal, QC, Canada, in 1987. He is currently an iCORE Professor, a Canada Research Chair, and the Director of the iRadio Laboratory, Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada. He has held invited positions at several academic and research institutions in Europe, North America, and Japan. He has authored or coauthored over 300 publications. He holds seven patents. His research interests are in the areas of microwave instrumentation, modeling of microwave devices and communications systems, design and linearization of RF amplifiers, and software-define-radio (SDR) systems.

Gilles Brassard received the B.Eng degree in electronics from Université Laval, Quebec, QC, Canada, in 1984, and the M.Sc. degree in microwave electronics from the Ecole Polytechnique de Montréal, Montréal, QC, Canada, in 1993. From 1984 to 1996, he was with Spar Aerospace Ltd. From 1996 to 1999, he was an independent consultant. He is currently the Manager of the Digital and Power Electronics Group, Space Technologies Branch, Canadian Space Agency, Saint-Hubert, QC, Canada.

232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Millimeter-Wave Fiber-Fed Wireless Access Systems Based on Dense Wavelength-Division-Multiplexing Networks Chang-Soon Choi, Member, IEEE, Yozo Shoji, Member, IEEE, and Hiroyo Ogawa, Fellow, IEEE

Abstract—This paper describes new 60-GHz-band bidirectional fiber-fed wireless access systems, which provide simple antenna base-station architecture and full compatibility with dense wavelength-division multiplexing (DWDM) fiber-optic networks. For downlink millimeter-wave signal generation, the proposed scheme utilizes uplink optical transmitters as downlink optical heterodyne sources, which promises wavelength allocations of downlink and uplink signals that are fully compatible with those of DWDM networks. The frequency instability and poor phase-noise characteristics of these optically heterodyned signals do not affect transmission quality at all by using self-heterodyne wireless transmission techniques. Experimental results demonstrate that the proposed scheme provides not only strong immunity to phase-noise degradation coming from both fiber and millimeter-wave links, but also less sensitivity to fiber transmission loss than conventional remote optical heterodyne approaches. With the help of these attractive features, we successfully demonstrate both downlink and uplink orthogonal frequency-division multiplexing data transmissions in both 10-km fiber-optic and 60-Hz links. Index Terms—Arrayed-waveguide grating (AWG), dense wavelength division multiplexing (DWDM), millimeter-wave communication, optical heterodyne scheme, phase noise, radio-on-fiber, self-heterodyne scheme, 60 GHz.

I. INTRODUCTION

G

ROWING demand for gigabit wireless transmission has stimulated considerable interest in the millimeter-wave frequency band due to their wide transmission bandwidth and unlicensed frequency band, especially 60-GHz band. In spite of these attractive features, millimeter-wave wireless cellular communication systems are not yet widespread because they require a large number of antenna base stations to compensate high atmospheric loss of millimeter waves. Fiber feeders are seen as promising solutions to these problems because they offer not only low-loss and flexible wireline transmission medium, but also efficient incorporation with previously deployed fiber-optic networks. With these advantages, the fiber-fed wireless access systems are now being used in many commercial applications Manuscript received June 20, 2007. C.-S. Choi was with the New Generation Wireless Communication Research Center, National Institute of Information and Communications Technology (NiCT), Yokosuka 239-0847, Kanagawa, Japan. He is now with IHP GmbH, 15236 Frankfurt (Oder), Germany (e-mail: [email protected]). Y. Shoji and H. Ogawa are with the New Generation Wireless Communication Research Center, National Institute of Information and Communications Technology (NiCT), Yokosuka 239-0847, Kanagawa, Japan (e-mail: shoji@ nict.go.jp). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912219

Fig. 1. Millimeter-wave fiber-fed wireless access system based on WDM networks.

such as high-speed wireless local area network, gigabit wireless personal area networks, and intelligent traffic systems [1]–[3]. In these fiber-fed approaches, one of the important technical issues is to find an efficient way to optically interconnect a single central office and many antenna base stations [4], [5]. We believe wavelength-division-multiplexing (WDM) techniques are attractive solutions since recent progress in WDM-based wireline access network, e.g., WDM passive optical networks, enables gigabit transmission to many different subscribers in different types of locations [6], [7]. Moreover, it promises these wireless access systems to be fully compatible with existing WDM-based fiber-optic backbone infrastructure. Fig. 1 shows an example of a millimeter-wave fiber-fed wireless access system based on the WDM network. As WDM channel spacing decreases to below 100 GHz, i.e., the dense WDM (DWDM) regime, it becomes much more difficult to transmit optically generated millimeter-wave signals in both bidirectional transmission links through DWDM fiber-optic networks since the allowed channel bandwidth in arrayed waveguide grating (AWG) is becoming much lower than millimeter-wave frequency. To overcome theses problems and increase optical spectral efficiency, various types of optical frequency-interleaving techniques have been proposed for these DWDM-based fiber-fed millimeter-wave wireless access systems [8], [9]. As another alternative, remote frequency-conversion schemes, in which the frequency upconversion to and downconversion from the millimeter-wave band are carried out at the antenna base station, have been extensively investigated because low-frequency data/IF signals can be easily transmitted over DWDM fiber-optic networks [10], [11]. Moreover, this approach is hardly affected by fiber chromatic dispersion that induces carrier-to-noise ratio (CNR) penalty and phase-noise degradation of optically transmitted signals [12]. However,

0018-9480/$25.00 © 2007 IEEE

CHOI et al.: MILLIMETER-WAVE FIBER-FED WIRELESS ACCESS SYSTEMS BASED ON DWDM NETWORKS

the complex antenna base-station architecture is inevitable for this approach since both millimeter-wave band frequency mixers and phase-locked oscillators are required to perform frequency upconversion and downconversion for fiber-fed millimeter-wave downlink and uplink transmissions, respectively. Optical local oscillator (LO) distribution and opto-electronic mixers that can lessen the burden of these RF components may be a possible solution, but they also impose on the difficulties in optical LO transmission through DWDM networks [13], [14]. In this paper, we propose a new approach to construct 60-GHz-band bidirectional fiber-fed wireless access systems based on DWDM networks. By utilizing uplink optical signals as downlink optical heterodyne signals, the above-mentioned difficulties can be overcome without introducing complex optical frequency interleaving techniques or an exceptionally designed AWG [15]. The excessive RF phase-noise originating from these uncorrelated uplink and downlink optical signals exerts negligible influence on the transmission performance because of the use of self-heterodyne transmission techniques [16], which make it possible to perform phase-modulated data transmission without expensive phase-locked oscillators at both transmitter and receiver sides. With the help of these excellent phase-noise-free transmission characteristics, 60-GHz-band bi-directional transmissions of hundreds of megabits/second of orthogonal frequency-division-multiplexing (OFDM) data signals are successfully demonstrated. This paper is organized as follows. Section II describes the system configuration and key advantages of the proposed fiber-fed wireless access system concept. In Section III, we show the phase-noise cancellation provided by self-heterodyne transmission and explain the optimization procedure for self-heterodyne wireless transmission. Section IV is devoted to describe experimental demonstrations of OFDM signals in the constructed 60-GHz fiber-fed wireless access system. Link performance results for downlink and uplink are also presented. Finally, this paper ends with a conclusion in Section V. II. PROPOSED MILLIMETER-WAVE BIDIRECTIONAL FIBER-FED WIRELESS ACCESS SYSTEM A. System Configuration Fig. 2(a) is a schematic configuration of the proposed millimeter-wave bidirectional fiber-fed wireless access systems based on a DWDM network. Fig. 2(b) shows the optical spectra at each node. For downlink transmission, each optical transmitter located at the central office delivers optical data signals modulated with IF (A) into the assigned antenna base station for antenna base station with a dedicated wavelength, e.g., #1. At an antenna base station, the uplink optical signal (B), , is divided and one part of it is injected into the high-speed photodetector, getting along with downlink optical signals, as between shown in (C). The wavelength difference uplink and downlink optical signals is set to correspond to the desired millimeter-wave frequency band. This carrier frequency can be easily detuned by adjusting the operating temperature of the laser diode at an antenna base station by monitoring the wavelength of downlink optical signals.

233

Fig. 2. Proposed millimeter-wave bidirectional fiber-fed wireless access system based on a DWDM network. Laser diode (LD), photodetector (PD), multiplexer/demultiplexer (MUX/DEMUX).

As one can easily see, the resulting millimeter-wave signals after beating two optical signals suffer from severe frequency instability and phase-noise problem because uplink and downlink optical signals are not at all phase correlated. To overcome these problems, we use self-heterodyne transmission techniques that guarantee link performance to be independent of frequency instability and poor phase-noise characteristics resulting from free-running LO [16]. Fig. 3(a) schematically illustrates the self-heterodyne approaches used for downlink transmission assuming the use of single-sideband (SSB) transmission. Downlink data/IF signals are optically transmitted and frequency upconverted to a millimeter-wave frequency band by optical heterodyning with an uplink optical signal in the photodetector. It should be noted that the resulting RF signals and the LO carrier suffer from the same phase-noise degradation during the optical beating process. Therefore, if we simultaneously transmit desired RF signals together with the LO carrier, the receiver can completely cancel out the phase noise by frequency mixing of RF signals with the incoming LO carrier [16]. As shown in this figure, this self-heterodyne approach does not require any expensive high-frequency phase-locked oscillator for frequency upconversion and downconversion, which is expected to significantly reduce the complexity and cost of both antenna base station and mobile terminals. Furthermore, it has the potential to simplify central office architecture as well because it does not require any effort to make two optical heterodyne signals to be synchronized such as optical phase-locked loops [17] and optical injection-locking techniques [18]. It is also possible to use this self-heterodyne technique for uplink transmission. As shown in Fig. 3(b), uplink data signals

234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 3. Operation principle of self-heterodyne wireless transmission techniques for: (a) downlink and (b) uplink transmission in the proposed scheme. Laser diode (LD), photodetector (PD), bandpass filter (BPF), power amplifier (PA), low-noise amplifier (LNA).

on millimeter-wave carriers are transmitted from mobile terminals to antenna base stations and frequency downconverted to the IF band by self-heterodyne detection. In the uplink configuration shown in Fig. 2(a), these recovered data/IF signals are directly modulated to the uplink laser diode operating at and its output optical signals are easily delivered to the central office (D) through DWDM networks. There would be a concern that interference between uplink and downlink signals can cause performance degradation of transmitted signals, but careful filtering of uplink and downlink RF signals or time-domain switching between uplink and downlink transmission can be a possible solution. B. Optical Spectrum Allocation for DWDM Network Optical spectrum allocations for uplink and downlink optical signals are determined by channel spacing and the AWG bandwidth used to realize DWDM networks. We believe it is cost effective to follow the WDM channels allocated by the International Telecommunication Union (ITU) due to the availability of optical components and the compatibility with existing WDM-based backbone fiber-optic networks. Assuming that the desired frequency band is a 60-GHz band, 100-GHz channel-spacing AWG would enable transmission of both uplink and downlink optical signals in the same channels, as shown in Fig. 4(a), because it can provide a 3-dB bandwidth of approximately 60 GHz. In this case, optical circulators or optical switches can be utilized to segregate uplink and downlink optical signals. If users require denser WDM technologies, such as a 50-GHz spacing AWG, different optical channels are assigned to each uplink and downlink optical signals while maintaining their frequency spacing, as shown in Fig. 4(b). Even though channel spacing falls below 50 GHz, into an ultra-DWDM regime (e.g., 25- or 12.5-GHz channel spacing), the proposed scheme is still promising if frequency interleaving

Fig. 4. Optical spectrum allocations for downlink and uplink signals with DWDM networks are based on: (a) 100-, (b) 50-, and (c) 25-GHz channel spacing AWG.

techniques, which are illustrated in Fig. 4(c), are introduced. In addition to this full compatibility with DWDM technologies, another benefit of this approach is its high immunity to dispersion-induced carrier suppression problems with low-frequency data/IF transmission over fiber. This frees system designers from the CNR fading and phase-noise degradation problems caused by fiber transmission. III. EXPERIMENTAL DEMONSTRATION AND OPTIMIZATION OF PROPOSED SYSTEM CONFIGURATION A. Experimental Setup Fig. 5 shows the experimental setup we used to demonstrate the proposed scheme shown in Fig. 2, and Table I lists the main parameters used for the experimental setup. In our demonstrations, only one antenna base station was considered because we lacked the instruments to do more. A tunable laser source equipped with a Mach–Zehnder modulator (MZM) was used as a downlink optical transmitter, resulting in a double-sideband and com(DSB) self-heterodyne signal with ponents. After transmission through a single-mode fiber and an AWG with 50-GHz channel spacing, the downlink optical signals were combined with the part of the uplink optical signal generated from a distributed feedback (DFB) laser diode whose wavelength was 1554.4 nm. These two optical signals were

CHOI et al.: MILLIMETER-WAVE FIBER-FED WIRELESS ACCESS SYSTEMS BASED ON DWDM NETWORKS

235

Fig. 5. Experimental setup for the proposed 60-GHz-band fiber-fed wireless access systems for both uplink/downlink transmission.

TABLE I KEY PARAMETERS FOR EXPERIMENTAL SETUP

Fig. 6. IF output power of receiver module as a function of input RF power. Inset shows fabricated self-heterodyne receiver module.

injected into the uni-traveling-carrier photodiode (UTC-PD) that has a 3-dB bandwidth of over 50 GHz. The generated millimeter-wave signals from the photodiode were first amplified, and then connected to the self-heterodyne receiver module through an RF attenuator. The receiver module is made up of a low-noise amplifier, a self-heterodyne downconversion mixer, and an IF-band amplifier, which were fabricated using GaAs pseudomorphic HEMT (pHEMT) monolithic microwave integrated circuit (MMIC) technology. It should be noted that this self-heterodyne receiver has no LO circuit for frequency downconversion, which is another attractive factor for simplifying the receiver architecture. Fig. 6 shows the IF output power of the self-heterodyne receiver module as a function of input RF power. The outer view of the fabricated receiver module is also shown in the inset.

For uplink transmission, a self-heterodyne transmitter that generates 59-GHz LO carrier, 57.5-GHz lower sideband, and 60.5-GHz upper sideband RF signals was used, and its output signals were connected to the receiver module at the antenna base station after RF attenuation. Since we assumed time-domain switching for this scheme, we turned off the RF sources for downlink transmission while uplink data transmission was tried. In the receiver module, the uplink RF signals were frequency downconverted into an IF band as the result of frequency mixing with simultaneously received LO carrier. After 28-dB amplification, these IF signals were directly modulated to a DFB laser diode, which was used as the uplink optical transmitter, as well as the downlink optical heterodyne source. We used an optical circulator in the central office to segregate uplink optical signals from downlink optical signals, which could be replaced

236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 7. Optical spectrum measured before the input of photodetector. The wavelength separation between two optical signals corresponds to desired millimeter-wave frequency, i.e., 60 GHz.

Fig. 9. Spectrum for recovered 1.5-GHz IF signal measured at the output of self-heterodyne receiver.

Fig. 8. RF spectrum for: (a) DSB self-heterodyne frequency upconverted RF signals (f f ) and LO carrier (f ) and (b) SSB self-heterodyne fref ) and LO carrier (f ). quency upconverted RF signal (f

Fig. 10. Phase-noise characteristics of 1.5-GHz IF signals: (a) before and (b) after fiber-optic and millimeter-wave self-heterodyne transmission.

6

6

with another 50-GHz-spacing AWG, as shown in Fig. 2. These transmitted uplink optical signals were converted back to electrical IF signals in the central office by the photoreceiver. B. Phase-Noise Cancellation by Self-Heterodyne Transmission Technique Fig. 7 shows the optical spectrum measured before the input of the photodetector to the antenna base station when IF is not modulated. The wavelength separation between two optical signals was precisely adjusted by controlling the temperature of the DFB laser diode by monitoring the RF spectrum of their optically heterodyned signals. Since these two optical signals are not at all phase correlated, it can be reasonably anticipated that output millimeter-wave signals by optical heterodyning cannot avoid severe frequency instability and poor phase-noise characteristics. Fig. 8(a) shows the DSB self-heterodyne frequency and LO carrier , and upconverted RF signals Fig. 8(b) shows the SSB self-heterodyne signals that were obtained by using an SSB optical modulator in the central office. In both cases, severe frequency instability varying with time and

phase-noise degradation for optically heterodyned signals can be seen. These deteriorative effects can be completely eliminated using the self-heterodyne transmission technique [16], [19]. The recovered 1.5-GHz IF signal spectrum, which was measured at the output of the self-heterodyne receiver in the mobile terminal, is shown in Fig. 9. Since both the received LO carrier and received RF signals suffer from the same frequency instability and phase-noise degradation during the frequency upconversion process, a frequency mixer at the self-heterodyne receiver completely cancels out these degradations by frequency mixing the RF signals with the received LO carrier. Thus, we can ideally obtain the same phase-noise characteristics as in the original signals. Fig. 10(a) and (b) shows the phase-noise characteristics for 1.5-GHz IF signals before and after downlink transmission, respectively. It can be seen that the degradation is not severe even though totally uncorrelated optical heterodyne signals are used for frequency upconversion. The slightly increased noise level at higher frequency offset than 20 kHz originated from an increased thermal noise level from active components, not from phase-noise degradation.

CHOI et al.: MILLIMETER-WAVE FIBER-FED WIRELESS ACCESS SYSTEMS BASED ON DWDM NETWORKS

Fig. 11. Transfer curve for MZM used for experiments.

237

Fig. 12. Power ratios of LO carrier to upper sideband signal as a function of applied dc bias to MZM. Points are measured data and the solid line is simulation results based on (1).

C. Optimization of MZM dc Bias for Self-Heterodyne Transmission In this self-heterodyne transmission scheme, the power ratio of the LO carrier to RF signal is one of the critical parameters in determining total system performance. To achieve the highest CNR, we did a theoretical analysis and concluded that LO carrier power should be equal to RF signals [16]. From the viewpoint of carrier-to-intermodulation distortion (CIR), particularly third-order intermodulation distortion, it is preferable to have higher LO carrier power than RF signal power for self-heterodyne transmission [20]. Therefore, power balancing between the LO carrier and the RF signal power should be dynamically controlled considering system CNR and CIR quality requirements. In our proposed scheme, particularly the downlink transmission, this power balancing between the LO carrier and RF signals can be easily controlled by adjusting the dc-bias condition for the MZM located at the central office. Fig. 11 shows the measured optical transfer function of the DSB MZM used for this demonstration. When the sinusoidal signals are applied to the MZM with a dc bias, the transfer function can be expressed as [4]

(1)

where is the input optical power to modulator, is the voltage required to cause a differential phase shift of in the upper arm, is the dc bias for the MZM, is the rms amplitude is the RF angular frequency of the modulating sinusoid, and applied to the MZM. The solid line in Fig. 11 indicates the fitted results to this equation. It should be noted that the power ratio of dc component to modulation frequency component in this equation directly corresponds to the power balancing between the LO carrier and the RF signals in self-heterodyne transmission, as illustrated in Fig. 3(a). As one can see in this equation, this power ratio depends strongly on the applied bias condition relative to , although their relationship is nonlinear. Fig. 12 shows the influence of applied bias voltage to the MZM on the power ratio of

LO carrier to RF signals in the 60-GHz band. The points are the measured power ratio of the LO carrier to upper sideband signals when the 1.5-GHz IF signal with 16-dBm power was applied to the MZM. The solid line is the simulated power ratio of dc to 1.5-GHz IF components obtained from the fast Fourier transform (FFT) spectrum of the fitted (1) to the measured transfer curve. The well-matched results confirm that the power ratio of the LO carrier to RF signals in self-heterodyne signals is dominated by MZM output optical signals, which can be controlled by applied dc bias. For example, to make a 10-dB power ratio of the LO carrier to the RF signal, we simply set the MZM dc-bias condition to 5.5 V. The discrepancy between measured and simulated results is believed to be due to imperfect parameter estimation such as that caused by cable loss, optical loss, and the responsivity of the photodetector. D. Improved Immunity to Optical Transmission Loss Since only the downlink optical data signal gets through fiberoptic channels and the optical heterodyne signal does not, we expected that the generated millimeter-wave signal power and the recovered data/IF signal at the mobile terminal would be less sensitive to fiber transmission loss than the remote optical heterodyne technique where two optical signals are simultaneously transmitted from a central office [19]. Fig. 13(a) illustrates the experimental configuration for the proposed scheme, known as the local optical heterodyne, and conventional remote optical heterodyne scheme. The recovered IF signal powers are shown in Fig. 13(b) as a function of the fiber transmission loss caused by the optical attenuator. In this experimental configuration, the 0-dB fiber transmission loss corresponds to 8-dBm downlink optical signal powers. As shown in these results, our proposed scheme maintains high received IF power despite suffering high optical transmission loss, which may mean that it can provide longer fiber-optic transmission distance than remote optical heterodyne approaches. This feature also enables removal of optical amplifiers such as an erbium-doped fiber amplifier in fiber-optic links, which significantly reduces system construction cost.

238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

TABLE II MAIN SPECIFICATION OF OFDM IF/BASEBAND MODEM

TABLE III AVAILABLE TRANSMISSION MODES OF OFDM MODEM

Fig. 13. (a) Experimental configuration of proposed scheme, local optical heterodyne, and remote optical heterodyne schemes. (b) Recovered IF signal power as a function of optical loss caused by optical attenuator. Laser diode (LD) and photodetector (PD).

IV. DEMONSTRATION OF BI-DIRECTIONAL OFDM SIGNAL TRANSMISSION A. OFDM Modem and Experimental Setup OFDM techniques have been widely adopted in various types of modern communication systems such as wireless local area network and digital video terrestrial broadcasting systems due to their robustness in multipath channel environments and efficient use of frequency resources. However, their RF system architecture phase-noise specifications must be more stringent than those of conventional single-carrier modulation schemes for them to maintain the orthogonality of each sub-carrier [21], [22]. In these types of fiber-fed wireless access systems, there are two major causes of phase-noise deterioration. One is dispersion from fiber-optic links [12], and the other originates from RF links, particularly the LO phase-noise during frequency upconversion/downconversion [21]. As discussed above, our proposed scheme enables low-frequency data/IF transmission over fiber, which makes the fiber-optic links insensitive to dispersion problems. The effect of phase-noise characteristics origi-

nating from totally uncorrelated optical heterodyne sources in downlink transmission and free-running LOs in uplink transmissions, can be completely eliminated using self-heterodyne transmission techniques. With the help of our scheme’s excellent phase-noise characteristics, we successfully demonstrated both uplink and downlink transmission of OFDM signals. The system configuration for these experimental demonstrations is identical to that shown in Fig. 5, except that it uses 100-MHz sampling OFDM modems. The basic system parameters and available modulation modes for OFDM modem are shown in Tables II and III, respectively. Detailed descriptions of the OFDM modem architecture and its frame structure can be found in Shoji et al. [23]. For downlink transmission, the OFDM data signals at 1.5-GHz IF were modulated to the DSB MZM and its output optical signals at 1554.88 nm were transmitted over back-to-back or 10-km fiber-optic links. After optical heterodyning with the part of the uplink optical carrier at 1554.4 nm, these frequency upconverted signals in the 60-GHz band were amplified by a 17-dB amplifier and directly connected to a self-heterodyne receiver with an RF attenuator.

CHOI et al.: MILLIMETER-WAVE FIBER-FED WIRELESS ACCESS SYSTEMS BASED ON DWDM NETWORKS

Fig. 14. Spectrum of received downlink OFDM signal in 1.5-GHz IF band.

239

Fig. 15. BER and PER for QPSK signal transmission as a function of downlink optical signal power in the cases of back-to-back and 10-km transmission.

These 60-GHz-band signals were frequency downconverted into the 1.5-GHz IF band and connected to the OFDM demodulator, whose spectrum is shown in Fig. 14. B. Downlink Transmission Link performance was evaluated by measuring bit-error rate (BER) and packet-error rate (PER) as a function of downlink optical data/IF signal power injected into the UTC-PD. To measure them, 8.4 10 bits and 7281 packets were transmitted 20 times and average BER and PER were calculated. Fig. 15 shows the measured BER and PER characteristics for quadrature phase-shift keying (QPSK) modulation without any forward error correction, corresponding to a 132-Mb/s payload bit rate. The optical heterodyne carrier that was simultaneously injected into UTC-PD with downlink optical signals has a power of 1.75 dBm. At downlink optical signal power higher than 17 dBm, we achieved error-free transmission in the experiment. The constellation for recovered QPSK signals is shown in the inset of Fig. 15. By comparing the BER results for 10-km fiber transmission to those for back-to-back conditions, it can be seen that there is no significant transmission penalty at identical input optical IF power. The results affirm that the remote upconversion/downconversion schemes incur only a negligible dispersion-induced transmission penalty. We also evaluated downlink BER performance for various modulation formats including eight PSK and 16 quadrature amplitude modulation (QAM) with the same half-coding rate , as shown in Fig. 16. The constellation diagrams for demodulated signals when downlink optical IF power is 20 dBm are also shown in the inset of Fig. 16. As one can see from this figure, we successfully demonstrated 8-PSK and 16-QAM data transmissions with much higher phase-noise requirement than the QPSK data format. C. Uplink Transmission Uplink transmissions were also demonstrated using the experimental setup shown in Fig. 5. During the uplink transmis-

Fig. 16. BER for different modulations as a function of downlink optical signal power. The inset shows the constellations for recovered 8-PSK and 16-QAM signals.

sion, we did not apply OFDM data signals to the MZM used for downlink optical signals and disconnected 60-GHz links between the antenna base station and the mobile terminal because we assumed time-domain multiplexing would segregate uplink/ downlink transmissions. Fig. 17 shows the BER and PER performance of uplink transmission when un-coded QPSK data signals were transmitted. Uplink optical signal powers were measured at the input of photoreceiver at the central office. The inset is one example constellation of recovered QPSK signals when uplink optical signal has the power of 12 dBm. Since uplink fiber transmission is also based on low-frequency data/IF transmission over fiber, the link performance is free from dispersion-induced CNR degradation problems.

240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 17. BER and PER for QPSK signal transmission as a function of uplink optical signal power.

V. CONCLUSION A new 60-GHz-band bidirectional fiber-fed wireless access systems based on DWDM networks was presented and its feasibility was experimentally demonstrated. This scheme utilizes uplink optical signals as downlink optical heterodyne carrier, which eliminates the need for complex antenna base station and central office architectures. The phase-noise problems originating from the lack of correlation between two optical heterodyne signals were overcome by using self-heterodyne transmission techniques. We experimentally demonstrated OFDM signals transmissions with our proposed fiber-fed 60-GHz wireless access system by measuring BER and PER characteristics for both uplink and downlinks. Since this scheme is based on low-frequency data/IF transmission over fiber, it is expected to provide full compatibility with DWDM techniques, which also provide a simple way of merging fiber-optic wireline networks with millimeter-wave communication systems. REFERENCES [1] IEEE 802.15 Working Group for WPAN , IEEE Standard 802.15, 2007. [Online]. Available: http://grouper.ieee.org/groups/802/15 [2] G. Wu, Y. Hase, and M. Inoue, “An ATM-based indoor millimeterwave wireless LAN for multimedia transmissions,” IEICE Trans. Commun., vol. E83-B, no. 8, pp. 1740–1752, Aug. 2000. [3] L. Raffaelli, “Millimeter-wave automotive radars and related technology,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, pp. 35–35. [4] H. Al-Raweshidy and K. Shozo, Radio-on-Fiber Technologies for Mobile Communication Networks. Norwood, MA: Artech House, 2002. [5] A. J. Seeds, “Microwave photonics,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 877–887, Mar. 2002. [6] S.-J. Park, C.-H. Lee, K.-T. Jeong, H.-J. Park, J.-G. Ahn, and K.-H. Song, “Fiber-to-the-home services based on wavelength-division-multiplexing passive optical network,” J. Lightw. Technol., vol. 22, no. 11, pp. 2582–2591, Nov. 2004. [7] W. Lee, M.-Y. Park, S.-H. Cho, J. Lee, C. Kim, G. Jeong, and B.-W. Kim, “Bidirectional WDM-PON based on gain-saturated reflective semiconductor optical amplifier,” IEEE Photon. Technol. Lett., vol. 17, no. 11, pp. 2460–2462, Nov. 2005. [8] C. Lim, A. Nirmalathas, D. Novak, and R. Waterhouse, “Capacity analysis for WDM fiber-radio backbones with star-tree and ring architecture incorporating wavelength interleaving,” J. Lightw. Technol, vol. 21, no. 12, pp. 3308–3315, Dec. 2003.

[9] H. Toda, T. Yamashita, T. Kuri, and K.-I. Kitayama, “Demultiplexing using an arrayed-waveguide grating for frequency-interleaved DWDM millimeter-wave radio-on-fiber systems,” J. Lightw. Technol., vol. 21, no. 8, pp. 1735–1741, Aug. 2003. [10] C.-S. Choi, H.-S. Kang, W.-Y. Choi, D.-H. Kim, and K.-S. Seo, “Phototransistors based on InP HEMTs and their applications to millimeterwave radio-on-fiber systems,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 256–263, Jan. 2005. [11] H. Kamitsuna, Y. Matsuoka, S. Yamahata, and N. Shigekawa, “Ultrahigh-speed InP/InGaAs DHPT for OEMMIC,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1921–1925, Oct. 2001. [12] U. Gliese, S. Norskov, and T. N. Nielsen, “Chromatic dispersion in fiber-optic microwave and millimeter-wave links,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 10, pp. 1716–1724, Oct. 1996. [13] C.-S. Choi, J.-H. Seo, W.-Y. Choi, H. Kamitsuna, M. Ida, and K. Kurishima, “60 GHz bidirectional radio-on-fiber links based on InP–InGaAs HPT optoelectronic mixers,” IEEE Photon. Technol. Lett., vol. 17, no. 12, pp. 2721–2743, Dec. 2005. [14] Y.-K. Seo, C.-S. Choi, and W.-Y. Choi, “All optical signal up-conversion for radio-on-fiber applications using cross-gain modulation in semiconductor optical amplifier,” IEEE Photon. Technol. Lett., vol. 14, no. 10, pp. 1448–1450, Oct. 2002. [15] C.-S. Choi, Y. Shoji, and H. Ogawa, “Novel WDM-based bi-directional radio-on-fiber networks for millimeter-wave access systems,” in Proc. Asia–Pacific Microw. Photon. Conf., 2006, pp. 351–354. [16] Y. Shoji, K. Hamguchi, and H. Ogawa, “Millimeter-wave remote selfheterodyne system for extremely stable and low-cost broadband signal transmission,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1458–1468, Jun. 2002. [17] L. N. Langley, M. D. Elkin, C. Edge, M. J. Wale, U. Gliese, X. Huang, and A. J. Seeds, “Packaged semiconductor laser optical phase-locked loop (OPLL) for photonic generation and transmission of microwave signals,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 1257–1264, Jun. 2002. [18] Y. J. Wen, H. F. Liu, and D. Novak, “Optical signal generation at millimeter-wave repetition rates using semiconductor lasers with pulsed subharmonic optical injection,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 6, pp. 1183–1183, Jun. 2001. [19] Y. Shoji, Y. Hashimoto, and H. Ogawa, “Fiber-optic broadband signal distribution link based on millimeter-wave self-heterodyne transmission/optical remote heterodyne detection technique,” IEICE Trans. Electron., vol. E88-C, no. 7, pp. 1465–1473, 2005. [20] C.-S. Choi and Y. Shoji, “Third-order intermodulation distortion characteristics of millimeter-wave self-heterodyne transmission techniques,” in Proc. Asia–Pacific Microw. Conf., Dec. 2006, pp. 343–347, FR3E-5. [21] L. Tomba, “On the effect of Wiener phase noise in OFDM systems,” IEEE Trans. Commun., vol. 26, no. 5, pp. 580–583, May 1998. [22] A. G. Armada, “Understanding the effects of phase noise in orthogonal frequency division multiplexing,” IEEE. Trans. Broadcast., vol. 47, no. 2, pp. 153–159, Jun. 2001. [23] Y. Shoji, C.-S. Choi, and H. Ogawa, “70 GHz-band OFDM transceivers based on self-heterodyne scheme for millimeter-wave wireless personal area network,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3664–3674, Oct. 2006.

Chang-Soon Choi (S’02–M’05) was born in Seoul, Korea, on 1977. He received the B.S., M.S., and Ph.D. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 1999, 2001, and 2005, respectively. His doctoral dissertation concerned high-speed photo-transistors and their applications to fiber-supported millimeter-wave wireless communication systems. In 2005, he joined the National Institute of Information and Communications Technology (NICT), Yokosuka, Kanagawa, Japan, where he was engaged in research and development of millimeter-wave wireless communication systems, gigabit WPANs, and microwave/millimeter-wave photonics systems. He is currently a Research Engineer with IHP GmbH, Frankfurt (Oder), Germany. His other research interests include opto-electronic MMICs and millimeter-wave CMOS.

CHOI et al.: MILLIMETER-WAVE FIBER-FED WIRELESS ACCESS SYSTEMS BASED ON DWDM NETWORKS

Yozo Shoji (S’98–M’99) received the B.E. and M.E. degrees in electrical engineering and Dr. Eng. degree in communications engineering from Osaka University, Osaka, Japan, in 1995, 1996, and 1999, respectively. In 1999, he joined the Yokosuka Radio Communications Research Center, Communication Research Laboratory (CRL), Ministry of Post and Telecommunications, Yokosuka, Japan, as a Researcher, where he had been engaged in research, development, and standardization of millimeter-wave wireless personal area network (WPAN) systems until 2007. He invented a novel millimeter-wave signal transmission technology, which is known as millimeter-wave self-heterodyne transmission technology, to realize the reduction of millimeter-wave device cost, as well as the improvement of stability in communicating. He is currently a Senior Researcher with the National Institute of Information and Communication Technology (NICT), Yokosuka, Kanagawa, Japan, where he is engaged in research on optical and millimeter-wave satellite communications systems. Dr. Shoji is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2003 Communications Research Laboratory (CRL) Excellent Achievement Award. He was also the recipient of the IEICE’s Young Researcher’s Award (2000), Communications Society’s Distinguished Contributions Award (2006), and the Electronics Society Award (2007).

241

Hiroyo Ogawa (M’84–SM’06–F’07) received the B.S., M.S., and Dr. Eng. degrees in electrical engineering from Hokkaido University, Sapporo, Japan, in 1974, 1976, and 1983, respectively. In 1976, he joined the Yokosuka Electrical Communication Laboratories, Nippon Telegraph and Telephone Public Corporation (NTT), Yokosuka, Japan, where he has been engaged in the research, development, and standardization of microwave and millimeter-wave circuits and systems with NTT Wireless Systems Laboratories, ATR Optical and Radio Communication Research Laboratories, and the Communication Research Laboratory, Ministry of Posts and Telecommunications. He is currently an Executive Director of the New Generation Wireless Communications Research Center, National Institute of Information and Communications Technology (NICT). He has contributed to propose novel microwave and millimeter-wave integrated circuit technologies whose structures are called uniplanar circuits, optically-fed millimeter-wave access systems that are known as radio-on-fiber (RoF), and millimeter-wave short-range systems using 60-GHz unlicensed frequency whose standard are now discussed within IEEE802.15.3c. Dr. Ogawa is a Fellow of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He has contributed to the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) as an associate editor for the IEEE MICROWAVE AND GUIDED WAVE LETTERS, a member of the IEEE MTT-S International Microwave Symposium (IMS) Technical Program Committee (TPC), and several IEEE MTT-S Technical Committees. He has served several international conferences such as the Asia–Pacific Microwave Conference (APMC), Topical Meeting on Microwave Photonics (MWP), Asia–Pacific Microwave Photonics Conference (AP-MWP), Topical Symposium on Millimeter Waves (TSMMW), and the IEEE802.15.3c Task Group as a chair or vice-chair of each committee. He also serves the APT Standardization Program (ASTAP) as one of the rapporteurs and several Telecommunications Councils of Ministry of Internal Affair and Communications (MIC) as a member.

242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Graphical Approach for Evaluating Performance Limitations in Externally Modulated Analog Photonic Links Frank Bucholtz, Member, IEEE, Vincent J. Urick, Member, IEEE, Modesto Godinez, Member, IEEE, and Keith J. Williams, Member, IEEE

Abstract—We present a novel graphical approach for evaluating the performance of analog photonic links employing an external intensity modulator biased at quadrature. A single graph is sufficient to grasp the engineering tradeoffs among noise figure, third-order spurious-free dynamic range, and RF gain for various values of half-wave voltage and dc photocurrent. Index Terms—Analog photonics, microwave photonics, photonic links.

I. INTRODUCTION IBER-OPTIC links are ideal for high-fidelity transport of analog RF, microwave, and millimeter-wave signals due to the low loss and high intrinsic bandwidth of the optical fiber. Some examples of applications include radio-over-fiber for cable television and cellular phone networks, and antenna remoting for military and commercial applications. Recent advances in high-power high-spectral purity laser sources and high-power high-bandwidth photodetectors have enabled significant improvement in analog link performance [1], [2]. Although expressions for the individual performance metrics of analog photonic links employing external Mach–Zehnder modulators (MZMs) are well known and well documented [3], the tradeoffs among these performance metrics are not always obvious. In this paper, we present a simple equation that relates the noise factor (NF) to the third-order spurious-free dynamic range (SFDR) of an analog link and we use the equation as the basis of a graphical representation of the link that provides a clear and succinct overview of the engineering trade space. We only consider analog photonic links that employ an external MZM biased at quadrature and that contain no optical amplifiers.

F

II. METRICS OF LINK PERFORMANCE Here we review the expressions for gain, NF, output third-order intercept point (OIP3), and third-order SFDR for the link shown in Fig. 1. These expressions can be combined into a simple equation that succinctly summarizes the engineering tradeoffs in link performance. We present a graphical approach based on the simplified equation that allows link Manuscript received July 10, 2007. The authors are with the Optical Sciences Division, Code 5656, Naval Research Laboratory, Washington, DC 20375 USA (e-mail: frank.bucholtz@nrl. navy.mil). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912224

Fig. 1. Block diagram of a standard intensity-modulated direct-detection RF photonic link. Mach–Zehnder modulator: MZM, photodetector: PD.

performance and link tradeoffs to be quickly and easily understood. For the system in Fig. 1, assume that the photodetector does not contain an internal load resistor so that the RF current produced by the photodetector flows predominantly through the load resistance of the measurement instrument, typically an RF power meter, electrical spectrum analyzer, or RF network analyzer. The efficiency of the MZM in transforming RF voltage at frequency into optical intensity modulation is characterized by the so-called half-wave voltage . Assuming the modulator is biased at quadrature, and that the input RF signals are sufficiently small to avoid compression effects (small-signal regime), the standard equations for RF gain ( ), NF, third-order SFDR, and the OIP3 in an unamplified link are as follows [3], [4]. The RF gain is given by (1)

where is the dc photocurrent, and and are the input and output impedances, respectively, of the photonic link. That is, we consider the link to be a “black box” having impedance at the RF input and impedance at the RF output. The RF gain depends both on input parameters and output parameters. For a given modulator and RF circuit, , , and are typically fixed so that the gain can be improved only by increasing , i.e., by increasing the average received optical power. Fig. 2 shows equi-gain contours as a function of and for the link in Fig. 1. Note that corresponds to . The NF is given by (2) is the electrical power spectral density of where noise at the link output at RF frequency , is the Boltzmann constant, and is the temperature (290 K).

0018-9480/$25.00 © 2007 IEEE

BUCHOLTZ et al.: GRAPHICAL APPROACH FOR EVALUATING PERFORMANCE LIMITATIONS IN EXTERNALLY MODULATED ANALOG PHOTONIC LINKS

Fig. 2. RF gain contours as a function of V and I

243

. Fig. 3. Third-order SFDR as a function of noise figure assuming Z

The third-order SFDR is given by (3) where the OIP3 is (4) include laser source intensity Noise contributors to fluctuations, amplified input thermal noise, shot noise, output thermal noise, and electrical noise generated in the measurement instrument itself. In the International System of units, the units of PSD are watts/hertz. The following expressions for the noise terms are used. Output thermal noise (5) Amplified input thermal noise (6) Shot noise (7) III. GRAPHICAL ANALYSIS OF LINK PERFORMANCE The expressions for the NF and SFDR can be combined to give (8) This simple expression comprises the basic result of this paper and serves as the basis for the graphical representation discussed in detail below. In contrast to the gain, this combination of performance metrics depends only on input RF parameters ( and ). The above equation leads to two important consequences for link performance. First, once a value is chosen, only certain combinations of the NF and SFDR are possible. Second, it is always possible simultaneously to minimize the NF and to maximize SFDR. A plot of SFDR versus NF for various values of assuming is presented in Fig. 3. The only possible pairs of values (NF, SFDR) for a link with a modulator having a particular are those along the corresponding straight line. For example, this plot shows that the SFDR of a V link can never

= 50 .

exceed approximately 121 dB Hz (point “A” in this figure). If instead the SFDR of the same link is measured to be 110 dB Hz limited by, for example, laser relative intensity noise, then the NF must be approximately 18 dB (point “B” in this figure). No increase in received optical power can improve this overall tradeoff, and the tradeoff itself is entirely independent of . the source and magnitude of the noise contributing to However, the exact point along the line where a particular link operates indeed does depend on both and on the magnitude of . Another feature of link performance brought out by this plot increases. is the improvement in SFDR for the fixed NF as Hence, perhaps contrary to conventional wisdom, low is not necessarily a desirable feature for optimizing all the performance metrics of a link. Low certainly does maximize link gain, but at the expense of link linearity. A better approach for improving overall link performance, including SFDR, is to inrather than to decrease . crease It should be noted that the tradeoff characterized by Fig. 3 does not provide a prescription for actually achieving either one of the NF or SFDR values individually. These are given by (2) and (3), respectively. Although Fig. 3 shows the lines of constant extending all the way to dB, unfortunately there exists a minimum value of the NF limited by two fundamental noise sources: , is thermal noise and shot noise. The minimum NF, i.e., easily calculated as (9) To illustrate the practical issues with actually achieving a as a function of particular NF value, in Fig. 4 we plot dc photocurrent for and . Also shown are lines corresponding to the NF in the pure shot-noise limit where

(10) The region of dc photocurrent over which the link NF is shotnoise limited can be easily seen in Fig. 4. (Note: the high end of the range of dc photocurrent values shown in this plot is impractically large. It was chosen merely to illustrate the overall

244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 4. Minimum noise figure NF as a function of dc photocurrent for various values of ( ). The straight line associated with each curve correspond ( + 1) ). to the purely shot-noise limited NF, i.e., where (2

V V

eI Z



G

kT

behavior of the curves.) For low , the NF curve bends up , away from the shot-noise limit due to output thermal noise while for large , the NF curve again worsens with respect to . shot noise, but now due to amplified input thermal noise Note that for large , there exists a relatively wide range of over which the link is shot-noise limited. In contrast, for V, corresponding to a highly efficient the small value modulator, the link NF is practically never shot-noise limited. From Fig. 4, it is seen that achieving dB for V requires mA—a challenging value at present. V modulator and By comparison, with a mA, a link dB is easily achievable but, in this case, the SFDR cannot exceed 121 dB Hz (Fig. 3) regardless of dc photocurrent. The effects of minimum NF can be included in the NF versus SFDR graph (Fig. 3) by plotting lines corresponding to the maximum SFDR for a given dc photocurrent due to , i.e., from (8), it must be true that

(11) , the set of points ( , For fixed dc photocurrent ) for all possible values of form a curve defined by combining (9) and (11). Fig. 5 shows four such curves corresponding to and mA. This graph shows that, even for mA, the SFDR can never exceed approximately 128 dB Hz regardless of the value of and that, for the same photocurrent, lower NF operating points will inevitably be accompanied by a decrease in SFDR. The limiting value of can be determined easily from (11) and (9) as follows:

Fig. 5. Graph showing points of maximum SFDR and corresponding minimum and . NF for various values of

I

A graph of the type shown in Fig. 5 thus incorporates most of the important tradeoff issues for an analog photonic link. For the combination of values of NF and SFDR must lie fixed along the corresponding diagonal line. Again, for fixed , there exists a dc photocurrent-dependent minimum value of NF due to the combined effects of thermal and shot noise. Associated with is a maximum possible SFDR. The curves on the thus represent the graph corresponding to various values of limits of performance of the system in terms of NF and SFDR. The graphical approach presented here is reminiscent of loadline analysis. For fixed , the link NF and SFDR values are constrained to lie somewhere along the corresponding diagonal “load-line.” The particular operating point along the load line —which determines OIP3, and together with depends on , determines the gain —and on the magnitude of the noise spectral density. One important link metric missing from Fig. 5 is the RF gain. In general, gain values cannot be easily added to this figure because is not determined uniquely by the NF and SFDR. However, if we limit ourselves to those points on the graph representing optimum performance (namely, and ), then gain contours indeed can be incorporated into the plot prois specified. The gain can be obvided the photocurrent tained by forming the product of the three link metrics (14) Note that, unlike the tradeoff between the NF and SFDR in (8), which is dependent only on input parameters, this tradeoff deand . From the above pends only on output parameters equation, we find (15) as

(12) For large , the maximum achievable SFDR is independent of and depends only on the photocurrent. Furthermore, for large and for large enough such that , the limiting value of the SFDR becomes (13)

V

the

RF

gain

corresponding to the combinations along the line corresponding to a fixed value . The result is shown in Fig. 6, which is again a plot of SFDR versus NF, but this time with contours of constant added. This graph thus conveys all the important information about the RF trade space for an analog link. The utility of this type of graphical representation can be better understood by considering the following practical examples.

BUCHOLTZ et al.: GRAPHICAL APPROACH FOR EVALUATING PERFORMANCE LIMITATIONS IN EXTERNALLY MODULATED ANALOG PHOTONIC LINKS

245

Fig. 6. Master graph for estimating the optimum performance of an analog photonic link given Z in = Z out = 50 . The diagonal lines correspond to the tradeoff between the NF and SFDR [see (8)]. The heavy lines for various I values indicate the cutoff in minimum NF (or maximum SFDR) due to thermal and ) combinations are also shown. shot noise. Gain contours corresponding to various (NF ; SFDR

Example 1: Consider a link employing a V modulator and assume that a maximum mA can be obtained from the photodetector. From the intersection of the V load line and the mA curve, we see that the maximum achievable SFDR is slightly greater than 120 dB Hz and that the corresponding minimum achievable NF is approximately 12 dB. At this optimum operating point, the RF gain is 14 dB. In practice, noise in the system in excess of the fundamental limits discussed above will push the operating point down and to the right along the load line. In these sub-optimum cases, the gain is not available directly from the plot and must be calculated independently. Nevertheless, the graph immediately gives values of the three link metrics— , NF and SFDR—when the link is operating at the absolute optimum tradeoff beand . tween the NF and SFDR, namely, at Example 2: As in Example 1, for a link capable of delivering mA, if a V modulator were used instead, the maximum achievable SFDR is still slightly greater than 120 dB Hz , but the noise figure has degraded to approximately 22 dB. On the other hand, if a V modulator were used, the maximum achievable SFDR would actually decrease to 119 dB Hz , but the noise figure would improve significantly to 4 dB. Example 3: Suppose the design goal was to achieve a sub-10-dB noise figure by whatever means. The graph shows that there exists a large range of and values that allow the desired NF. However, if maximizing SFDR is also a requirement, then it is necessary to have a large combined with a moderate-to-high modulator.

Recall that the entire analysis above assumed that the photodetector did not contain an internal termination resistor. However, in many practical cases, the photodetector does contain an internal 50- termination resistor. Here, the RF photocurrent is split between the internal resistor and the impedance of the measurement device (RF spectrum analyzer, network analyzer, etc.). If the measurement device presents 50- real impedance, then the RF photocurrent is split equally between internal and external resistances and, consequently, the photocurrent reaching the measurement device is reduced by a factor of 2 and the RF gain is reduced by a factor of 4. Clearly, inclusion of an internal termination resistor will affect the numerical results presented in the above plots. In the Appendix, we recalculate all the important quantities assuming an internal 50- resistor and we replot Fig. 6 to incorporate these modifications. Fig. 7 shows the results. Comparing Figs. 6 and 7, the effects of inclusion of an internal resistor are easily seen. From the discussion in the Appendix, we know that the diagonal tradeoff lines (”load lines”) are exactly the same as in Fig. 6, owing to the fact that these lines depend only on input parameters. For fixed values , however, has degraded slightly and the RF gain has decreased by 4 dB. For example, at mA V, dB, and dB, whereas at these same values with no internal resistor, dB and dB. The discrepancy in NFs becomes more pronounced at lower and higher . At ( mA, V), dB and dB, while dB and dB. Hence, the main effect of the internal resistor is the 6-dB decrease in RF gain; the effect on the minimum NF is relatively small.

246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 7. Graph corresponding to Fig. 6, but for a photodetector having an internal 50- resistor.

Finally, we note that (14) shows that , NF, and SFDR cannot be optimized simultaneously for minimum NF, maximum , and maximum SFDR. Optimizing one of the three metrics necessarily forces a tradeoff between the remaining two parameters. In addition, note that the overall tradeoff among these three performance metrics depends only on output parameters and and is independent of . By comparison, the tradeoff between the NF and SFDR depended only on input parameters and . IV. SUMMARY We have developed a graphical approach for rapidly assessing the maximum-achievable performance in a standard analog photonic link. The main feature of the graph is a “load-line” representing the tradeoff between the NF and SFDR. An improvement in SFDR is always accompanied by an improvement in the NF. However, the “optimum” link performance, obtained at the minimum NF and corresponding maximum SFDR, is limited fundamentally by thermal and shot noise. The limiting values, which depend on , are easily identified on the plot. Finally, the graph also shows the RF gain at the optimum operating points, thus allowing the user to quickly assess the ultimate capabilities of any link for various values of and .

Fig. 8. Comparison of a photodetector: (a) without and (b) with an internal 50- termination resistor. The internal resistor acts as a current divider such that only half the available RF photocurrent reaches the measurement instrument.

RF current generated by the photodiode reaches the measurement instrument. However, the dc photocurrent remains unchanged. Due to the internal resistor, the RF gain now becomes (16)

APPENDIX Here we recalculate the NF and SFDR tradeoff assuming an internal 50- termination resistor. The difference in physical implementation between the terminated and unterminated photodetector is shown in Fig. 8. The internal resistor acts as a current divider for the RF photocurrent, and as a result, only half the

where the prime indicates RF gain when an internal 50resistor is included. The OIP3 is similarly reduced by 6 dB and becomes (17)

BUCHOLTZ et al.: GRAPHICAL APPROACH FOR EVALUATING PERFORMANCE LIMITATIONS IN EXTERNALLY MODULATED ANALOG PHOTONIC LINKS

The tradeoff equation corresponding to (8) remains unchanged as follows:

(18) This result is not surprising since, in the end, this tradeoff de. pends only on input parameters and is independent of The minimum noise figure does change. It becomes

(19) However, the reader can easily verify that, except in regimes where either amplified input thermal noise or output thermal noise are dominant, the internal 50- termination resistor has virtually no effect on the noise figure. With these results, the gain contours can be calculated using (20) and the plot in Fig. 7 is obtained.

ACKNOWLEDGMENT The authors wish to acknowledge J. McKinney and P. Devgan, both with the Optical Sciences Division, Naval Research Laboratory, Washington, DC, for helpful comments and suggestions on this paper’s manuscript.

REFERENCES [1] C. Spiegelberg, J. Geng, Y. Hu, Y. Kaneda, S. Jiang, and N. Peyghambarian, “Low-noise narrow-linewidth fiber laser at 1550 nm,” J. Lightw. Technol., vol. 22, no. 1, pp. 57–62, Jan. 2004. [2] D. A. Tulchinsky, X. Li, N. Li, S. Demiguel, J. C. Campbell, and K. J. Williams, “High-saturation current wide-bandwidth photodetectors,” IEEE J. Sel. Topics Quantum Electron., vol. 10, no. 4, pp. 702–708, Apr. 2004. [3] C. H. Cox, III, E. I. Ackerman, G. E. Betts, and J. L. Prince, “Limits on the performance of RF-over-fiber links and their impact on device design,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 906–920, Feb. 2006. [4] V. J. Urick, M. S. Rogge, F. Bucholtz, and K. J. Williams, “The performance of analog photonic links employing highly compressed erbium-doped fiber amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3141–3145, Jul. 2006.

247

Frank Bucholtz (M’81) received the B.S. degree in physics and mathematics from Wayne State University, Detroit, MI, in 1975, and the M.S. and Ph.D. degrees in physics from Brown University, Providence, RI, in 1977 and 1981, respectively. From 1981 to 1983. he was a National Research Council (NRC) Post-Doctoral Research Associate with the Naval Research Laboratory (NRL), Washington, DC, where he conducted research in the area of ferrimagnetic devices for microwave signal processing. As a Research Physicist with the NRL, he was active in the areas of fiber-optic magnetic and chemical sensors, hyperspectral imaging for airborne surveillance, and analog photonics. He is currently Head of the Advanced Photonics Section, Optical Sciences Division, NRL, where he guides research efforts in microwave photonics, microphotonic devices, and information theory. Vincent J. Urick (M’05) received the B.S. degree (magna cum laude) in physics (with minors in electronics and mathematics) from Bloomsburg University, Bloomsburg, PA, in 2001, and the M.S. and Ph.D. degrees in physics from George Mason University, Fairfax, VA, in 2005 and 2007, respectively. In 2001, he joined the U.S. Naval Research Laboratory, Washington, DC, where he is a Research Physicist with the Photonics Technology Branch, involved with the development of analog-photonic systems, subsystems, and components. His current research interests include long-haul analog photonics, low-noise analog photonics, and highly linear analog photonics. Dr. Urick is a member of the Optical Society of America, Sigma Pi Sigma, and Phi Kappa Phi. Modesto Godinez (M’06) received the B.S. degree (magna cum laude) in physics from Oregon State University, Corvallis, in 2003 and the M.S. in physics from Portland State University, Portland, OR, in 2005. From 1995 to 2001, he was an Airborne Radar Technician aboard the U.S. Air Force E-3 AWACS radar surveillance aircraft. From 2005 to 2006, he was involved in the area of electromagnetic interference and compatibility for several groundand airborne-based sensors systems. He is currently involved in the areas of advanced photonics for SFA Inc. as a Research Physicist with the Optical Sciences Division, Naval Research Laboratory, Washington, DC. Keith J. Williams (S’86–M’89) received the B.S. degree (cum laude) in electrical engineering from the University of Nebraska, Lincoln, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of Maryland at College Park, in 1989 and 1994, respectively. His doctoral dissertation concerned the area of microwave p-i-n photodetector nonlinearities. In 1987, he joined the Optical Sciences Division, U.S. Naval Research Laboratory, Washington, DC, where his research interests include characterization and performance of microwave optical devices, microwave fiber-optic links and systems, high-speed opto-electronics, new concepts for solving microwave-related problems with fiber-optic solutions, and high current photodiodes. Dr. Williams is a member of the Optical Society of America and Tau Beta Pi.

248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

All-Fiber Full-Duplex Multimode Wavelength-Division-Multiplexing Network for Radio-Over-Multimode-Fiber Distribution of Broadband Wireless Services M. García Larrodé, Student Member, IEEE, and A. M. J. Koonen, Fellow, IEEE

Abstract—We present a full-duplex multimode wavelength-division-multiplexing ring network for the distribution of broadband wireless services. It successfully combines the use of all-fiber wavelength selecting multimode add/drop multiplexing nodes with a radio-over-multimode-fiber technique that is robust against modal dispersion impairments in multimode fiber links, and allows a reliable delivery of wireless services beyond 5 GHz. The multimode add/drop multiplexing nodes are built with commercially available narrowband multimode fiber Bragg gratings. The feasibility of the proposal is experimentally investigated with the distribution of 120-Mb/s 64 quadrature amplitude modulation radio signals at 24 GHz over a 4.4-km-long multimode fiber ring with multimode add/drop multiplexing nodes, achieving error vector magnitude values below 4.5%. Index Terms—Broadband wireless access, multimode fiber, multimode fiber Bragg grating, optical access network, radio-over-fiber, wavelength division multiplexing.

I. INTRODUCTION OR THE sake of reducing infrastructure cost and antenna site complexity in the access architecture of the emerging high-capacity wireless access networks, the benefits of a transparent radio-over-fiber distribution antenna system—which enables the consolidation of the radio access control, generation and processing of radio signals at a centralized control station, and their transparent distribution to simplified antenna stations via optical fiber—has been long recognized [1], [2]. A great variety of radio-over-fiber techniques have been proposed over the past few years to demonstrate the generation and transmission of microwave and millimeter-wave signals over optical fiber (point-to-point). By introducing wavelength-division-multiplexing technologies, some of these radio-over-fiber techniques have been extended to network architectures that allow the distribution of microwave and millimeter-wave signals from the central station to several antenna sites (point-to-multipoint) [3]–[7]. Wavelength di-

F

Manuscript received June 21, 2007; revised September 26, 2007. This work was supported by the Senter Novem (Dutch Ministry of Economics Affairs) under the Generic Communication Innovative Research Program (IOP). The authors are with the COBRA Research Institute, Eindhoven University of Technology, MB 5600 Eindhoven, The Netherlands (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.912208

vision multiplexing can simplify the network architecture and improve the deployment of antenna stations, enabling full-duplex operation on one fiber and allowing several antenna stations being fed by a common optical fiber. However, all these wavelength-division-multiplexing architectures and systems have been developed for single-mode fiber transmission, which require costly and high-precision equipment at both the central station and antenna sites. For the last mile access networks, and in particular for in-building scenarios, the use of multimode fiber—which allow less stringent alignment tolerances thanks to its larger core diameter—has attracted much attention over the last years especially because of the high penetration of multimode fiber in already installed fiber infrastructure inside buildings [8]. Recent studies have reported great achievements on the enhancement of baseband digital transmission performance [9]–[13]. Many research efforts have also been dedicated to develop low-cost radio-over-multimode-fiber techniques for distribution antenna systems with short multimode fiber distances [14]–[17]. Most of these techniques have been successfully demonstrated in point-to-point links from the central station to one antenna site for wireless services below 2.5 GHz, making use of new high-bandwidth multimode fibers, as in [15], or taking advantage of the passband transmission regions of a multimode fiber link for wireless services beyond 5 GHz, as in [17]. Nevertheless, the frequency response of multimode fiber links depends, in general, on the launching conditions due to excitation-dependent modal group delays and on mode group coupling. Therefore, launching conditions, variable link lengths, installation bends, connector offsets, the introduction of any other component along the multimode fiber link, etc., make the multimode fiber frequency response unpredictable under arbitrary operating conditions, which imposes a great challenge for the extension of these bandwidth-dependent radio-over-multimode-fiber techniques to a reliable point-to-multipoint wavelength-division-multiplexing radio-over-multimode-fiber distribution system. Another issue that may have restrained the development of wavelength-division-multiplexing radio-over-multimode-fiber distribution systems is the availability of reliable and low-cost multimode wavelength-division-multiplexing modules. A number of research groups have proposed innovative bulk optics designs to realize multimode wavelength division multiplexing in order to achieve gigabits/s and even potentially

0018-9480/$25.00 © 2007 IEEE

GARCÍA LARRODÉ AND KOONEN: ALL-FIBER FULL-DUPLEX MULTIMODE WAVELENGTH-DIVISION-MULTIPLEXING NETWORK

terabits/s capacities for data link applications [11], [18], [19]. For the distribution of microwave signals to several antenna sites, however, it is more desirable to install a compact all-fiber component, such as a multimode fiber Bragg grating, for wavelength selection in a multimode wavelength-division-multiplexing network. Although previous reported research work on the fabrication of multimode fiber Bragg gratings displayed multiple reflection peaks with very low reflectivities due to spectral spreading of propagating modes [20], recent advanced studies have demonstrated the feasibility of novel narrowband multimode fiber Bragg gratings that are suitable for wavelength-division-multiplexing applications [21]–[23]. Narrowband multimode fiber Bragg gratings can enable the design of multimode wavelength add/drop multiplexing modules to implement a full-duplex multimode wavelength-division-multiplexing network for radio-over-multimode-fiber distribution of broadband wireless services. In this paper, we propose the first full-duplex multimode wavelength-division-multiplexing ring network for the distribution of broadband wireless services that successfully combines the use of all-fiber multimode add/drop multiplexing modules, based on a narrowband multimode fiber Bragg grating, with a radio-over-multimode-fiber technique, namely, optical frequency multiplication [24], that is robust against modal dispersion impairments in multimode fiber links and allows a reliable delivery of wireless services beyond 5 GHz. In a previous study, we have demonstrated the robustness of this technique in a point-to-point radio-over-multimode-fiber link both theoretically and experimentally [25], and we have reported successful 64-QAM radio signal transmission up to 30 GHz over a long multimode fiber link [26], which was mainly limited by the photodetector bandwidth rather than by the multimode fiber bandwidth. The research reported in this paper, therefore, means the extension of this reliable point-to-point radio-over-multimode-fiber link to a point-to-multipoint radio-over-multimode-fiber network that feeds several antenna stations by a common multimode fiber. First, the proposed full-duplex network architecture is presented in Section II, where the multimode add/drop multiplexing node design and the integration of the optical-frequency-multiplication technique into the proposed multimode wavelength-division-multiplexing network are explained. The proposal is then experimentally studied in Section III with the transmission of three radio-over-multimode-fiber downlink channels carrying 120-Mb/s 64-QAM radio signals at 24 GHz over a 4.4-km-long multimode fiber ring, and one radio-over-multimode-fiber uplink channel at low-frequency IF, which are dropped and added, respectively, at the antenna station by a multimode add/drop multiplexer. Finally, our conclusions are discussed in Section IV. II. FULL-DUPLEX MULTIMODE WAVELENGTH-DIVISION-MULTIPLEXING NETWORK FOR RADIO-OVER-MULTIMODE-FIBER DISTRIBUTION We propose a full-duplex wavelength-division-multiplexing ring network architecture for the distribution of broadband wireless services over an underlying multimode fiber-based in-building infrastructure (Fig. 1). In this approach, the wireless

249

Fig. 1. In-building multimode ring network architecture for the distribution of broadband wireless services (central controlling station: CS, antenna station: AS).

services generated at the central station are optically (wavelength) multiplexed and distributed to several antenna sites by means of a shared multimode fiber infrastructure. At the antenna sites, basic wavelength selecting functions (optical add/drop nodes) are implemented with low-cost multimode fiber components. The multimode add/drop nodes are the sockets of a plug-and-play radio-over-multimode-fiber infrastructure, where every optical wavelength channel available at the central station feeds its corresponding antenna station through the multimode add/drop node. Hence, network plan changes can be flexibly accommodated by plugging the antenna stations in the multimode add/drop nodes that optimize coverage, and activating the corresponding wavelengths from the central station. This scheme can be easily integrated in multimode wavelength-division-multiplexing data link architectures by means of incorporating the radio-over-multimode-fiber channels into the wavelength grid of the wavelength-division-multiplexing architecture. A. Multimode Fiber Add/Drop Multiplexing Node Fig. 2 shows the proposed multimode fiber ring architecture for the distribution of wavelength multiplexed radio-over-multimode-fiber channels to several antenna stations. At the central station, several radio-over-multimode-fiber channels are wavelength multiplexed ( – ) and launched into the multimode fiber ring. The wavelength selecting multimode add/drop node at the antenna station can be built with a narrowband multimode fiber Bragg grating, as indicated in the inset of Fig. 2. Here, the wavelength multiplexed channels arriving at the antenna site pass through a multimode optical circulator to the multimode (downlink fiber Bragg grating, where the channel-to-drop for antenna station ) is reflected back to the circulator and conveyed (dropped) to the antenna opto-electronic receiver. The multimode fiber Bragg grating lets pass the rest of the wavelength multiplexed radio-over-multimode-fiber channels -radio-over-multo the next antenna station. Since the timode-fiber channel is removed (dropped) from the set of wavelength multiplexed channels in the ring, a new uplink channel can be added to the ring at the same wavelength . The uplink channel from antenna station is added through the second optical circulator and reflected back in the multimode fiber Bragg grating to the ring in the same direction as the rest of the wavelength multiplexed radio-over-multimode-fiber channels to be conveyed back to

250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 2. Proposed all-fiber wavelength-division-multiplexing ring architecture for radio-over-multimode-fiber distribution of broadband wireless services (multimode fiber; MMF, antenna station: AS, add–drop multiplexer: ADM, fiber Bragg grating: FBG).

the central station through the rest of the multimode add/drop nodes in the ring. B. Radio-Over-Multimode-Fiber Technique: Optical Frequency Multiplication The implementation of the multimode wavelength-division-multiplexing network for radio-over-multimode-fiber distribution proposed above requires the use of multiple components along the multimode fiber link. This fact, in addition to other arbitrary operating conditions like launching conditions, link length, installation bends, etc., may produce unpredictable modal power redistributions and mode mixing between mode groups, which yield unpredictable variations on the frequency response of the multimode fiber link. Thus, radio-over-multimode-fiber techniques whose performance relies on the frequency response of a particular multimode fiber link [14]–[17] might not be able to reliably guarantee good quality radio signal delivery for wireless services beyond 5 GHz. Instead, we propose the use of the optical-frequency-multiplication technique [24], which has shown to be robust against multimode fiber’s modal dispersion impairments, and enables the delivery of high-frequency microwave carriers over long multimode fiber links [25], [26]. This method, based on harmonics generation by frequency-modulation to intensity-modulation (FM–IM) conversion through a periodic optical bandpass filter, has the advantage of generating microwave carriers employing one single laser source (per radio-over-multimode-fiber channel) and low-frequency electronics at the central station: the spectrum of an (optical) FM tone modulation consists of a carrier frequency line plus an infinite number of sideband lines that

are equally spaced by the modulating tone [27]; however, an (optical) FM signal has a constant envelope, and when launched into a photodiode, only dc power is detected. Therefore, an optical FM–IM conversion is necessary in order to extract the frequency components of the optical FM signal after photodetection. The FM–IM conversion is achieved through a periodic optical bandpass filter, which causes an intensity modulation on the optical FM signal envelope. This yields, at the photodiode output, an electrical signal with frequency components at every multiple of the modulating tone (harmonics), whose relative amplitude depends on the FM modulation index and the characteristics of the FM–IM conversion element (i.e., the periodic optical bandpass filter). In this way, high microwave carrier frequencies can be optically generated from relatively low frequencies that are applied to generate the optical FM. Additionally, the optical-frequency-multiplication technique enables a simultaneous remote local oscillator (LO) delivery, flexible multiple-standard support, and dynamic radio capacity allocation to the antenna stations [28], [29], which enhances the versatility of the proposed radio-over-multimode-fiber network. Fig. 3 shows a diagram of how the optical-frequency-multiplication technique can be integrated in the proposed multimode wavelength-division-multiplexing network for radio-over-multimode-fiber distribution. The full-duplex radio-over-multimode-fiber transmission that feeds a particular antenna station consists of the optical-frequency-multiplication implementation for the downlink radio-over-multimode-fiber channel and the remote LO delivery to the antenna station, and a low-frequency direct IF transmission for the uplink radio-over-multimode-fiber channel. At the central station, the downlink light sources ( ) are first frequency modulated (FM) by a sinusoid signal with sweep fre, and then intensity modulated (IM) by the downlink quency . The downradio data signals at low-frequency subcarrier link channels are wavelength multiplexed and passed through a periodic optical bandpass filter to perform the FM–IM conversion and then, launched into the multimode fiber ring. At the antenna station, the corresponding downlink channel is selected by the multimode add/drop multiplexer and dropped into the photodetector. At the photodetector output, RF compoare generated, and the downnents at every harmonic of link radio data signals introduced at the central station are obtained double-sided up-converted along with the harmonics to (where indicates the th harmonic). is then filtered The up-converted downlink RF channel at and sent to the radiating antenna. Simultaneously, the generto ated th harmonic can be used as an LO at down-convert the uplink RF channel coming into the antenna (time division duplex is assumed) to a low-frestation at . As a result, the uplink quency IF radio-over-multimode-fiber channel can be implemented as a direct intensity modulation of a low-cost laser source with the low-frequency IF uplink radio channel, which imposes much less stringent requirements on the multimode fiber link bandwidth than the direct transmission of an RF signal. Finally, this uplink radio-over-multimode-fiber channel is added to the multimode fiber ring through the multimode add/drop multiplexer and returned back to the central station.

GARCÍA LARRODÉ AND KOONEN: ALL-FIBER FULL-DUPLEX MULTIMODE WAVELENGTH-DIVISION-MULTIPLEXING NETWORK

251

Fig. 3. Full-duplex wavelength-division-multiplexing ring network for radio-over-multimode-fiber distribution based on optical frequency multiplication (frequency modulation: FM, intensity modulation: IM, wavelength division multiplexing: WDM, multimode fiber: MMF, add/drop multiplexer: ADM, bandpass filter: BPF, local oscillator: LO, downlink: DL, uplink: UL).

Fig. 4. Experimental setup schematics of the central station, the multimode add/drop node at the antenna site and the opto-electronic (O/E) receiver.

Back at the central station, the uplink radio-over-multimode-fiber channels are wavelength demultiplexed and, after direct detection, sent to their corresponding low-frequency RF receivers for further signal processing. III. EXPERIMENTAL PROOF-OF-CONCEPT A. Experimental Setup An experimental arrangement was set up in order to study the feasibility and system performance of the proposed scheme (Fig. 4). At the central station, three distributed feedback laser sources operating at optical wavelengths 1303.8 (CH1), 1310.1 (CH2), and 1314.8 nm (CH3) formed the continuous wave input of the optical-frequency-multiplication signal processing for downlink transmission. These three optical channels were simultaneously frequency modulated by a sweep frequency GHz using an optical phase modulator, and then intensity modulated by a 120-Mb/s 64 quadrature amplitude modulation (QAM) radio data signal at low-frequency subMHz. The resulting optical signal was carrier

ps to passed through a -delay interferometer with perform the FM–IM conversion, launched into 2.5 km of single-mode dispersion-shifted fiber (with dispersion coeffips km nm at 1310 nm) to de-correlate the cient data signals in the optical channels, and pre-amplified with a semiconductor optical amplifier before entering the multimode fiber ring. At the antenna site, a multimode add/drop multiplexer was built with commercially available 50- m-core diameter multimode fiber components. The add/drop multiplexer consists of two 50- m multimode circulators and a 50- m multimode fiber Bragg grating of 97% reflectivity ( 15-dB out-of-band rejec100-GHz nominal bandwidth. Two different fiber tion) and Bragg gratings were used in the experiments, with center wavelengths at 1310.1 nm (ADM-10) and 1314.8 nm (ADM-15), respectively, to perform the add/drop functions. For the uplink, a distributed feedback laser source operating at 1314.8 nm was intensity modulated by a 120-Mb/s 64-QAM MHz (we assume here a radio data signal at low IF bidirectional wireless system in time division duplex mode, and is used at the antenna site as an that the th harmonic of ). The resulting LO to down-convert the uplink RF signal to uplink channel was added to the multimode fiber ring through a second optical circulator (OC in Fig. 4). Downlink and uplink 64-QAM signals were recovered with an opto-electronic receiver consisting of a variable optical attenuator and a multimode-fiber-pigtailed 25-GHz-bandwidth photodetector, and then analyzed with a vector signal analyzer: in GHz (lower side downlink, the signal recovered at band of the fourth harmonic, 24 GHz) was selected for the analMHz was recovered ysis; in uplink, the signal at by direct detection. This frequency selection accounts for the frequency bands allocated for short range devices (SRDs) and fixed wireless access (FWA) by the Dutch National Frequency Plan [30]. The downlink and uplink transmission was performed over 4.4 km of 50- m-core diameter silica graded index multimode fiber with a bandwidth-distance product of 1.47 GHz km and typical 0.49-dB/km attenuation loss at 1310 nm.

252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

Fig. 5. ADM reflection and transmission spectra (dropped and through ports, respectively) measured with a white light source: ADM-10 corresponds to FBG centered at 1310.1 nm and ADM-15 corresponds to FBG centered at 1314.8 nm; the dotted line indicates the input white light source spectrum.

B. Experimental Results 1) Add/Drop Multiplexer Insertion Losses: Fig. 5 shows the optical reflection and transmission spectra at the dropped and through ports (before OC ), respectively, of the add/drop nodes, when the add/drop nodes were injected with a white light source. As can be seen in this figure, ADM-15 presents a significantly better performance in comparison to ADM-10 due to the better transmission and reflection characteristics of the fiber Bragg grating used in the experiments (centered at 1314.8 and 1310.1 nm in the case of ADM-15 and ADM-10, respectively). In addition, ADM-10 presents higher insertion losses for both the dropped and through ports. To measure the actual add/drop optical insertion losses for the three channels used in the experiment, the three continuous wave laser sources (CH1, CH2, and CH3) were multiplexed and launched into the add/drop multiplexing nodes. At the dropped port, 6.9- and 1.6-dB losses were measured for CH2 and CH3 in ADM-10 and ADM-15, respectively. At the through port, CH1 and CH3 suffered 0.8- and 1.1-dB losses, respectively, through ADM-10, and CH1 and CH2 experienced 0.4and 0.7-dB losses, respectively, through ADM-15. 2) Radio-Over-Multimode-Fiber Downlink Measurements: Fig. 6(a) shows the spectrum of the three optical downlink channels at the output of the central station, before entering the multimode fiber ring, when no data modulates the intensity of the swept sources. These three channels were launched into the add/ drop multiplexer in back-to-back cases. Fig. 6(b)–(e) shows the optical spectra at the dropped and through ports (before OC ) of the add/drop nodes. The better performance of ADM-15 can be observed here again, where CH3 is dropped with a 15-dB extinction ratio (corresponding to the 97% reflectivity of the multimode fiber Bragg grating) [see Fig. 6(c)], and it is completely erased (below noise level) in the through port [see Fig. 6(e)].

Fig. 6. Optical spectrum of: (a) the three channels at the output of the central station. (b)–(e) Dropped (DR) and through (TH) channels after ADM-10 (FBG centered at 1310.1 nm) and ADM-15 (FBG centered at 1314.8 nm).

In order to investigate the performance of the radio-over-multimode-fiber downlink channel at the multiplexer dropping port, MHz a 20-MS/s (120 Mb/s) 64-QAM radio signal at was used to modulate the intensity of the swept light sources at the central station, as indicated in Fig. 4. System performance is evaluated in terms of signal-to-noise ratio (SNR) penalty in the multimode fiber network and in the multimode add/drop node, which is derived from error vector magnitude (EVM) measurements since this is the requirement to be fulfilled at the antenna connector before the wireless interface, according to the transmitter constellation error specifications of some current wireless standards (e.g., in [31], EVM must be less than 5.6% and 7.9% for 64-QAM signals with code rate 3/4 and 2/3, respectively). MHz The EVM of the input 64-QAM signal at dB). The signal recovered at was 0.85% ( GHz (lower sideband of the fourth harmonic) was selected for the analysis. Fig. 7(a) shows the RF harmonics of GHz generated at the photodetector output in absence of data, and Fig. 7(b) shows the spectrum of the 120-Mb/s 64-QAM radio data signal obtained along with the fourth harmonic at 23.7 GHz. dB and EVM values of 4.69% and 5.59% ( dB) were measured at the dropped port of ADM-10 for the cases “only CH2 on,” and “all channels on,”

GARCÍA LARRODÉ AND KOONEN: ALL-FIBER FULL-DUPLEX MULTIMODE WAVELENGTH-DIVISION-MULTIPLEXING NETWORK

253

Fig. 8. Uplink IF channel. IQ constellation diagrams of the 120-Mb/s 64-QAM MHz: (a) at the input of the uplink radio-over-multiuplink signals at f ) and (b) recovered after 4.4 km of multimode : mode-fiber link ( fiber link at the central station after direct detection ( : ).

= 300 EVM = 1 06%

Fig. 7. Downlink RF channel. (a) RF harmonics generated by OFM after GHz. (b) 120-Mb/s 64-QAM signal obtained photodetection with f : GHz (fourth harmonic of f GHz, up-converted to f f MHz). IQ constellation diagrams of the 120-Mb/s 64-QAM : GHz dropped recovered after 4.4 km of multimode fiber link at f : ) and (d) ADM-15 ( ). by: (c) ADM-10 ( :

= 300

=6 = 23 7

EVM = 5 95 %

= 6

= 23 7 EVM = 4 23%

respectively. Thus, 1.52 dB of SNR degradation was observed, caused by crosstalk in the dropped channel of ADM-10. In dB ADM-15, EVM values of 4.24% and 4.31% ( dB) were measured at the dropped port for and the cases “only CH3 on” and “all channels on,” respectively. Thus, 0.13 dB of SNR degradation was observed, caused by crosstalk in the dropped channel of ADM-15. Subsequently, the three channels at the output of the central station were launched into a 4.4-km 50- m-core graded-index multimode fiber link before the add/drop multiplexer in order to study the multimode fiber transmission effects in the multimode fiber ring. In this case, EVM values of 4.94% and 5.95% dB and dB) were measured at ( the dropped port of ADM-10 for the cases “only CH2 on” and “all channels on,” respectively; and EVM values of 3.96% and dB and dB) were mea4.23% ( sured at the dropped port of ADM-15 for the cases “only CH3 on” and “all channels on,” respectively. The SNR degradation due to crosstalk in the dropped channel after multimode fiber transmission was, therefore, 1.62 and 0.6 dB in ADM-10 and ADM-15, respectively. Comparing the signals recovered in the back-to-back and multimode fiber transmission cases, we can observe an SNR degradation of 0.54 dB in ADM-10 and an SNR improvement (or null penalty) of 0.12 dB in ADM-15 when all channels are “on” in the multimode fiber ring. This shows that the robustness of the optical-frequency-multiplication technique against modal dispersion impairments in multimode fiber transmission is also maintained through the multimode add/drop node, demonstrating in this way the feasibility of the proposed scheme. Fig. 7(c) and (d) shows the in-phase and quadrature (IQ) constellation diagrams of the 120-Mb/s 64-QAM signals

EVM = 4 76%

at 23.7 GHz dropped by ADM-10 and ADM-15, respectively, after multimode fiber transmission. 3) Radio-Over-Multimode-Fiber Uplink Measurements: In uplink, a 20-MS/s (120 Mb/s) 64-QAM radio signal at MHz with ( dB) was used to modulate the intensity of a continuous wave distributed feedback laser source operating at 1314.8 nm at the antenna site, as indicated in Fig. 4. The EVM of this signal after the intensity dB), and after adding the modulator was 2.58% ( uplink channel to the multimode fiber ring (in absence of downdB). This implies link channels), it was 2.59% ( an SNR penalty of 7.7 dB due to intensity modulation and direct detection, and an almost negligible SNR penalty of 0.03 dB due to the adding function. To study the crosstalk effects on the uplink added channel in the multimode fiber ring, the downlink CH3 was switched on. dB) was measured An EVM value of 4.15% ( for the uplink channel in the back-to-back case, and of 4.76% dB) after uplink transmission over the 4.4 km ( of 50- m-core graded-index multimode fiber link. Thus, the uplink channel was deteriorated 4.1 dB due to crosstalk from the corresponding dropped downlink channel, which is determined by the transmission and reflection characteristics of the multimode fiber Bragg grating. An additional 1.21-dB SNR penalty was observed after multimode fiber transmission, which corresponds to the expected SNR penalty due to the multimode fiber bandwidth in the direct transmission of a 300-MHz carrier over 4.4 km (1.47-GHz km bandwidth-distance product). Fig. 8 shows the IQ constellation diagrams of the uplink 120-Mb/s 64-QAM signal at the input of the intensity modulator (at the antenna site), and at the output of the photodetector after multimode fiber transmission (at the central station). C. Network Scalability The feasibility of the proposed multimode wavelength-division-multiplexing ring network for radio-over-multimode-fiber distribution of broadband wireless services, based on opticalfrequency-multiplication and all-fiber multimode add/drop multiplexing nodes, has been demonstrated in Section III-B. As can be observed from the measurement results, the system performance is not jeopardized by modal dispersion, and the robustness of the optical-frequency-multiplication technique against

254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 1, JANUARY 2008

modal dispersion impairments in multimode fiber transmission is also maintained through the multimode add/drop node. Thus, several antenna stations can be plugged in the multimode wavelength-division-multiplexing ring provided that the power budget is properly dimensioned. For example, considering add/drop multiplexing nodes of similar performance to ADM-15 (e.g., 0.55 dB of through insertion loss and 1.6 dB of dropped insertion loss) and typical 0.49-dB/km losses due to multimode fiber transmission at 1310 nm, a 5-km multimode fiber ring with ten antenna stations requires at least 9-dB downlink power budget ( , where is the number of nodes and is the fiber link length). Nevertheless, nodes cannot be added to the ring without limit. The number of wavelength-multiplexed channels (i.e., the number of nodes in the ring) and its allocation in the optical spectrum determines the amount of crosstalk between channels, which causes SNR degradation on the radio signals. To minimize crosstalk among wavelength-division-multiplexing channels, the wavelength spacing has to be appropriately allocated. On the one hand, the minimum wavelength spacing is determined by the optical spectrum broadening caused by the optical FM modulation of the light sources in order to avoid overlapping between the optical downlink channels, i.e., , where is the FM index. On the other hand, if optical amplification by semiconductor optical amplifiers is employed at the central station to adjust the link power budget, uneven wavelength allocation is recommended in order to avoid the appearance of four-wave mixing terms through the semiconductor optical amplifier, which may yield strong interference among channels. In the experiment reported in this paper, three wavelength channels were multiplexed and transmitted over 4.4 km of multimode fiber with an SNR penalty of 0.6 dB (case ADM-15) due to crosstalk. Still, although the system is robust against modal dispersion impairments, the transmission and reflection characteristics of the multimode fiber Bragg gratings in the add/drop multiplexing nodes can dramatically deteriorate the system performance introducing additional crosstalk, especially when the optical channels are closely spaced and due to poor out-of-band rejection, becoming the network scalability limiting factor of the proposed architecture. IV. CONCLUSIONS Radio over multimode fiber distribution antenna systems are foreseen as a flexible and cost-effective option for a fiber-based broadband wireless access infrastructure inside buildings. In addition to the potential cost reduction offered by the already deployed multimode fiber in-building infrastructure, we believe that radio-over-multimode-fiber techniques that facilitate a smooth and flexible merging with conventional optical wavelength-division-multiplexing data networks will gain a dominating position in the evolution and rollout of multidisciplinary broadband access networks. In this paper, we have proposed a flexible full-duplex multimode wavelength-division-multiplexing ring network for the distribution of broadband wireless services. It successfully combines the use of all-fiber wavelength selecting multimode add/

drop multiplexing modules based on narrowband multimode fiber Bragg gratings with a radio-over-multimode-fiber technique that is robust against modal dispersion impairments in multimode fiber links and allows a reliable delivery of wireless services beyond 5 GHz. Our experimental study demonstrates that 120-Mb/s 64-QAM radio signals at 24 GHz can be distributed and dropped in a basic multimode add/drop node after 4.4 km of multimode fiber transmission with EVM values below 4.5%. For the channel spacing applied in the experiment reported here, the SNR penalty of up to 0.6 dB due to crosstalk was observed in downlink transmission. The radio-over-multimodefiber technique employed simultaneously provides a remote LO delivery to the antenna station, which can be use to down-convert the incoming uplink RF signals to low-frequency IF subcarriers, enabling in this way a low-cost IF direct transmission of the uplink channels. The transmission and reflection characteristics of the multimode fiber Bragg grating determines the crosstalk interference from downlink to uplink channel, which, in our measurements, was 4.1 dB. Our experimental results show that the system performance is not jeopardized by modal dispersion, and that the robustness of the approach against modal dispersion impairments in multimode fiber transmission is also maintained through the multimode add/drop node. This enables the scalability of multimode add/drop multiplexing nodes in the ring, provided that the link power budget is properly dimensioned. Still, the transmission and reflection characteristics of the fiber Bragg gratings in the add/drop nodes are the major limitation of system performance. Therefore, further development of high-quality narrowband multimode fiber Bragg gratings would improve the performance and reliability of the proposed multimode wavelength-division-multiplexing network for radioover-multimode-fiber distribution. REFERENCES [1] H. Ogawa, D. Polifko, and S. Banba, “Millimeter-wave fiber optics systems for personal radio communication,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2285–2293, Dec. 1992. [2] D. Wake, “Trends and prospects for radio over fiber picocells,” in Proc. Int. Microw. Photon. Top. Meeting, Nov. 2002, pp. 21–24. [3] C. H. Smith, D. Novak, and C. Lim, “A millimeter-wave full-duplex fiber-radio star-tree architecture incorporating WDM and SCM,” IEEE Photon. Technol. Lett., vol. 10, no. 11, pp. 1650–1652, Nov. 1998. [4] T. Kuri and K. Kitayama, “Optical heterodyne detection technique for densely multiplexed millimeter-wave-band radio-on-fiber systems,” J. Lightw. Techol., vol. 21, no. 12, pp. 3167–3179, Dec. 2003. [5] C. Lim, A. Nirmalathas, M. Attygalle, D. Novak, and R. Waterhouse, “On the merging of millimeter-wave fiber-radio backbone with 25-GHz WDM ring networks,” J. Lightw. Techol., vol. 21, no. 10, pp. 2203–2210, Oct. 2003. [6] J. Capmany, B. Ortega, A. Martinez, D. Pastor, M. Popov, and P. Y. Fonjallaz, “Multiwavelength single sideband modulation for WDM radio-over-fiber systems using a fiber grating array tandem device,” IEEE Photon. Technol. Lett., vol. 17, no. 2, pp. 471–473, Feb. 2005. [7] M. Bakaul, A. Nirmalathas, C. Lim, D. Novak, and R. B. Waterhouse, “Simultaneous multiplexing and demultiplexing of wavelength-interleaved channels in DWDM millimeter-wave fiber-radio networks,” J. Lightw. Techol., vol. 24, no. 9, pp. 3341–3352, Sep. 2006. [8] A. Flatman, “In-premises optical fiber installed base analysis to 2007,” presented at the IEEE 802.3 10 GBE over FDDI Grade Fiber Study Group, Orlando, FL, Mar. 2004. [9] L. Raddatz, I. White, D. Cunningham, and M. Nowell, “An experimental and theoretical study of the offset launch technique for the enhancement of the bandwidth of multimode fiber links,” J. Lightw. Technol., vol. 16, no. 3, pp. 324–331, Mar. 2002.

GARCÍA LARRODÉ AND KOONEN: ALL-FIBER FULL-DUPLEX MULTIMODE WAVELENGTH-DIVISION-MULTIPLEXING NETWORK

[10] P. Pepeljugoski, S. E. Golowich, A. J. Ritger, P. Kolesar, and A. Risteski, “Modeling and simulation of next-generation multimode fiber links,” J. Lightw. Technol., vol. 21, no. 5, pp. 1242–1255, 2003. [11] E. J. Tyler, P. Kourtessis, M. Webster, E. Rochart, T. Quinlan, S. E. M. Dudley, S. D. Walker, R. V. Penty, and I. H. White, “Toward terabitper-second capacities over multimode fiber links using SCM/WDM techniques,” J. Lightw. Techol., vol. 21, no. 12, pp. 3237–3243, Dec. 2003. [12] K. M. Patel, A. Polley, K. Balemarthy, and S. E. Ralph, “Spatially resolved detection and equalization of modal dispersion limited multimode fiber links,” J. Lightw. Techol., vol. 24, no. 7, pp. 2629–2636, Jul. 2006. [13] P. Matthijsse, G. Kuyt, F. Gooijer, F. Achten, R. Freund, L. Molle, C. Caspar, T. Rosin, D. Schmidt, A. Beling, and T. Eckhardt, “Multimode fiber enabling 40 Gbit/s multi-mode transmission over distances 400 m,” in Proc. Opt. Fiber Commun. Conf., Mar. 2006, Paper OWI13. [14] D. Wake, S. Dupont, C. Lethien, J. P. Vilcot, and D. Decoster, “Radio frequency transmission of 32-QAM signals over multimode fiber for distributed antenna system applications,” Electron. Lett., vol. 37, no. 17, pp. 1087–1089, Aug. 2001. [15] C. Carlsson, A. Larsson, and A. Alping, “RF transmission over multimode fibers using VCSELs—Comparing standard and high-bandwidth multimode fibers,” J. Lightw. Techol., vol. 22, no. 7, pp. 1694–1700, Jul. 2004. [16] A. Das, A. Nkansah, N. J. Gomes, I. J. Garcia, J. C. Batchelor, and D. Wake, “Design of low-cost multimode fiber-fed indoor wireless networks,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3426–3432, Aug. 2006. [17] P. Hartmann, X. Qian, A. Wonfor, R. Penty, and I. White, “1–20 GHz directly modulated radio over MMF link,” in Proc. Int. Microw. Photon. Topical Meeting, Oct. 2005, pp. 95–98. [18] L. B. Aronson, B. E. Lemmoff, L. A. Buckman, and D. W. Dolfi, “Lowcost multimode WDM for local area networks up to 10 Gb/s,” IEEE Photon. Technol. Lett., vol. 10, no. 10, pp. 1489–1491, Oct. 1998. [19] M. J. Huang, R. P. Pan, C. R. Sheu, Y. P. Lan, Y. F. Lai, and C. L. Pan, “Multimode optical demultiplexer for DWDM with liquid crystal enabled functionalities,” IEEE Photon. Technol. Lett., vol. 16, no. 10, pp. 2254–2256, Oct. 2004. [20] T. Mizunami, T. V. Djambova, T. Niiho, and S. Gupta, “Bragg grating in multimode and few-mode optical fibers,” J. Lightw. Techol., vol. 18, no. 2, pp. 230–235, Feb. 2000. [21] Y. Sun, T. Szkopek, and P. W. E. Smith, “Demonstration of narrowband high-reflectivity Bragg gratings in a novel multimode fiber,” Opt. Commun., vol. 223, no. 1–3, pp. 91–95, Jul. 2003. [22] T. Szkopek, V. Pasupathy, J. E. Sipe, and P. W. E. Smith, “Novel multimode fiber for narrowband Bragg gratings,” IEEE J. Sel. Topics Quantum Electron., vol. 7, no. 5, pp. 425–433, May–Jun. 2001. [23] X. J. Gu, W. Mohammed, and P. W. Smith, “Demonstration of all-fiber WDM for multimode fiber local area networks,” IEEE Photon. Technol. Lett., vol. 18, no. 1, pp. 244–246, Jan. 2006. [24] A. M. J. Koonen, A. Ng’oma, P. Smulders, H. P. A. Boom, I. T. Monroy, and G. Khoe, “In-house networks using multimode polymer optical fiber for broadband wireless services,” Photon. Network Commun., vol. 5, no. 2, pp. 177–187, 2003. [25] M. G. Larrode and A. M. J. Koonen, “Theoretical and experimental demonstration of OFM robustness against modal dispersion impairments in radio over multimode fiber links,” , submitted for publication.

>

255

[26] M. G. Larrode, A. M. J. Koonen, and J. J. V. Olmos, “Overcoming modal bandwidth limitation in radio-over-multimode fiber links,” IEEE Photon. Technol. Lett., vol. 18, no. 11, pp. 2428–2430, Nov. 2006. [27] A. B. Carlson, Communication Systems: An Introduction to Signals and Noise in Electrical Communication, 3rd ed. New York: McGrawHill, 1986. [28] M. G. Larrode, A. M. J. Koonen, J. J. V. Olmos, and A. Ng’oma, “Bidirectional radio-over-fiber link employing optical frequency multiplication,” IEEE Photon. Technol. Lett., vol. 18, no. 1, pp. 241–243, Jan. 2006. [29] M. G. Larrode, A. Koonen, and J. V. Olmos, “Fiber-based broadband wireless access employing optical frequency multiplication,” IEEE J. Select. Topics Quantum Electron., vol. 12, no. 4, pp. 875–881, Jul. –Aug. 2006. [30] “Nationaal frequentie plan 2005 (NFP),” A. T. Directoraat Gen. Telecommun. Post, Ministerie van Econom. Zaken, The Hague, The Netherlands, Tech. Rep., 2005. [31] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specification, High-Speed Physical Layer in the 5 GHz Band, IEEE Standard 802.11a, 1999. M. García Larrodé (S’04) was born in Zaragoza, Spain, in 1977. She received the M.Sc. degree in telecommunications engineering from the Centro Politécnico Superior, University of Zaragoza, Zaragoza, Spain, in 2001, and is currently working toward the Ph.D. degree in broadband wireless access networks employing radio-over-fiber techniques at the COBRA Research Institute, Eindhoven University of Technology, Eindhoven, The Netherlands. From 2000 to 2004, she was a Systems Engineer with Siemens AG, Munich, Germany, where she was involved with mobile radio access networks with a focus on radio resource management, signaling, and performance evaluation of GSM/GPRS/EDGE, and UMTS networks.

A. M. J. Koonen (M’00-SM’01–F’07) was born in Oss, The Netherlands, in 1954. He received the M.Sc. degree (cum laude) in electrical engineering from the Eindhoven University of Technology, Eindhoven, The Netherlands, in 1979. In 1979, he joined Philips’ Telecommunicatie Industrie, part of which, since 1984, has become Lucent Technologies Network Systems, Huizen, The Netherlands. He has been involved with high-speed transmission systems and optical fiber systems for hybrid access networks. From 1987 to 2000, he was a Technical Manager with the Forward Looking Work Department, Bell Laboratories, Lucent Technologies Network Systems. From 1991 to September 2000, he was a Part-Time Professor with the University of Twente, holding a Chair of Photonic Networks. In September 2000, he became a Part-Time Professor with the Eindhoven University of Technology, and since January 2001, he has been a Full-Time Professor, holding a Chair of Broadband Communication Networks with the Department Telecommunication Technology and Electromagnetics. In 1999, he was appointed a Bell Laboratories Fellow.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2007.914783

Digital Object Identifier 10.1109/TMTT.2008.915407

Digital Object Identifier 10.1109/TMTT.2008.915408

Digital Object Identifier 10.1109/TMTT.2008.915409

Digital Object Identifier 10.1109/TMTT.2008.915410

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, K. ITOH, J. LIN, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R.-B. WU, A. YAKOVLEV

P. Aaen B. Abbott D. Abbott M. Abdulla M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar R. Achar D. Adam E. Adler M. Adlerstein M. Afsar K. Agawa D. Ahn H. R. Ahn M. Aikawa J. Aikio J. S. Aine C. Aitchison M. Akaike S. Aksoy M. I. Aksun A. Al-Zayed F. Alessandri M. Ali F. Alimenti R. Allam D. Allstot A. Alphones A. Alu S. Amari T. Anada K. Andersson Y. Ando P. Andreani A. Andrenko M. Andrés K. Anemogiannis K. S. Ang I. Angelov S. Anlage G. Antonini H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano K. Ashby A. Atalar J. Atherton A. Atia S. Auster I. Awai A. Aydiner M. S. Ayza A. Babakhani P. Baccarelli W. Baechtold I. Bahl S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball A. Banai S. Banba J. W. Bandler R. Bansal D. Barataud I. Barba A. Barbosa Z. Bardai F. Bardati I. Bardi A. Barel S. Barker D. Barlage F. Barnes R. Bashirullah D. Becker C. Bell T. M. Benson T. Berceli J. Bernhardt M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua W. T. Beyene A. Beyer A. V. Bezooijen S. Bharj K. Bhasin K. Bhattacharjee F. Bi M. Bialkowski E. Biebl S. Bila A. L. Billabert H. Bilzer T. Biondi A. Biswas M. Blank P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar G. Bonaguide G. Bonmassar J. C. Bor V. Boria O. Boric-Lubecke A. Borji J. Bornemann G. Borzì W. Bosch R. Bosisio

H. Boss M. V. Bossche S. Boumaiza K. Boutros C. R. Boyd M. Bozzi J. E. Bracken R. Bradley J. Brannan J. R. Bray T. J. Brazil M. Bressan P. Bretchko K. Breuer A. Brown S. Brozovich D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge J. Burghartz P. Burghignoli N. Buris B. Cabon P. Cabral C. C. Cadenas M. K. Caldera C. Caloz E. Camargo R. Cameron N. Camilleri C. Campbell M. Campovecchio A. Cangellaris W. Cantrell F. Capolino A. Cappy R. Carter N. B. Carvalho P. Casas F. Caspers R. Caverly M. Celuch D. Chadha S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. C. Chang C. R. Chang C. Y. Chang F. Chang K. Chang S. F. Chang H. Chapell W. J. Chappell M. Chatras A. Cheldavi C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen Y. Chen Y. J. Chen Z. D. Chen K. K. Cheng C. Cheon S. T. Chew W. C. Chew C. Y. Chi Y. C. Chiang A. Chin B. S. Chiou A. Chizh C. S. Cho C. Choi J. Choi W. Y. Choi M. Chongcheawchamnan D. Choudhury Y. L. Chow A. Christ C. Christodoulou C. Christopoulos T. H. Chu L. H. Chua H. R. Chuang Y. H. Chun Y. Chung T. Cisco P. Civalleri J. Cloete P. Colantonio B. Colpitts M. Condon D. Consonni A. Constanzo M. Converse F. Cooray G. Copeland I. Corbella E. Costamagna C. Courtney Y. L. Coz J. Crescenzi J. Cressler T. Crowe T. J. Cui J. Culver T. Cunha C. Curry W. Curtice H. H. Dam G. Dambrine B. Danly F. Danneville I. Darwazeh A. Darwish A. Daryoush N. Das M. Davidovich C. Davis I. Davis

L. Davis F. De Flaviis D. De Zutter M. DeLisio W. Deal C. Deibele A. Deleniv V. Demir T. Denidni D. Deslandes A. Deutsch Y. Deval L. de Vreede T. Dhaene N. Dib L. Ding A. Djordjevi M. A. Do J. Dobrowolski X. T. Dong W. B. Dou P. Draxler R. Drayton A. Dreher J. L. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. W. Dvorak S. Dvorak J. East K. Eda M. L. Edwards R. Egri R. Ehlers N. Ehsan H. Eisele G. Eisenstein S. El-Ghazaly G. Eleftheriades F. Ellinger G. Ellis T. Ellis B. Elsharawy A. Elsherbeni N. Engheta K. Entesari H. Eom I. Erdin C. Ernst D. Erricolo K. Eselle I. Eshrah M. Essaaidi H. Esteban C. Eswarappa G. Ewell M. C. Fabres C. Fager M. Fahmi D. G. Fang A. Faraone M. Farina W. Fathelbab A. Fathy Y. Feng A. Fernandez P. Ferrari A. Ferrero S. J. Fiedziuszko G. Fikioris J. Fikioris F. Filicori D. Filipovic B. Floyd P. Focardi N. H. Fong K. Foster P. Foster P. Frangos P. Franzon J. C. Freire K. Fujii R. Fujimoto O. Fujiwara H. Fukushima C. M. Furse V. Fusco D. Gabbay T. Gaier B. Galwas D. Gamble O. P. Gandhi J. Gao S. Gao H. Garbe J. A. Garcia K. Gard F. E. Gardiol P. Gardner R. Garg J. L. Gautier S. Gedney F. Gekat F. German S. Gevorgian H. Ghali F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione F. Giannini J. Gilb M. Goano E. Godshalk M. Goldfarb R. Gonzalo S. Gopalsami A. Gopinath R. Gordon G. Goussetis J. Grahn G. Grau A. Grbic A. Grebennikov M. Green I. Gresham

J. Grimm A. Griol D. R. Grischowsky E. Grossman Y. Guan S. Guenneau T. Guerrero M. Guglielmi J. L. Guiraud S. E. Gunnarsson L. Guo Y. Guo A. Gupta C. Gupta K. C. Gupta M. Gupta B. Gustavsen W. Gwarek A. Görür M. Hafizi J. Haala J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness D. Haigh A. Hajimiri A. Halappa D. Halchin D. Ham K. Hanamoto T. Hancock A. Hanke E. Hankui L. Hanlen Z. Hao A. R. Harish L. Harle M. Harris O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett G. Hau R. Haupt J. Hayashi L. Hayden T. Heath J. Heaton S. Heckmann W. Heinrich G. Heiter J. Helszajn R. Henderson H. Hernandez K. Herrick J. Hesler J. S. Hesthaven K. Hettak P. Heydari R. Hicks M. Hieda A. Higgins T. Hiratsuka T. Hirayama J. Hirokawa W. Hoefer J. P. Hof K. Hoffmann R. Hoffmann M. Hoft A. Holden C. Holloway E. Holzman J. S. Hong S. Hong W. Hong K. Honjo K. Horiguchi Y. Horii T. S. Horng J. Horton M. Hotta J. Hoversten H. M. Hsu H. T. Hsu J. P. Hsu C. W. Hsue R. Hu Z. Hualiang C. W. Huang F. Huang G. W. Huang K. Huang T. W. Huang A. Hung C. M. Hung J. J. Hung I. Hunter Y. A. Hussein B. Huyart H. Y. Hwang J. C. Hwang R. B. Hwang M. Hélier G. Iannaccone Y. Iida P. Ikonen K. Ikossi K. Inagaki A. Inoue M. Isaksson O. Ishida M. Ishiguro T. Ishikawa T. Ishizaki R. Islam Y. Isota K. Ito M. Ito N. Itoh T. Itoh Y. Itoh F. Ivanek T. Ivanov M. Iwamoto

Digital Object Identifier 10.1109/TMTT.2007.915226

Y. Iyama D. Jablonski R. Jackson A. Jacob M. Jacob D. Jaeger N. A. Jaeger I. Jalaly V. Jamnejad M. Janezic M. Jankovic R. A. Jaoude J. Jargon B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski A. S. Jazi A. Jelenski S. K. Jeng S. Jeon H. T. Jeong Y. H. Jeong E. Jerby A. Jerng T. Jerse P. Jia X. Jiang J. M. Jin Z. Jin J. Joe J. Joubert M. Jungwirth P. Kabos W. Kainz T. Kaiser T. Kamei Y. Kamimura H. Kamitsuna H. Kanai S. Kanamaluru H. Kanaya K. Kanaya P. Kangaslahtii V. S. Kaper N. Karmakar T. Kashiwa K. Katoh R. Kaul T. Kawai K. Kawakami A. Kawalec S. Kawasaki H. Kayano H. Kazemi M. Kazimierczuk S. Kee L. Kempel P. Kenington A. Khalil A. Khanifar A. Khanna F. Kharabi S. Kiaei J. F. Kiang B. Kim B. S. Kim H. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim N. Kinayman P. Kinget S. Kirchoefer A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura T. Kitazawa J. N. Kitchen M. J. Kitlinski K. Kiziloglu B. Kleveland D. M. Klymyshyn L. Knockaert R. Knoechel K. Kobayashi Y. Kogami T. Kolding N. Kolias J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp B. Kormanyos K. Kornegay M. Koshiba J. Kosinski T. Kosmanis S. Koul I. I. Kovacs S. Koziel A. B. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger H. Ku H. Kubo A. Kucharski C. Kudsia W. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo P. Kuo

H. Kurebayashi K. Kuroda N. Kuster M. Kuzuhara Y. Kwon G. Kyriacou M. K. Kärkkäinen F. Ladouceur K. Lakin P. Lampariello M. Lancaster U. Langmann G. Lapin J. Larson L. Larson J. Laskar C. L. Lau A. Lauer D. Lautru P. Lavrador G. Lazzi C. H. Lee J. F. Lee R. Lee S. Lee S. Y. Lee T. Lee T. C. Lee Y. Lee Y. H. Lee D. Leenaerts Z. Lei G. Leizerovich Y. C. Leong S. Leppaevuori G. Leuzzi Y. Leviatan B. Levitas R. Levy G. I. Lewis H. B. Li H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao S. S. Liao D. Y. Lie L. Ligthart E. Limiti C. Lin F. Lin H. H. Lin J. Lin K. Y. Lin T. H. Lin Y. S. Lin E. Lind L. Lind D. Linkhart P. Linnér A. Lipparini D. Lippens A. S. Liu J. Liu L. Liu P. K. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu I. Lo J. LoVetri S. Long N. Lopez M. Lourdiane G. Lovat D. Lovelace Z. N. Low H. C. Lu K. Lu L. H. Lu S. S. Lu V. Lubecke S. Lucyszyn N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy G. Lyons J. G. Ma Z. Ma S. Maas G. Macchiarella J. Machac M. Madihian K. Maezawa G. Magerl S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto J. Malherbe V. Manasson T. Maniwa R. Mansour D. Manstretta M. H. Mao S. G. Mao A. Margomenos R. Marques G. Martin E. Martinez K. Maruhashi J. E. Marzo D. Masotti G. D. Massa D. Masse A. Materka B. Matinpour A. Matsushima S. Matsuzawa G. Matthaei J. Mayock J. Mazierska

S. Mazumder G. Mazzarella K. McCarthy P. McClay G. McDonald F. Medina A. Á. Melcon C. C. Meng W. Menzel F. Mesa A. C. Metaxas P. Meyer P. Mezzanotte E. Michielssen D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo B. Minnis S. Mirabbasi F. Miranda J. Miranda D. Mirshekar C. Mishra A. Mitchell R. Mittra K. Miyaguchi M. Miyakawa R. Miyamoto K. Mizuno S. Mizushina J. Modelski S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro G. Montoro C. Monzon T. Morawski A. D. Morcillo J. Morente D. Morgan M. Morgan K. Mori A. Morini H. Morishita N. Morita H. Moritake A. Morris J. Morsey H. Mosallaei M. Mrozowski J. E. Mueller L. Mullen S. S. Naeini Y. Nagano V. Nair K. Naishadham M. Nakajima K. Nakamura Y. Nakasha A. Nakayama M. Nakhla J. C. Nallatamby S. Nam S. Narahashi T. Narhi A. Natarajan J. M. Nebus I. Nefedov D. Neikirk B. Nelson S. O. Nelson W. C. Neo A. Neri H. Newman M. Ney D. Ngo E. Ngoya C. Nguyen T. Nichols E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. Nikolova K. Nikoskinen K. Nishikawa T. Nishikawa T. Nishino G. Niu D. Nobbe T. Nojima T. Nomura C. D. Nordquist B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors K. O D. Oates M. Odyniec H. Ogawa T. Ohira P. Y. Oijala H. Okabe Y. Okano V. Okhmatovski A. Oki M. Okoniewski G. Olbrich G. Oliveri F. Olyslager A. Omar K. Onodera B. L. Ooi S. Ootaka S. Ortiz J. Osepchuk J. Ou C. Oxley M. Pagani

A. Pages M. Paillard S. Palma G. W. Pan A. Panariello H. Y. Pao Y. Papananos J. Papapolymerou C. Papavassiliou C. S. Park E. C. Park S. Park A. E. Parker R. Parry D. Pasalic D. Pasquet H. M. Pau D. Pavlidis J. C. Pedro S. Peik B. Pejcinovic S. Pellerano G. Pelosi R. Pengelly D. Penunuri J. Pereda B. Perlman D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa A. V. Pham L. Pichon H. Pickett M. Pieraccini P. Pieters L. Pileggi Z. Y. Ping R. Pintelon A. Piovaccari M. Pirola D. Pissoort E. Pistono F. M. Pitschi W. Platte C. Plett C. Pobanz R. Pogorzelski A. Pohl R. K. Pokharel J. L. Polleux G. Ponchak Y. Poplavko A. Porch J. Portilla M. Pospieszalski B. Potter H. Powen D. Pozar L. Pradell S. Prasad D. Prather D. Prescott H. Pretl A. Prieto H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quere R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton A. Raisanen M. Ramirez C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. Rebeiz J. Rebollar M. Reddy E. Reese L. Reindl J. Reinert S. Remillard K. Remley L. Reynolds S. Reynolds J. K. Rhee A. Riddle G. Riha E. Rius I. Robertson P. Roblin J. Rockway C. Rodenbeck A. R. Rofougaran H. Rogier U. Rohde Y. Rolain P. A. Rolland R. Romanofsky S. Rondineau Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg M. Rosker R. Rotman G. Roussy D. Routledge J. Roy L. Roy M. Royer

T. Rozzi J. Rudell C. Ruppel D. Rutledge T. Ruttan A. Rydberg N. Ryskin D. Rytting D. Rönnow C. Saavedra K. Sachse R. Saedi A. Safwat M. Sagawa P. Saha J. Sahalos K. Saito I. Sakagami M. Salomaa A. Samelis A. Sanada M. Sanagi L. Sankey K. Sano A. Santarelli H. D. Santos K. Sarabandi T. Sarkar C. Sarris M. Sato A. Sawicki H. Sayadian W. Scanlon C. Schaffer G. Schimetta M. J. Schindler E. Schmidhammer L. P. Schmidt D. Schmitt J. Schoebl G. Scholl J. Schoukens D. Schreurs W. Schroeder I. Schropp A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann F. Sechi E. M. Segura T. Seki S. Selleri E. Semouchkina J. Sercu A. Serpenguzel J. Sevic O. Sevimli F. Seyfert O. Shanaa I. Shapir A. Sharma S. Sharma J. Sharp J. R. Shealy D. Sheen Z. X. Shen Y. Shestopalov C. J. Shi T. Shibata H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma J. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu D. Sievenpiper J. M. Sill C. Silva L. M. Silveira M. G. Silveirinha W. Simbuerger G. Simin C. Simovski D. Simunic H. Singh V. K. Singh B. Sinha J. Sinsky Z. Sipus P. Sivonen A. Skalare G. M. Smith P. Smith C. Snowden R. Snyder P. P. So M. Sobhy N. Sokal M. Solal K. Solbach R. Sorrentino A. Soury N. Soveiko E. Sovero M. Soyuer P. Staecker A. Stancu S. P. Stapleton P. Starski J. Staudinger B. Stec D. Steenson A. Stelzer J. Stenarson B. Stengel M. Stern M. Steyaert S. Stitzer B. Stockbroeckx B. Strassner M. Stubbs M. Stuchly

B. Stupfel A. Suarez G. Subramanyam N. Suematsu T. Suetsugu C. Sullivan K. O. Sun K. Suzuki Y. Suzuki J. Svacina R. Svitek M. Swaminathan D. Swanson B. Szendrenyi A. Taflove Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar K. W. Tam A. A. Tamijani J. Tan E. Tanabe C. W. Tang W. Tang W. C. Tang R. Tascone A. Tasic J. J. Taub J. Tauritz D. Teeter F. Teixeira R. Temkin M. Tentzeris V. Teppati M. Terrovitis A. Tessmann J. P. Teyssier W. Thiel B. Thompson Z. Tian M. Tiebout R. Tielert L. Tiemeijer E. Tiiliharju G. Tkachenko M. Tobar M. R. Tofighi P. Tognolatti T. Tokumitsu A. Tombak K. Tomiyasu C. Y. Tong A. Topa E. Topsakal G. Town I. Toyoda N. Tran R. Trew C. Trueman C. M. Tsai R. Tsai L. Tsang H. W. Tsao M. Tsuji T. Tsujiguchi M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro G. Twomey H. Uchida S. Uebayashi T. Ueda F. H. Uhlmann H. P. Urbach V. J. Urick N. Uzunoglu R. Vahldieck P. Vainikainen G. Vandenbosch A. Vander Vorst G. Vannini C. Vaucher J. Vaz G. Vazquez I. Vendik J. Venkatesan A. Verma A. K. Verma J. Verspecht L. Verweyen J. Vig A. Viitanen F. Villegas J. M. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu V. Volman B. Vowinkel M. A. Vérez B. Z. Wang K. Wakino P. Waldow A. Walker D. Walker C. Walsh P. Wambacq S. Wane C. Wang C. F. Wang C. H. Wang C. L. Wang F. Wang H. Wang J. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang

K. Warnick S. Wartenberg K. I. Watabe S. Watanabe B. Weaver J. Webb R. Webster S. Wedge C. J. Wei D. V. Weide R. Weigel M. Weihnacht G. Weihs R. Weikle T. Weiland D. Weile J. Weiler S. Weinreb F. Weiss S. Weiss T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. White L. B. White S. Whiteley J. Wiart J. Wight G. Wilkins D. Willems B. Willemsen A. Williamson J. C. Wiltse D. Wittwer M. Wnuk K. Wong K. L. Wong K. Woo W. Woo J. Wood G. Woods R. C. Woods D. Woolard M. Wren H. Wu J. Wu K. Wu K.-L. Wu Q. Wu T. Wu T. L. Wu M. Wurzer C. Xie H. Xu J. Xu S. Xu Y. Xu Y. P. Xu Y. Xuan Q. Xue M. Yagoub T. Yakabe K. Yamamoto K. Yamauchi F. Yang G. M. Yang J. Yang K. Yang L. Yang X. Yang Y. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto S. Ye K. S. Yeo S. P. Yeo L. K. Yeung W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York B. Young P. R. Young H. K. Yu M. Yu P. Yu G. Yue P. Yue S. W. Yun K. Zaki J. Zamanillo J. Zapata L. Zappelli J. Zehentner C. Zelley H. Zhang J. Zhang Q. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhou L. Zhu X. Zhu H. Zirath J. Ziriax S. Zouhdi T. Zwick