IEEE MTT-V055-I09 (2007-09) [55, 09 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04298202......Page 1
020 - 04298203......Page 3
030 - [email protected] 4
040 - [email protected] 15
050 - [email protected] 23
060 - [email protected] 35
065 - [email protected] 45
070 - [email protected] 53
080 - [email protected] 60
090 - [email protected] 71
100 - [email protected] 78
110 - [email protected] 90
120 - [email protected] 96
130 - [email protected] 103
140 - [email protected] 110
150 - [email protected] 117
160 - [email protected] 126
170 - [email protected] 133
180 - [email protected] 142
190 - [email protected] 149
200 - [email protected] 155
210 - [email protected] 164
220 - [email protected] 169
230 - [email protected] 177
240 - 04298207......Page 186
250 - 04298213......Page 187
260 - 04298204......Page 188

Citation preview

SEPTEMBER 2007

VOLUME 55

NUMBER 9

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling On the Deembedding Issue of CMOS Multigigahertz Measurements .... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . A. Issaoun, Y. Z. Xiong, J. Shi, J. Brinkhoff, and F. Lin Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation . .. .. ........ ......... ......... ........ ......... ....... V. Camarchia, F. Cappelluti, M. Pirola, S. D. Guerrieri, and G. Ghione

1813

Active Circuits, Semiconductor Devices, and Integrated Circuits 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique ...... ......... ........ ........ .. ......... ........ ......... . Y.-T. Lin, H.-C. Chen, T. Wang, Y.-S. Lin, and S.-S. Lu RFCMOS Unit Width Optimization Technique .. ... A. F. Tong, W. M. Lim, C. B. Sia, K. S. Yeo, Z. L. Teng, and P. F. Ng A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique ...... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ . H.-H. Hsieh, Y.-H. Chen, and L.-H. Lu

1832 1844

1824

1854

Signal Generation, Frequency Conversion, and Control Design of a Reflection-Type Phase Shifter With Wide Relative Phase Shift and Constant Insertion Loss ....... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... C.-S. Lin, S.-F. Chang, C.-C. Chang, and Y.-H. Shu

1862

Millimeter-Wave and Terahertz Technologies Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for -Band Gigabit Wireless Systems ... ....... ... ...... J.-H. Lee, S. Pinel, J. Laskar, and M. M. Tentzeris

1869

Field Analysis and Guided Waves Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices ..... ........ ......... ......... .. .. ........ ......... ......... .... C. Bachiller, H. E. González, V. E. Boria Esbert, Á. Belenguer Martínez, and J. V. Morro

1880

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) CAD Algorithms and Numerical Techniques A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines ... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .... Y. Zhang and B. E. Spielman Filters and Multiplexers Double-Sided Parallel-Strip Line With an Inserted Conductor Plane and Its Applications ....... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .. J.-X. Chen, C.-H. K. Chin, and Q. Xue Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters .... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ...... A. Morini, G. Venanzoni, M. Farina, and T. Rozzi A Tunable Bandstop Resonator Based on a Compact Slotted Ground Structure ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... X. H. Wang, B.-Z. Wang, H. Zhang, and K. J. Chen

1887

1899 1905 1912

Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects ........ ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ........ T. J. Spencer, P. J. Joseph, T. H. Kim, M. Swaminathan, and P. A. Kohl Synthesizing Microstrip Branch-Line Couplers With Predetermined Compact Size and Bandwidth .... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .... C.-W. Tang and M.-G. Chen Ultra-Wideband Phase Shifters .. ......... ......... ........ ......... ......... ........ ......... ......... ........ .... A. M. Abbosh

1919

Instrumentation and Measurement Techniques Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers ...... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... R. Senguttuvan, S. Bhattacharya, and A. Chatterjee Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration ...... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ....... D. F. Williams, T. S. Clement, K. A. Remley, P. D. Hale, and F. Verbeyst

1942

Microwave Photonics All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion .... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ....... H. Chi and J. Yao High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator ........ ......... .. .. ........ ......... ......... .. H. Kiuchi, T. Kawanishi, M. Yamada, T. Sakamoto, M. Tsuchiya, J. Amagai, and M. Izutsu Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links .. ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. P. S. Devgan, V. J. Urick, J. D. McKinney, and K. J. Williams Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links ........ ......... ..... V. J. Urick, F. Bucholtz, P. S. Devgan, J. D. McKinney, and K. J. Williams

1926 1935

1951

1958 1964 1973 1978

Biological, Imaging, and Medical Applications Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme . ........ ......... ......... ........ ......... ........ C. H. See, R. A. Abd-Alhameed, and P. S. Excell

1986

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

1995

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $20.00 per year for electronic media only or $40.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. S. KENNEY, President L. BOGLIONI D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. MODELSKI, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

K. G. GARD, Secretary A. MORTAZAWI B. PERLMAN V. J. NAIR A. ROSEN

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. VARIAN (2006) K. C. GUPTA (2005) R. J. TREW (2004)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

DANIEL DE ZUTTER ZOYA POPOVIC YOSHIO NIKAWA Universiteit Gent Kokushikan Univ. Univ. of Colorado, Boulder Belgium Japan USA email: [email protected] email: [email protected] email: [email protected] KENJI ITOH JOSÉ PEDRO SANJAY RAMAN Mitsubishi Electronics Univ. of Aveiro Virginia Polytech. Inst. and State Univ. Japan Portugal USA email: [email protected] email: jcp.mtted.av.it.pt email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEAH H. JAMIESON, President and CEO LEWIS TERMAN, President-Elect PEDRO RAY, Vice President, Regional Activities CELIA L. DESMOND, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association DAVID GREEN, Treasurer PETER STAECKER, Vice President, Technical Activities MICHAEL R. LIGHTNER, Past President JOHN MEREDITH, President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications DONALD CURTIS, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology BARBARA COBURN STOLER, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $85.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2007 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2007.907179

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1813

On the Deembedding Issue of CMOS Multigigahertz Measurements Ammar Issaoun, Yong Zhong Xiong, Senior Member, IEEE, Jinglin Shi, James Brinkhoff, Member, IEEE, and Fujiang Lin, Senior Member, IEEE

Abstract—The purpose of this paper is to address the issues of deembedding multigigahertz CMOS measurements by extensively comparing six popular methods and by proposing a new method based on two-port measurements. The comparison aims to evaluate the maximum applicable frequency of equivalent-circuit methods (open-short, three step, . . .) and the effect of the source dangling leg of MOSFETs on the cascade methods (two line and thru). Fifty dummy structures and 12 MOSFETs were fabricated using standard 0.18- m CMOS technology. It was found that, at low frequencies ( 6 GHz), all method results were comparable. The open-short method performed well over the entire frequency range (0.1–40 GHz) studied. The newly developed method, called the thru-short method, uses only two dummy structures, a thru and a short, to completely deembed the parasitics from probe pads, interconnects, and the semiconducting substrate. The measurements validated the thru-short algorithm and showed its usefulness for multigigahertz on-wafer CMOS measurements. Index Terms—Deembedding methods, four step, improved three step, on-wafer measurements, open-short, three step, thru, thrushort, two line.

I. INTRODUCTION

T

HE SCALING of CMOS technology into the deep submicrometer regime has enabled its use at microwave and, more recently, even at millimeter-wave frequencies. To design circuits at these frequencies, designers require accurate and robust models for simulations. The first challenge that a modeling engineer faces in developing such models is to obtain accurate device measurements [1]. At multigigahertz frequencies, the accuracy of on-wafer calibration and parasitic deembedding techniques is still an extremely important issue for device characterization and modeling [2]. The classical calibration procedures such as short-open-loadthru (SOLT), line-reflect-match (LRM), thru-reflect-line (TRL), etc., are impractical for wafer-level measurements conducted on silicon substrates [3]. For this reason, on-wafer deembedding techniques have been frequently used in combination with off-wafer calibration procedures to remove the unwanted parasitics. Several deembedding techniques can be found in the literature, i.e., [3]–[13]. The most popular ones are the open-short, the three step, the improved three step, the four step, the cascade

Manuscript received December 15, 2006; revised May 17, 2007. The authors are with the Institute of Microelectronics, Singapore 117685 (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904041

two line, and the thru. These techniques can be grouped into two categories. The first group consists of lumped equivalent-circuit-model-based techniques. These model the probe pads, metallic interconnects, and semiconducting substrate as parasitics connected in parallel-series configurations. The impact of the unwanted parasitics on device characterization is substantially reduced after subtracting the parasitic components in the admittance and impedance domains. As the operation frequency enters the multigigahertz regime, the metallic interconnects become electrically longer. The equivalent-circuit methods may not work well [9], as they only take into account the resistive and inductive effects of the metallic interconnects. To date, to the best of our knowledge, the maximum applicable frequency of these methods has not been described in the literature. The second group consists of cascade-based deembedding techniques [3], [9], [10]. These model the probe pads, interconnects, and device-under-test (DUT) as a cascade connection of two-ports. They have been developed to overcome the shortcomings of the equivalent-circuit deembedding methods. Although the two-port cascade-based deembedding methods can accurately calculate and eliminate the pad and interconnect parasitics, they neglect the parasitics of the source dangling leg. A three-port deembedding procedure has been proposed in [13] to take this effect into account at the expense of dramatically increasing the complexity of the measurement setup [1]. Therefore, it is necessary to develop simpler methods, based on twoport measurements, which take into consideration the source dangling leg effect. As the crucial deembedding issues are confronted, many questions arise. Which deembedding category is more suitable for our study? Which technique is the best? What is the maximum usage frequency for equivalent-circuit methods? What is the effect of the source dangling leg parasitics on the cascade methods? The best way to answer these questions and others is to carry out an extensive qualitative comparison of the most popular methods. To the best of our knowledge, this has not been done yet in the literature, except a brief study up to 12 GHz in [8]. To solve the effects observed during the comparison, we propose a new method, which is based on a combination of the cascade and impedance representations, to eliminate completely the parasitics. The remainder of this paper is organized as follows. In Section II, circuit simulations of all the methods are presented. In Section III, the new thru-short deembedding algorithm is presented. Section IV presents the fabricated structures,

0018-9480/$25.00 © 2007 IEEE

1814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 1. Equivalent-circuit representation of the open-short method, implemented in the ADS circuit simulator for algorithm verification. All circuit element values are typical for a standard 0.18-m CMOS technology process with substrate resistivity of 10 1 cm.

measurements, and a discussion of the results. Finally, Section V concludes this paper. II. METHODOLOGY Prior to fabrication, a theoretical comparison between the different deembedding methods was carried out using the ADS circuit simulator, based on a realistically structure. This analysis provides insight into any theoretical problems with the methods as the ideal deembedded data is known. It allowed the determination of how the different algorithms behave versus frequency, how the results of the equivalent circuit methods will depart from those of the cascade methods. This would reflect both frequency limitations of the former ones and the effect of the source dangling leg on the latter. Fig. 1 shows how the probe pads, the metallic interconnects, the semiconducting substrate and the are modeled in the ADS circuit simulator using equivalent-circuit theory. The hypothetical passive structure can be viewed as a zero bias device, although it could be any passive structure. From Fig. 1, the raw data can be modeled by placing termination ports at nodes 1 and 2 and the ideal deembedded data by placing ports at nodes 3 and 4 with node 5 grounded. The open dummy equivalent-circuit is obtained by deleting the hypothetical passive structure and that of the short is obtained by short-circuiting the hypothetical passive structure, i.e., nodes 3, 4 and 5, in Fig. 1. A similar approach is used to model the dummy structures of the other methods i.e., the three-step, the improved three-step, the four-step, the cascade two-line, and the thru. Simulations are run from 1 to 40 GHz and the obtained results are reported in Figs. 2 and 3. For the sake of clarity, rectangular representation of the -parameter is used in all the figures instead of a Smith chart. The results from the equivalent-circuit methods are grouped in one graph and those from cascade methods in another. To aid the comparison, we added the open-short results to the graphs of the cascade methods. It will be justified later that the open-short method will be considered as the reference for discussion. According to the results of Figs. 2 and 3, all the methods are similar, as all the simulated results overlap, except for the three-step method, which deviates from the other methods above 20 GHz. We believe that this deviation is due to the position of the coupling capacitor generally

Fig. 2. Continuous lines (—) show the ideal deembedded data for a hypothetical structure and the symbols are the deembedded results using open-short ( ), three-step method (2), improved three-step method () and four-step method (3).

referred to as [4], connecting nodes 3 and 4 in Fig. 1, and the behavior of the algorithm with frequency. To consider the source dangling leg effect, it was modeled as a series impedance combination of a resistance and an inductance (see and Fig. 1). Their values were varied from 0.1 to 0.5 for , which are typical values for the stanfrom 0 to 44 pH for dard 0.18- m CMOS process technology. Figs. 4 and 5 show the effect of this variation on the deembedded -parameters. was overestimated to magnify its effect, but The value of is changed, as expected from theory. The effect of only can be neglected without any compromise of accuracy, as reis caused ported in Fig. 4. However, a large deviation in

ISSAOUN et al.: DEEMBEDDING ISSUE OF CMOS MULTIGIGAHERTZ MEASUREMENTS

Fig. 3. Continuous lines (—) show the ideal deembedded data for a hypothetical structure and the symbols are the deembedded results using open-short ( ), thru ( ), and two-line ( ) methods.

2



Fig. 4. Effect of the source dangling leg resistance (R ) on the deembedded data of a hypothetical structure using thru ( ) and two-line ( ) methods compared to ideal deembedded data (—).



2

by , as seen in Fig. 5. This large impact of on has to be corrected, as the deembedding accuracy depends on pH, accurate deembedding its value. For example, if is limited only to 4 GHz, as shown in Fig. 5.

1815

Fig. 5. Effect of the source dangling leg inductance (L ) on the deembedded data of a hypothetical structure using thru ( ) and two-line ( ) methods compared to ideal deembedded results (—).



2

demonstrated in Section II, both techniques ignore the source dangling leg impedance. This impedance can be obtained by deembedding a thru from short dummy structures. This new algorithm involves three steps for a complete parasitic subtraction and uses two dummy (thru and short) structures. By analogy to the open-short method, the proposed algorithm is called the thru-short method. For simplicity, we have adopted the approach of [8] and, hence, the thru-short algorithm can be summarized in three steps. Firstly, the input and output adapters (pad line) can be removed from the raw device data with the help of the thru deembedding; hence, the reference planes are shifted from probe tips to the device terminals. This can be achieved by considering that the network from the probe tip to the DUT, i.e., pads and interconnects, can be described by a two-port reciprocal network. Practically, it is assumed that the input/output pads and interconnects are identical, and are referred to as adapters. This assumption can be easily satisfied during the design step by ensure a symmetric plane [12]. Knowing the -parameters of the thru, the adapter’s ones are computed by [8]

III. THRU-SHORT METHOD A thru deembedding method was introduced in [8] using the cascade approach and then in [12] using an equivalent-circuit approach. These methods are very attractive because of their simplicity and dummy structure area reduction. As

(1)

(2)

1816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 8. One of the designed dummy structure sets.

Fig. 6. Continuous lines (—) show ideal deembedded data for a hypothetical structure and the symbols are the deembedded results using thru ( ) and thrushort (1 1 1)

Fig. 7. Plot of (4) and (5) versus frequency for the extraction of respectively.

R

and

L

,

The thru deembedded DUT data is obtained by performing a matrix division as follows [8]:

(3) where and are the chain matrices of the adapter and of the DUT, respectively. Secondly, the source dangling leg impedance can be extracted from the short dummy structure by removing the input and output adapters from its measurements, as described in step 1 using a chain matrix of the short in (3). Then convert

Fig. 9. Continuous lines (—) show the measured raw data from a 64-finger device at zero bias and the symbols are the deembedded ones with measured open-short ( ) and equivalent-circuit open-short ().

the obtained chain-short matrix to impedance-short matrix, identified as . impedance is represented by a series combination of a resistance and an inductance . These circuit elements are extracted using the following equations:

(4) (5)

ISSAOUN et al.: DEEMBEDDING ISSUE OF CMOS MULTIGIGAHERTZ MEASUREMENTS

1817

Fig. 10. Deembedded measurements of the 64-finger device at different bias points using: open-short (solid line), three-step method (dashed line), improved three-step method (solid thick line), and four-step method (long dashed line). (a) V g = 0:0 V, V d = 0:0 V. (b) V g = 0:7 V, V d = 1:8 V.

Thirdly, the fully deembedded device data is obtained by removing ’s from the data. To do so, construct the matrix and convert to impedance matrix . The device impedance matrix is calculated as

(6) The deembedded -parameters of the device are obtained by to . transforming The algorithm has been implemented using equivalent-circuit theory in the ADS circuit simulator. The source dangling leg resistance is set to 0.1 and its inductance is set to 20 pH, which are typical values for the standard 0.18- m CMOS technology process in which the test structures were fabricated. The thru

method, i.e., (3), resulted in the dashed line curves shown in Fig. 6. The effect of the dangling leg is clearly seen from this figure by noticing the deviation of the dashed lines with respect to the continuous lines, which represent the ideal deembedded results. As observed from Fig. 6, it is clear that for accurate extraction of the parasitics, the source dangling leg should be considered above 10 GHz. The plots of (4) and (5) versus frequency are given in Fig. 7. presents a slightly disperFrom this figure, we notice that sive effect above 25 GHz, which is due to the asymmetry of the adapters. Therefore, has to be extracted at low frequency. and . Equations (4) and (5) predict the exact values of The thru-short algorithm is then applied and the obtained results are also shown in Fig. 6 denoted via dotted lines. Notice how the new algorithm solved the source dangling leg issue, as

1818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 11. Deembedded measurements of the eight-finger device at V gs = 1:8 V and V ds = 1:8 V bias point using: open-short (solid line), three-step method (dashed line), improved three-step method (solid thick line), and four-step method (long dashed line).

the dotted lines are very close to the continuous lines. As will be seen in Section IV, the results of the simulations shown in Fig. 6 will be verified by the measurements. IV. RESULTS AND DISCUSSIONS A. Experiment To study the effect of deembedding on intrinsic device measurements in deep-submicrometer CMOS technology, 12 MOSFETs were used. The finger width is fixed to 5 m and the number of fingers is set to 4, 8, 12, 16, 20, 24, 28, 32, 40, 48, 56, and 64. The smallest transistor, with a 20- m gate area, is 16 times smaller than the largest one. To see the effect of the dummy structures area on device measurements, the devices are divided into three groups: small for 4-, 8-, 12-, and 16-finger devices, and medium and large for 40-, 48-, 56-, and 64-finger devices. All dummy structures for the open-short, three-step, improved three-step, four-step, cascade two-line, and thru deembedding methods were designed for each group. Fig. 8 shows one set of the designed dummy structures. A total of 62 structures over a 4-mm area were fabricated using a standard 0.18- m CMOS process with a substrate resistivity of 10 cm. The measurements were performed using an HP 8510C network analyzer, which was calibrated using line-reflect-reflect-match (LRRM) calibration [14]–[16] with the help of WinCal software and a ceramic standard substrate

(ISS). All the structures were measured over a frequency range from 0.1 to 40.1 GHz. To verify repeatability, calibration and measurements were performed three times.

B. Reference Establishment Preceding the comparison, the open and short structures’ equivalent circuits were extracted and optimized. Fig. 9 presents a comparison between the open-short deembedding using direct measurements and using the extracted and optimized equivalent-circuit elements of the dummy open-short is small. The methods. This is due to the fact that direct measurement open-short method also exhibits a small increase with frequency. From this figure, we can consider that the two ways of deembedding are practically identical, as their results are close. Therefore, the open-short method can be established as a reference for our structures. Above 30 GHz, exists between the equivalent a small discrepancy in circuit and the direct measurement comparison study; ideally a known three-terminal passive structure is required as done in Section IV-A. This also proves that the open and short equivalent circuits are good at least up to 40 GHz for the considered process. To satisfy our curiosity on the maximum applicable frequency of the open-short method, we have measured the same structures up to 110 GHz and the results showed that the limit of the open-short method is approximately 40 GHz for the considered process.

ISSAOUN et al.: DEEMBEDDING ISSUE OF CMOS MULTIGIGAHERTZ MEASUREMENTS

1819

Fig. 12. Measured (continuous line) raw data and the deembedded results using open-short (dotted line), thru (dashed line), and two line (long dashed line). The device is the 64-finger one and the bias points are the same as in Fig. 11. (a) V gs = 0:0, V ds = 0:0 V. (b) V gs = 0:7 V, V ds = 1:8 V.

C. Equivalent-Circuit Methods All the deembedding methods were applied to the raw data for all the devices and over various bias points using the large structure set. Figs. 10 and 11 present a comparison between the fourstep, open-short, three-step, and improved three-step methods for the 64- and eight-finger devices, respectively. Again, for the sake of clarity, only four methods are shown. From these figures, all the methods have resulted in the same deembedded results below 6 GHz. The four-step method resulted in the worst deembedded data, in particular for the phase of . The threestep and improved three-step methods are practically equivaand using the lent. Above 25 GHz, the phase of

three-step and improved three-step methods deviates from the results of the other methods. This is not physically correct. This deviation is correlated to the geometry of the device, as is observed in Figs. 10 and 11. This was also predicted by simulations in Fig. 2, but occurs at higher frequencies depending upon the structure’s dimensions. D. Cascade Methods The graphs of the two-line and the thru methods are provided in Figs. 12 and 13 for the same devices and bias points. To maintain a reference, we have also included the open-short method,

1820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 13. Measured (continuous line) raw data and the deembedded results using open-short (dotted line), thru (dashed line), and two line (long dashed line). The device is the eight-finger one and the bias point is the same as in Fig. 10.

as well as the raw data in Figs. 12 and 13. The zero bias point is intentionally chosen to show the performance of deembedding on passive structures. From Figs. 12 and 13, we see that the two-line, as well as the thru deembedding methods, suffer from not getting rid of the resonance phenomena of the structures. The source dangling leg effect is negligible at low frequencies ( 6 GHz), as the results from all the methods overlap, and they are very close to the raw data due to the small dimensions of the test structures. Fig. 12 exhibits the same behavior as predicted by the circuit simulations in Fig. 5. This resonance phenomenon is mostly associated with the large devices. As seen in Figs. 5 and 12, the source dangling leg impedance effect increases as the frequency increases and becomes nonnegligible above 10 GHz for the process used here. Comparing Figs. 12 and 13, the cascade methods appear to be more suitable for small structures if desired to be applied at high frequency (above 6 GHz), as the resonance is pushed to much higher frequencies, but still correction for the dangling leg impedance effect is needed above 20 GHz. Finally, to see the effect of the geometry of the dummy structures on the device deembedding results, we have performed another simulation for all the methods using previous devices, but this time using the small dummy structures. The obtained results for eight- and 16-finger devices are compared with those of Figs. 10 and 11. As the resonance phenomenon is reduced, all methods performed slightly better than in the previous case. From this study, we can conclude that, for practical purposes, one dummy structure set can be used for different sized devices.

E. Thru-Short Method To this point, we have shown that the source dangling leg has an effect on the cascade methods for frequencies above 6 GHz. To solve this resonance problem, we have applied the new thru-short deembedding algorithm for all the devices. As a sample of these results, Fig. 14 shows the obtained deembedded data for the 64-finger device using thru, thru-short, and open-short methods, as well as the raw data at two bias points. The open-short results are added for comparative purpose. The enhancement obtained using the thru-short method can be seen by comparing the dotted curves resulting from (6) with the long dotted–dashed ones obtained from (3). The long dotted–dashed curves i.e., thru deembedding results, follow the continuous lines representing the raw data as if no deembedding has been performed above 10 GHz; whereas the doted lines follow physically expected behavior. The simulated behavior in Fig. 6, for passive structures, is reproduced using measurements in Fig. 14 for the zero bias point. For accurate parasitic extraction, it appears that, above 6 GHz, the dangling leg effect has to be considered. To see the effect of the dangling source leg on the small devices, we have reproduced the obtained results for the eight-finger device, as shown in Fig. 15. For such small devices, the source impedance affects the deembedding accuracy less, as the thru and thru-short results overlap up to 25 GHz. From these last figures, we see that results obtained from thru-short and open-short are very comparable and we believe that the thru-short method will not be limited in frequency, as it is not

ISSAOUN et al.: DEEMBEDDING ISSUE OF CMOS MULTIGIGAHERTZ MEASUREMENTS

1821

Fig. 14. Measured (continuous line) raw data from the 64-finger device at two bias points and deembedded data using thru-short (dotted line), thru (dashed line), and open-short (cross). (a) V gs = 0:0, V ds = 0:0 V. (b) V gs = 0:7 V, V ds = 1:8 V.

based on the equivalent-circuit approach and, hence, useful for millimeter-wave frequencies. V. CONCLUSIONS A comparison between the standard deembedding methods including open-short, three-step, improved three-step, four-step, cascade two line, and thru was conducted. Fifty test structures were fabricated using 0.18- m CMOS technology. The results over the frequency range from 100 MHz to 40.1 GHz and over different bias points and different sized MOSFETs have shown the following: • below 6 GHz, all the methods are identical;

• above 6 GHz, the cascade two-line and thru methods showed limitations due to the dangling leg effect; • below 25 GHz, the open-short, three-step, and improved three-step methods are almost equivalent with a minor difference in the phase deembedded results; • above 25 GHz, the improved three- and three-step methods showed a limitation; • open-short method can be applied up to 40 GHz; • repeating test structures for small device is not required; • four-step method results are the worst. The newly proposed thru-short deembedding technique effectively removed the parasitics from the probe pads, interconnects, and semiconducting substrate, as well as the MOSFET source

1822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 15. Measured (continuous line) raw data from the eight-finger device at V gs = 1:8 V and V ds = 1:8 V bias points and deembedded data using thru-short (dotted line), thru (dashed line), and open-short (cross).

dangling leg effect. It uses only two dummy structures. Measurements verified the thru-short algorithm and showed its applicability for multigigahertz on-wafer CMOS measurements. ACKNOWLEDGMENT The authors would like to thank W. G. Yeoh and Dr. R. Singh, both with the Institute of Microelectronics, Singapore, for the assistance and support. REFERENCES [1] M. J. Deen, C. H. Chen, S. Asgaran, G. A. Rezvani, J. Tao, and Y. Kiyota, “High-frequency noise of modern MOSFETs: Compact modeling and measurement issues,” IEEE Trans. Electron Devices, vol. 53, no. 9, pp. 2062–2081, Sep. 2006. [2] M. B. Jenner and T. E. Kolding, “Test structures and techniques for on-wafer CMOS TRL calibration,” in Proc. IEEE Int. Microelectron. Test Structures Conf., Mar. 2001, pp. 137–141. [3] M. H. Cho, G. W. Huang, Y. H. Wang, and L. K. Wu, “A scalable noise deembedding technique for on-wafer microwave device characterization,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 649–651, Oct. 2005. [4] H. Cho and D. E. Burk, “A three-step method for the deembedding of high-frequency S -parameter measurements,” IEEE Trans. Electron Devices, vol. 38, no. 6, pp. 1371–1375, Jun. 1991. [5] E. P. Vandamme, D. Schreurs, and C. Van Dinther, “Improved threestep deembedding method to accurately account for the influence of pad parasitics in Si on-wafer RF test-structures,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 737–742, Apr. 2001. [6] M. C. A. M. Koolen, J. A. M. Geelen, and M. P. J. G. Versleijen, “An improved deembedding technique for on-wafer high-frequency characterization,” in Proc. IEEE Bipolar Circuits Technol. Meeting, Minneapolis, MN, Sep. 1991, pp. 188–191.

[7] T. E. Kolding, “A four-step method for deembedding gigahertz on-wafer CMOS measurements,” IEEE Trans. Electron Devices, vol. 47, no. 4, pp. 734–740, Apr. 2000. [8] T. E. Kolding, “On-wafer calibration techniques for giga-hertz CMOS measurements,” in Proc. IEEE Int. Microelectronic Test Structures Conf., Götebörg, Sweden, Mar. 1999, pp. 105–110. [9] C. H. Chen and M. J. Deen, “A general noise and S -parameter deembedding procedure for on-wafer high-frequency noise measurements of MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1004–1005, May 2001. [10] M. H. Cho, G. W. Huang, K. M. Chen, and A. S. Peng, “A novel cascade based deembedding method for on-wafer microwave characterization and automatic measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1237–1240. [11] L. F. Tiemeijer and R. J. Havens, “A calibrated lumped-element deembedding technique for on-wafer RF characterization of high-quality inductors and high-speed transistors,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 1371–1375, Mar. 2003. [12] J. Song, F. Ling, G. Flynn, W. Blood, and E. Demircan, “A deembedding technique for interconnects,” in Proc. Elect. Performance Electron. Packag., Cambridge, MA, Oct. 2001, pp. 129–132. [13] M.-H. Cho, G.-W. Huang, L.-K. Wu, C.-S. Chiu, Y.-H. Wang, K.-M. Chen, H.-C. Tseng, and T.-L. Hsu, “A shield-based three-port deembedding method for microwave on-wafer characterization of deep submicron silicon MOSFETs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2926–2934, Sep. 2005. [14] T. E. Kolding, O. K. Jensen, and T. Larsen, “Ground-shielded measuring technique for accurate on-wafer characterization of RF CMOS devices,” in Proc. IEEE Int. Microelectron. Test Structures Conf., Monterey, CA, Mar. 2000, pp. 106–111. [15] D. F. Williams, R. B. Marks, and A. Davidson, “Comparison of on-wafer calibrations,” in 38th ARFTG Conf. Dig., San Diego, CA, Dec. 1991, pp. 68–81. [16] A. Davidson, K. Jones, and E. Strid, “LRM and LRRM calibrations with automatic determination of load inductance,” in 36th ARFTG Conf. Dig., Monterey, CA, Nov. 1990, pp. 57–62.

ISSAOUN et al.: DEEMBEDDING ISSUE OF CMOS MULTIGIGAHERTZ MEASUREMENTS

Ammar Issaoun received the B.S. and M.S. degrees from the National Institute of Electricity and Electronics, Boumerdes, Algeria, in 1988 and 1993, respectively, and the Ph.D. degree from Mouloud Mammeri University, Tizi-ouzou, Algeria, in 2001, all in electrical engineering. From 1993 to 2001, he was a Senior Lecturer and Researcher with the Electronics Institute, University of Tizi-ouzou. From 2001 to 2005, he was a Post-Doctoral Fellow with the Communications and Microelectronics Laboratory (LACIME), Ecole de Technologie Supérieure (ETS), Montréal, QC, Canada, and the Poly-Grames Research Center, Ecole Polytechnique, Montréal, QC, Canada. He is currently a Senior Research Engineer with the Microwave and Millimeter Modeling Group, Integrated Circuits and Systems Laboratory, Institute of Microelectronics, Singapore. His research interests are in the areas of nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and computational electromagnetic techniques for the modeling and design of passive microwave structures.

Yong Zhong Xiong (M’98–SM’02) received the B.S. and M.Eng. degrees in communication and electronic systems from the Nanjing University of Science and Technology (NUST), Nanjing, China, in 1986 and 1990, respectively, and the Ph.D. degree in electrical and electronic engineering from the Nanyang Technological University (NTU), Singapore, in 2003. From 1986 to 1994, he was with NUST, where he was involved with microwave systems and circuit design with the Department of Electronic Engineering. In 1994, he was with NTU as a Research Scholar. From 1995 to 1997, he was with the RF and Radios Department, Singapore Technologies (ST, Singapore), As a Senior Engineer, he was also affiliated with the Centre for Wireless Communications, National University of Singapore, in 1996, where he was involved with the RF Identification (RFID) Project. Until the end of 1997, he was with the Microelectronics Centre, NTU. Since September 2001, he has been with the Institute of Microelectronics (IME), Singapore, as a Member of the Technical Staff. He has authored or coauthored over 80 technical papers. His major areas of research include monolithic RF and microwave integrated circuit [RF integrated circuit (RFIC)/monolithic microwave integrated circuit (MMIC)] design, and device modeling and characterization. He holds several patents. Dr. Xiong has served as a technical reviewer for the IEEE TRANSACTION ON ELECTRON DEVICES, the IEEE TRANSACTION ON MICROWAVE AND THEORY TECHNIQUES, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He has also served on committees of the Singapore IEEE Microwave Theory and Techniques (MTT) Chapter and the IEEE International Workshop on Radio-Frequency Integration Technology.

Jinglin Shi received the B.Eng. and M.Eng. degrees in electronics engineering from Tianjin University, Tianjin, China, in 1993 and 1996, respectively, and the Ph.D. degree from the National University of Singapore, Singapore, in 2001. Since September 2000, she has been a Senior Research Engineer with the Integrated Circuits and Systems Laboratory, Institute of Microelectronics, Singapore. Her research interests include on-wafer characterization and modeling of active and passive devices in deep submicrometer BiCMOS and CMOS technologies, device noise and substrate coupling, novel design and device optimization for high-frequency applications, and millimeter-wave circuit design.

1823

James Brinkhoff (S’02–M’05) was born in 1979. He received the B.E. degree from the University of Tasmania, Tasmania, Australia, in 2001, and the Ph.D. degree from Macquarie University, Sydney, N.S.W., Australia, in 2005. His honors project investigated the use of SiGe HBTs for wide-band low-noise amplifiers. He is currently with the Institute of Microelectronics, Singapore. His research interests include monolithic microwave integrated circuit (MMIC) design, effects of microwave circuit nonlinearity on communication systems, amplifier linearization, and nonlinear circuit analysis.

Fujiang Lin (M’93–SM’99) received the B.S. and M.S. degrees from the University of Science and Technology of China (USTC), Hefei, China, in 1982 and 1984, respectively, and the Dr.-Ing. degree from the Universität Kassel, Kassel, Germany, in 1993, all in electrical engineering. In 1995, he joined the Institute of Microelectronics (IME), Singapore, as a Member of Technical Staff, where he pioneered practical RF modeling for RF integrated circuit (IC) development. In 1999, he joined HP EEsof, as the Technical Director, where he established the Singapore Microelectronics Modeling Center, providing accurate state-of-the-art device and package characterization and modeling solution service worldwide. From 2001 to 2002, he started up and headed Transilica Singapore Pte. Ltd., a research and development design center of Transilica Inc., a Bluetooth and IEEE 802.11 a/b wireless system-on-chip (SoC) company. The company was acquired by Microtune Inc. After the close down of Transilica Singapore in 2002, he joined Chartered Semiconductor Manufacturing Ltd., (third largest foundry), as Director, where he led the SPICE modeling team in support of company business. In 2003, he rejoined IME as a Senior Member of Technical Staff, where he is currently focused on upstream research and development initiatives and leadership towards next waves. His current research interest is in the development of CMOS as a cost-effective technology platform for 60-GHz band millimeter-wave SoC. As an Adjunct Associate Professor with the National University of Singapore, Singapore, he is actively involved in educating and training post-graduate students. He has authored or coauthored over 70 scientific papers. He holds two patents. Dr. Lin has served IEEE activities in different functions since 1995 including chair of the Singapore Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter, reviewer board member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and Technical Program Committee (TPC) member of ESSCRIC. He is initiator and co-organizer of international workshops and short courses at APMC’99, SPIE’00, ISAP’06, and IMS’07. Recently, he and his team initiated and organized the conference style IEEE International Workshop on Radio-Frequency Integration Technology (RFIT), Singapore. He was the recipient of the 1998 Innovator Award presented by EDN Asia Magazine.

1824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation Vittorio Camarchia, Member, IEEE, Federica Cappelluti, Member, IEEE, Marco Pirola, Member, IEEE, Simona Donati Guerrieri, Member, IEEE, and Giovanni Ghione, Fellow, IEEE

Abstract—This paper presents an accurate and flexible approach to the self-consistent electrothermal modeling of III– -based HEMTs, combining a temperature-dependent electrical compact model with a novel behavioral nonlinear dynamic thermal model, suitable for circuit-level simulations. The behavioral thermal model is extracted, according to a Wiener-like approach, from a full-scale, finite-element-method-based time-domain 3-D solution of the heat equation. The electrothermal model, validated against dc, pulsed dc, -parameter and large-signal nonlinear measurements, is exploited to assess the impact of thermal memory effects on the device RF performances. In particular, the model allows for a detailed analysis and interpretation of the thermal memory effects on intermodulation distortion. Finally, the proposed approach enables to analyze such features for different thermal mountings, thus providing useful indications for technology assessment. Index Terms—Electrothermal effects, GaN, power RF field-effect transistors (FETs), semiconductor device, thermal factors.

I. INTRODUCTION

HE HIGH breakdown voltage and power density offered by AlGaN/GaN HEMTs make them ideal candidates for next-generation RF power amplifiers (PAs); however, in such devices, self-heating becomes an issue, not only affecting the device reliability and leading to dc and RF performance degradation, but possibly influencing linearity through thermal memory effects [1]–[3]. This may be critical in modern communication systems, characterized by complex modulated input signals that excite thermal and trap-related dynamics [4] at a quasi-dc scale. From a modeling standpoint, thermal memory effects involve the detailed heat diffusion dynamics (and not only the average or dc device temperature) and the thermal feedback on the device electrical model, which is neglected in equivalent-circuit models having the temperature as a constant parameter [5], [6].

T

Manuscript received March 26, 2007; revised June 23, 2007. This work was supported by the European Union Information Society Technologies “TARGET” Network of Excellence under Contract 507893, under the KORRIGAN Eurofinder Project, and by the Italian University and Research Ministry under the COFIN 2005 “FPFET” Project. The authors are with the Dipartimento di Elettronica, Politecnico di Torino, 10129 Turin, Italy. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.903839

Thus, the accurate large-signal (LS) modeling of high-power microwave PA stages generally requires a coupled self-consistent electrothermal model (SCET) including an accurate dynamic thermal model, also allowing for thermal nonlinearity. Coupled electrothermal models are presented in [7]–[10]; however, the thermal dynamics are approximated there through simple static or one-pole rational approaches, which fail to capture the detailed dynamic response of complex composite thermal mountings, whose behavior is intrinsically distributed. Although distributed dynamic closed-form approaches have been proposed in the past (see, e.g., [11] where an equivalent transmission-line-based thermal impedance model is exploited), extension to nonlinear and inhomogeneous cases is difficult. Simple rational approximations to the distributed thermal dynamics are typically not accurate enough in evaluating the PA intermodulation (IM) distortion, due to the thermoelectrical feedback induced by dynamical self-heating, and associated to the generation of second-order IM products in the instantaneous dissipated power that arises in the presence of modulated input signals [3]. Fine details in the low-frequency thermal response are thus essential in modeling, e.g., the behavior of IM versus tone spacing; this also applies in the estimation of the steady-state temperature when the device operates in pulsed mode with a slow repetition rate, as in some radar systems. Furthermore, the detailed thermal dynamics (and, in particular, signatures associated to large-scale 3-D geometry or parameter inhomogeneities) can be important in the technological evaluation at a device level, when selecting between different substrates (silicon [12], silicon carbide [13], or single-crystal sapphire [14]) and mounting choices [backside (BS) or flip-chip (FC)] [13], [15]), or even in the evaluation of advanced materials (such as carbon-nanotube [16] or diamond-based [17] FC). While a fully coupled 3-D physics-based electrothermal model would accurately reproduce the fine details in the dynamic thermal response, the related computational intensity prevents the estimation of the device response in the presence of multitone excitation and accounting for the embedding electrical network. Intermediate quasi-2-D self-consistent approaches (see, e.g., [18]) still are too computationally demanding to be integrated in an RF circuit simulation environment. Direct coupling of a full 3-D numerical thermal model to a temperature-dependent device compact model poses similar problems due to the computational intensity of the former; a possible effective approach to overcome this limitation is to

0018-9480/$25.00 © 2007 IEEE

CAMARCHIA et al.: SELF-CONSISTENT ELECTROTHERMAL MODELING OF CLASS A, AB, AND B POWER GaN HEMTs

apply to the thermal model order reduction strategies (see [19] and the references therein). In this paper, we present a different and novel solution to the problem of efficiently coupling an accurate dynamic thermal model to a temperature-dependent device model within a circuit computer-aided design (CAD) environment. The proposed SCET couples a temperature-dependent nonlinear equivalent circuit to an accurate, but computationally efficient compact dynamic thermal model extracted through a Wiener behavioral approach from full-scale 3-D finite-element method (FEM) simulations. Preliminary results on the modeling strategy are presented in [20]. The model is implemented within the ADS RF circuit simulation environment, thus enabling to explore the detailed influence of the thermal dynamics on the device performances under complex modulated excitation and for class A, AB, or B operation. The model strategy allows to implement devices with a given layout and epitaxial structure both in BS and FC configuration, thus introducing a different thermal environment and, to a certain extent, additional parasitics (e.g., FC source bumps as opposed to BS source via-holes within a microstrip technology), which may be added to the core nonlinear model. By exploiting such a reconfigurable approach, the RF device behavior can be investigated in the presence of alternative mounting solutions. This paper is organized as follows. Section II describes the proposed SCET model. In Section III, the extraction and validation of the model both for the thermal (physics based) and for the electrical parts (small-signal and LS operation) is shown. Section IV presents an evaluation of different mounting schemes in terms of operating temperature and RF behavior, and a discussion on the impact of thermal memory on the device LS performance. Some conclusions are finally given in Section V. II. THE SCET MODEL The GaN HEMT has been modeled through a -dependent cubic Curtice FET compact model [21], [22], where the mobility and/or saturation velocity (and, thus, the drain current), and the depend on following the physics-based threshold voltage can lead to thermal approach in [9] and [23]. The model for runaway [24]; however, implementing a model with decreasing mobility with increasing restores the correct stability [25]. The Curtice cubic model was already successfully applied to GaN HEMT simulations [9], although it is commonly accepted that other approaches [6], [26] are better suited to the modeling of III–V-based HEMTs or pseudomorphic HEMTs (pHEMTs). The implemented compact Curtice model exploits a cubic polynomial approximation of the field-effect transistor (FET) intrinsic drain current as a function of the gate voltage [21]

(1) where the hyperbolic tangent accounts for the drain current sat, the parameter models the smalluration as a function of , and the parameter models the channel signal resistance coefficients account conductance in the ohmic region. The

1825

for the threshold voltage variation with temperature, and are modeled according to the following expressions: (2) (3) (4) (5) In the above equations, the parameter linearly depends on , where is a fitting temperature as accounts for the temperature-dependent carrier parameter; velocity degradation as (6) where and are fitting parameters ( ). Additional details on the model implementation (which is slightly different from the one proposed in [9] and consistent with the Agilent ADS built-in model) can be found in [22]. The extrinsic part of the model is assumed constant, except for the parasitic resistances, which include the mobility variation with temperature. Finally, the model includes a set of nonlinear capacitances [22], assumed as temperature independent. The electrical model is coupled to a dynamic compact thermal model extracted from 3-D FEM [27] numerical simulations. The static part of the thermal impedance is first evaluated from dc simulations at different dissipated power levels, taking into account the thermal conductivity temperature dependence. The dynamic thermal behavior is then evaluated from the frequency transform of the temperature transient response to a small-amplitude dissipated power step. The resulting linear filter is coupled to the memoryless nonlinear block according to the Wiener compact model approach [28]. Details on the 3-D FEM simulations and validation of the behavioral model are given in Section III. The SCET model has been implemented in the Agilent ADS CAD environment; the symbolic defined device (SDD) tool enables to implement the temperature-dependent Curtice FET model with an explicit temperature handle as a constant parameter, as in the ADS (rather than with built-in model). The thermal resistance values, as a function of the device dissipated power, and the frequency-domain filter transfer function are stored into lookup tables and then interpolated by the circuit simulator through cubic splines. III. MODEL EXTRACTION AND VALIDATION As a case study, we consider a Selex-SI coplanar AlGaN/GaN HEMT on an SiC substrate with 1-mm total gate periphery (10 100 m) conceived either for BS or FC mounting [29]. 3-D FEM simulations have been carried out to identify compact behavioral models for different mountings. Extraction and validation of the temperature-dependent electrical model is carried out through the electrical characterization of the BS mounted device in dc and small-signal and LS operation. To evaluate the impact of different mountings on the device RF performances, electrothermal simulations have then been repeated by changing only the thermal part of the device model. We neglect, in the frequency range of interest, the additional reactive parasitics

1826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I MATERIALS’ THERMAL CONDUCTIVITY AND HEAT CAPACITANCE EXPLOITED IN THE SIMULATIONS

Fig. 1. Layout exploited for the thermal simulations: the simulated area is highlighted in the device photograph. For flip-chip mounting, an AlN dissipation layer is connected to the device bumps.

Fig. 2. Dissipated power dependence of the device thermal resistance for BS mounting and FC on AlN, diamond, and ideal dissipator.

associated (in particular) with the FC mounting; this is justified by the comparatively low operating frequency. A. Thermal Model An example of the analyzed device layout is shown in Fig. 1 (top): each gate finger has a dissipation area of 100 0.5 m and all Au metal layers are 5- m thick; the thickness of the 4H-SiC substrate is 330 m, while the GaN layer is 2.5- m thick. For FC mountings, metal pillars or bumps on the source, drain, and gate metallizations are used. Taking into account the layout symmetries, only one-quarter of the device was included in FEM simulations, as shown in Fig. 1 (top). For FC mounting, the bumps are 20- m thick and a small approximation has been made on their position on the gate and drain metal lines, as shown in Fig. 1 (bottom). A dissipation layer (thickness of 330 m) is finally connected to the pillars, made of ceramic AlN, diamond, or an ideal material with zero thermal resistance. The last case is considered as a reference best case bound. The 3-D FEM model exploits a constant injected power located at the interface between the gate contact and GaN layer, and includes the -dependent 4H-SiC thermal conductivity ([30], worst case) and GaN thermal conductivity [31], while the one of AlN is assumed as constant. Table I summarizes the thermal conductivity and heat capacitance values exploited in the simulations, while Fig. 2 shows behavior as a function of the dissipated power for the the different mountings considered.

Fig. 3. Normalized amplitude response of the linear filter exploited in the dynamic thermal model for BS and FC mounting on AlN, diamond, and ideal dissipator.

The nonlinear thermal resistance is evaluated from the temperature averaged over the gates. An example of the calculated frequency-domain response is reported in Fig. 3 for the different layouts, highlighting the increased (decreased) filtering effect introduced by the AlN (diamond, ideal) dissipation layer; notice that the response rolloff is much lower than 20 dB per decade up to 1 GHz, making a rational (lumped) approximation of the thermal frequency response inaccurate unless a large number of poles (of the order of 10) is introduced. The linear filter is coupled to the static nonlinear block as a Wiener compact model [28]. Notice that the Wiener approach

CAMARCHIA et al.: SELF-CONSISTENT ELECTROTHERMAL MODELING OF CLASS A, AB, AND B POWER GaN HEMTs

Fig. 4. Comparison of FEM and Wiener compact model simulations of the BS-mounted device at different levels of dissipated power.

1827

Fig. 5. Comparison between measured and modeled dc I–V and pulsed I–V output characteristics for the BS mounted device. V ranges from 7 to 0 V with 1-V step.

0

would be exact only in the two limiting cases of -independent material properties (linear problem) or of dc behavior (nonlinear memoryless problem). However, the accuracy of the behavioral model turns out to be good in all the structures considered. Fig. 4 compares FEM and ADS simulations exploiting the Wiener compact model at different levels of dissipated power W, which has been exploited for the model extraction ( W and W), showing good agreement. Notice that for W, the thermal behavior already is markedly nonlinear; despite this, the agreement between the FEM and behavioral model simulations is excellent. B. Electrical Model The extraction of the electrical part of the SCET model was carried out on the basis of electrical measurements on the BS-mounted device according to the following steps: extraction of parasitics from cold FET measurements; fitting with (without heating) of the pulsed dc measurements (with quiescent point and , and pulse s with 0.1% duty cycle); fitting of the duration static dc characteristics of the complete SCET model including the -dependence of the parameters in (2)–(6); extraction of the dynamic part of the model (gate–source, gate–drain, and drain–source nonlinear capacitances) from -parameters data in several bias conditions and frequencies in the range 100 MHz–20 GHz. Fig. 5 compares the simulated and measured pulsed and static dc characteristics; the agreement is good in both cases. The extracted model was validated against additional pulsed measurements with different quiescent points and average dissipated power, always finding good agreement. Fig. 6 compares the measured and simulated -parameters in the range of 100 MHz–20 GHz for two bias points, which were V, not exploited in the electrical model fitting: V, and V, V. Simulations show good agreement with measurements, especially at frequencies below the device cutoff frequency , estimated around 15 GHz.

Fig. 6. Frequency dependence of the simulated and measured S -; S -; and S -parameters in the range of 100 MHz–20 GHz. The model was fitted on = 4:5-V and V = 15-V bias point only. V

0

Finally, the model has been validated under LS operation for an input frequency of 4 GHz. The optimum load impedance was derived from load–pull measurements. Fig. 7 compares for a class the SCET model against the measured A bias point with optimum termination, while Fig. 8 reports the measured and simulated RF time-domain waveforms of drain voltage and current at 1-dB compression point. In both cases, good agreement results. Notice that the device was nearly matched on 50- terminations; thus, the behavior with optimum load and with 50- termination (not shown here) are almost equivalent. To further stress the model accuracy, Fig. 7

1828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

0

0

Fig. 7. P P curves at 4 GHz under class A (V = 2:7 V, V = 30 V) optimum load termination, and class B (V = 6:3 V, V = 30 V) 50-

termination. Simulations (solid line), measurements (symbols).

0

Fig. 9. Increase of the average temperature as a function of the RF input power, in different operating classes, for different mountings: BS (circle), FC on AlN (triangle), and FC on diamond (cross).

maximum variation of 0.5 and 0.5 dB for the AlN-FC and diamond-FC mountings, respectively, compared with the BS one. Similarly, third-order intermodulation (IM3) products were equivalent, with deviations of a few tenths of decibels. B. Impact of Thermal Memory Effects on Gain and IM3

Fig. 8. Time-domain waveforms of drain current and voltage at 4 GHz, under class A operation (V = 2:7 V, V = 30 V) and optimum termination, at 1-dB compression point: simulations (solid lines), measurements (symbols).

0

also reports the measured and simulated curves for the device terminated on 50 in class B operation. IV. DEVICE PERFORMANCE EVALUATION In this section, we present some LS class A, AB, and B simulations under single- and two-tone excitations with the aim of stressing the importance of an accurate dynamic electrothermal model in the framework of PA modeling and design, but also in the field of thermal design and technology assessment. A. Assessing the Effect of Mounting on PA Performances As a first example, the model has been applied to the evaluation of the device behavior in terms of temperature and RF performance for different mounting approaches: BS on SiC substrate, FC on an AlN carrier, and FC on an advanced diamond carrier. Fig. 9 compares the device temperature as a function of the RF input power in different operating classes. For low input power, simulations exhibit the expected theoretical behavior according to which heating is larger in class A and decreases in class AB and B; also the saturation behavior is consistent with the theory. Moreover, the simulations allow to conclude that the different mountings lead to comparable RF performances, the main difference being related to the larger thermal resistance of the FC carrier. In particular, single-tone simulation (not reported here) showed at 1-dB compression point a

Here, we present simulation results demonstrating that different thermal dynamic model choices may significantly affect the simulated device performances in terms of gain and linearity. In particular, we compare the full-dynamic model to the following approximated thermal models: • isothermal model: in which the device temperature is kept constant at the quiescent bias point; • single-pole low-pass model: with cutoff frequency of 10 Hz, which includes self-heating effects due to the change of the dc temperature component under RF modulations; • static nonlinear or memoryless model: where the thermal model reduces to the nonlinear part only, thus allowing the temperature to instantaneously follow the RF modulated power. curves at the fundamental freFig. 10 shows the quency (4 GHz) for a single-tone simulation, under class A V, V), class AB ( V, ( V), and class B ( V, V) operation, as predicted from the full-dynamic model and the isothermal model. The device is terminated on a 50- load. Under class A operation, at compression, the isothermal model underestimates by more than 1 dB the output power since it cannot account for the device cooling at increasing RF input power with respect to the dc operating condition. On the other hand, under class B operation, the isothermal model overestimates the achievable gain since it predicts a lower temperature (the device is cold at dc) with respect to the full-dynamic model, which accounts for the increased dissipated power of the device under RF operation. Finally, under class AB, the two models give equivalent results. The impact of accurate self-consistent modeling of thermal memory is more evident in the presence of modulated input signals [3], as simulations under two-tone excitation already show.

CAMARCHIA et al.: SELF-CONSISTENT ELECTROTHERMAL MODELING OF CLASS A, AB, AND B POWER GaN HEMTs

Fig. 10. Single-tone simulation at 4-GHz fundamental frequency under class A, class AB, and class B operation on 50 . Output power versus available input power as predicted from the full-dynamic (solid line) and isothermal model (dashed line).

Fig. 11. Class A two-tone simulation, fundamental frequency: 4 GHz, tone spacing: 5 MHz. Device temperature increase versus time: isothermal model (crosses), full-dynamic model (solid line), single-pole low-pass (circles). The variable thickness of the solid line trace is due to the superimposed RF modulated temperatures.

In this case, with a tone spacing of 5 MHz, a somewhat unexpected RF modulation is clearly superimposed to the temperature envelope modulation, see Fig. 11. This effect is related to the slow decay of the thermal impedance with frequency (see Fig. 3), as outlined by the comparison of the device temperature obtained from the full-dynamic model and from the single-pole low-pass thermal model. Fig. 12 finally shows an example of IM3 distortion simulation as a function of the tone spacing using different electrothermal models. The device works in class A, with 50- load, and the center frequency is 4 GHz. At 5-MHz spacing, the isothermal and single-pole low-pass filter overestimate the IM3 of more than 3 dB with respect to the full-dynamic model, while on the other hand, the memoryless thermal model would underestimate the IM3 of a few decibels. The different behaviors in Fig. 12 can be ascribed to the electrothermal feedback introduced by the self-consistent model [3], which is negative in the current case. In fact, in FET devices, the current and, therefore, the dissipated power, decrease as temperature increases. Such feedback is zero for the isothermal model, and becomes more significant when going to the single-pole low-pass, full-dynamic, and static nonlinear models. In the presence of electrothermal feedback, IM3

1829

Fig. 12. Two-tone simulation at 25-dBm available input power, center frequency 4 GHz. Lower IM3 product versus tone spacing. Isothermal model (crosses), single-pole dynamic (circles), full-dynamic (solid line), static (squares).

is generated both directly by the device and through the generation of low-frequency even-order IMs in the instantaneous power. Such IMs modulate, through electrothermal feedback, the device current, and are upconverted through mixing with the fundamental tones as lower and upper IM3 (with some degree of (diasymmetry [3]). Let us denote the two sets of IM3s as (generated through the rectly generated by the device) and thermal feedback); in the case of negative electrothermal feedcontribution can be subtractive and, therefore, back, the decreases the total IM3. The low-pass thermal filter reduces with increasing tone spacing , leading to the observed behavior. Inaccuracies in the thermal filter response at low frequency clearly have a deep impact on the detailed IM3 behavior . Finally, the picture may be made more complex by versus the thermal nonlinearity, which generates additional contribuin the device temperature, thus tions to the harmonics of further modulating the thermal feedback. Such conclusions are confirmed by the experimental results in Fig. 13, which presents a comparison between simulated and measured lower IM3 products as a function of the output power, in class A operation, with different tone spacings, ranging between 200 kHz and 30 MHz (results for additional intermediate spacings were available and are not shown to preserve the graph readability). Fig. 13 reports only the lower IM3 since, as also predicted by the model, the measured IM3 asymmetry was approximately 0.2 dB in the entire ranges of power and tone spacing considered. The measurements were carried out at center frequency of 2.14 GHz, in the framework of a research work on PA linearity optimization for third–generation (3G) Universal Mobile Telecommunications System (UMTS) applications [32] for input powers up to 15 dBm. The measurement setup included high-power bias-tees with lower 3-dB cutoff frequency around 5 MHz; such components were characterized, modeled, and taken into account in the simulations; however, the impact on IM3s, when compared with simulations carried out using ideal bias-tees, was found to be negligible. The agreement between simulated and measured IM3 data is good, with a maximum deviation lower than 3 dB up to output powers of 30 dBm. Notice that the IM3 increasing behavior versus tone spacing is similar altogether (apart from the absolute values) to the one in Fig. 12. For larger output power (the saturation power is around

1830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Italy, for dc and pulsed measurements, Dr. V. Teppati, Politecnico di Torino, Turin, Italy, for the IM3 measurements, and Prof. F. Bonani, Politecnico di Torino, for useful discussions. REFERENCES

Fig. 13. Lower IM3 products versus output power at center frequency of 2.14 GHz, class A operation on optimum power load, under two-tone stimulus for different tone spacings: simulations (lines), measurements (symbols)

36 dBm), the slope of the simulated IM3s versus increases, deviating from the ideal low-power behavior, and the IM3s finally become virtually independent from the tone spacing. Comparisons with simulations carried out at constant device temperature suggest that this may be ascribed to a reduction of the magversus , which ultimately makes the effect nitude of of thermal feedback on IM3s negligible. We can conclude that the accurate modeling of thermal memory through a dynamic electrothermal model has a significant impact on the simulation of gain and IM products. Therefore, the SCET approach is important in the optimization of PA RF performance, in particular with respect to linearity, which is especially critical for complex modulation formats, such as code division multiple access (CDMA) and orthogonal frequency division multiplexing (OFDM), not to mention the impact in the design of PA (e.g., predistortion) linearizers. Within this framework, the SCET model can be directly linked to system-level simulation with complex modulated input, by exploiting, e.g., the ADS co-simulation approach. V. CONCLUSION We have presented an accurate and flexible self-consistent dynamic electrothermal model for GaN-based HEMTs, enabling the exploration of the detailed influence of thermal dynamics on the performances of RF PAs. The model was extracted and validated on Selex-SI AlGaN/GaN HEMT technology. The presented simulations demonstrate that thermal dynamics may significantly affect the device performances in terms of achievable gain and linearity, highlighting the importance of an accurate electrothermal model in the framework of PA modeling and design. In particular, the model is shown to accurately reproduce slow memory effects on IM3 generation, as demonstrated by comparisons with experimental data. Finally, by exploiting the model reconfigurability with respect to the thermal environment, we have analyzed the influence of different mounting approaches (BS and FC) on RF performances. ACKNOWLEDGMENT The authors wish to acknowledge Selex-SI, Rome, Italy, for providing the analyzed devices, Prof. E. Limiti and Dr. A. Serino, both with the University of TorVergata, Rome,

[1] S. David, W. Batty, A. J. Panks, R. G. Johnson, and C. M. Snowden, “Thermal transients in microwave active devices and their influence on intermodulation distortion,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 431–434. [2] A. E. Parker and J. G. Rathmell, “Broad-band characterization of FET self-heating,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2424–2429, Jul. 2005. [3] J. H. K. Vuolevi, T. Rahkonen, and J. P. A. Manninen, “Measurement technique for characterizing memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1383–1389, Aug. 2001. [4] S. Augaudy, R. Quere, J. P. Teyssier, M. A. Di Forte-Poisson, S. Cassette, B. Dessertenne, and S. L. Delage, “Pulse characterization of trapping and thermal effects of microwave GaN power FETs,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 427–430. [5] F. Filicori, G. Ghione, and C. U. Naldi, “Physics-based electron device modeling and computer-aided MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1333–1352, Jul. 1992. [6] I. Angelov, H. Zirath, and N. Rosman, “A new empirical nonlinear model for HEMT and MESFET devices,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2258–2266, Dec. 1992. [7] S. Nuttinck, E. Gebara, J. Laskar, and H. M. Harris, “Study of selfheating effects, temperature-dependent modeling, and pulsed load–pull measurements on GaN HEMTs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2413–2420, Dec. 2001. [8] M. Berroth, E. Chigaeva, I. Dettmann, N. Wieser, W. Vogel, H. Roll, F. Scholz, and H. Schweizer, “Advanced large-signal modeling of GaN–HEMTs,” in IEEE Lester Eastman High Perform. Develop. Conf. Tech. Dig., 2002, pp. 172–180. [9] J.-W. Lee and K. J. Webb, “A temperature-dependent nonlinear analytic model for AlGaN–GaN HEMTs on SiC,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 2–9, Jan. 2004. [10] A. Jarndal, B. Bunz, and G. Kompa, “Accurate large-signal modeling of AlGaN–GaN HEMT including trapping and self-heating induced dispersion,” in ISPSD Tech. Dig., 2006, pp. 97–100. [11] M. Mnif, T. Zimmer, J. L. Battaglia, and B. Ardouin, “Modeling the self-heating effect in SiGe HBTs,” in IEEE Proc. BCTM, 2002, pp. 96–99. [12] J. Kuzmik, S. Bychikhin, M. Neuburger, A. Dadgar, A. Krost, E. Kohn, and D. Pogany, “Transient thermal characterization of AlGaN/GaN HEMTs grown on silicon,” IEEE Trans. Electron. Devices, vol. 52, no. 8, pp. 1698–1705, Aug. 2005. [13] P. Regoliosi, A. Reale, A. Di Carlo, P. Romanini, M. Peroni, C. Lanzieri, A. Angelini, M. Pirola, and G. Ghione, “Experimental validation of GaN HEMTs thermal management by using photocurrent measurements,” IEEE Trans. Electron. Devices, vol. 53, no. 2, pp. 182–188, Feb. 2006. [14] S. Keller, W. Yi-Feng, G. Parish, J. J. N. Z. Xu, B. P. Keller, S. P. DenBaars, and U. K. Mishra, “Gallium nitride based high power heterojunction field effect transistors: Process development and present status at UCSB,” IEEE Trans. Electron. Devices, vol. 48, no. 3, pp. 552–559, Mar. 2001. [15] J. Das, H. Oprins, H. Ji, A. Sarua, W. Ruythooren, J. Derluyn, M. Kuball, M. Germain, and G. Borghs, “Improved thermal performance of AlGaN/GaN HEMTs by an optimized flip-chip design,” IEEE Trans. Electron. Devices, vol. 53, no. 11, pp. 2696–2702, Nov. 2006. [16] T. Iwai, H. Shioya, D. Kondo, S. Hirose, A. Kawabata, S. Sato, M. Nihei, T. Kikkawa, K. Joshin, Y. Awano, and N. Yokoyama, “Thermal and source bumps utilizing carbon nanotubes for flip-chip high power amplifiers,” in Int. Electron. Devices Meeting Tech. Dig., 2005, pp. 257–260. [17] C. Schaffauser, O. Vendier, S. Forestier, F. Michard, D. Geffroy, C. Drevon, J. F. Villemazet, J. L. Cazaux, S. Delage, and J. L. Roux, “Optimised thermal and microwave packaging for wideband gap transistors: Diamond & flip chip,” in GAAS’05 Tech. Dig., 2005, pp. 537–540. [18] D. Denis, C. M. Snowden, and I. C. Hunter, “Coupled electrothermal, electromagnetic, and physical modeling of microwave power FETs,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2465–2470, Jun. 2006.

CAMARCHIA et al.: SELF-CONSISTENT ELECTROTHERMAL MODELING OF CLASS A, AB, AND B POWER GaN HEMTs

[19] R. Sommet, D. Lopez, and R. Queré, “From 3-D thermal simulation of HBT devices to their thermal model integration into circuit simulators via Ritz vectors reduction technique,” in Proc. ITHERM, 2002, pp. 22–28. [20] A. Angelini, V. Camarchia, F. Cappelluti, S. D. Guerrieri, M. Pirola, F. Bonani, A. Serino, and G. Ghione, “Evaluation of GaN HEMT technology development through nonlinear characterization,” in ISPSD Tech. Dig., 2006, pp. 105–108. [21] W. R. Curtice and M. Ettenberg, “A nonlinear GaAs FET model for use in the design of output circuits for power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1383–1394, Dec. 1985. [22] “ADS release 2005A documentation, Agilent EEsof EDA” Agilent Technol., Palo Alto, CA, 2005. [23] L. Selmi and B. Ricco, “Modeling temperature effects in the DC I–V characteristics of GaAs MESFET’s,” IEEE Trans. Electron. Devices, vol. 40, no. 2, pp. 273–277, Feb. 1993. [24] S. A. Maas, “Ill conditioning in self-heating FET models,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 3, pp. 88–89, Mar. 2002. [25] A. E. Parker, “Comments on Ill conditioning in self-heating FET models,” IEEE Microw. Wireless Compon. Let., vol. 12, no. 9, pp. 351–352, Sep. 2002. [26] I. Angelov, L. Bengtsson, and M. Garcia, “Extensions of the Chalmers nonlinear HEMT and MESFET model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1664–1674, Oct. 1996. [27] Comsol 3.2. COMSOL Inc., Burlington, MA, 2006. [28] M. Schetzen, “Nonlinear system modeling based on the Wiener theory,” Proc. IEEE, vol. 69, no. 12, pp. 1557–1773, Dec. 1981. [29] V. Camarchia, S. D. Guerrieri, M. Pirola, V. Teppati, A. Ferrero, G. Ghione, M. Peroni, P. Romanini, C. Lanzieri, S. Lavanga, A. Serino, E. Limiti, and L. Mariucci, “Fabrication and nonlinear characterization of GaN HEMTs on SiC and sapphire for high-power applications,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 1, pp. 70–80, Jan. 2006. [30] A. Angelini, M. Furno, F. Cappelluti, F. Bonani, M. Pirola, and G. Ghione, “Thermal design of power GaN FETs in microstrip and coplanar MMICs,” in GAAS’05 Tech. Dig., 2005, pp. 145–148. [31] T. P. Chow and Ghezzo, “SiC power devices,” in Proceedings III—Nitride, SiC, Diamond Material Electronic Devices, D. K. Gaskill, C. D. Brandt, and R. J. Nemanich, Eds. Pittsburgh, PA: Mater. Res. Soc., 1996, vol. 423, pp. 69–73. [32] P. Colantonio, F. Giannini, E. Limiti, A. Nanni, V. Camarchia, V. Teppati, and M. Pirola, “Linearity and efficiency optimisation in microwave power amplifier design,” Oct. 2007, accepted for presentation at EUMIC 2007, Munich, Germany. Vittorio Camarchia (S’01–M’04) was born in Turin, Italy, in 1972. He received the Laurea degree and Ph.D. degree in electronic engineering from the Politecnico di Torino, Turin, Italy, in 2000 and 2003, respectively. In 2001, 2002, and 2003, he was a Visiting Researcher with the Electrical and Computer Engineering Department, Boston University. In February 2003, he joined the Dipartimento di Elettronica, Politecnico di Torino. His research is focused on RF device modeling, simulation, and characterization, both linear and nonlinear. Dr. Camarchia was the recipient of the 2002 Young Graduated Research Fellowship presented by the Gallium Arsenide application Symposium (GAAS) Association.

1831

Federica Cappelluti (S’02–M’03) received the Laurea degree in electronic engineering and Ph.D. degree in electronic and communications engineering from the Politecnico di Torino, Turin, Italy, in 1998 and 2002, respectively. She is currently a Research Assistant with the Dipartimento di Elettronica, Politecnico di Torino. Her main research interests concern the physics-based modeling and simulation of microwave and opto-electronic devices.

Marco Pirola (M’97) was born in Velezzo Lomellina, Italy, in 1963. He received the Laurea degree in electronic engineering and Ph.D. degree from the Politecnico di Torino, Turin, Italy, in 1987 and 1992, respectively. In 1992 and 1994, he was a Visiting Researcher with the Microwave Technology Division, Hewlett-Packard, Santa Rosa, CA. Since 1992, he has been with the Dipartimento di Elettronica, Politecnico di Torino, where he has been an Associate Professor since 2000. His research concerns the simulation, modeling, and measurements of microwave devices and systems.

Simona Donati Guerrieri (M’97) was born in Milan, Italy, in 1969. She received the Theoretical Physics degree from the University of Milano, Milan, Italy, in 1993, and the Ph.D. degree in electron devices from the University of Trento, Trento, Italy, in 1999. In 1998 and 2000, she was a consultant with the ULSI Technology Research Department, Bell Laboratories, where she was involved with physics-based noise modeling of electron devices. She is currently a Researcher with the Dipartimento di Elettronica, Politecnico of Torino. Her research interests include the modeling and simulation of microwave solid-state devices and RF and microwave integrated circuit design.

Giovanni Ghione (M’87–SM’94–F’07) was born in Alessandria, Italy, in 1956. He received the Electronic Engineering degree (cum laude) from the Politecnico di Torino, Turin, Italy, in 1981. In 1990, he joined the University of Catania, as Full Professor of electronics. In 1991, he rejoined the Dipartimento di Elettronica, Politecnico di Torino. His current research interests concern the physics-based simulation of active microwave and opto-electronic devices with particular attention to noise and thermal modeling. Prof. Ghione is a member of the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

1832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique Yu-Tso Lin, Hsiao-Chin Chen, Tao Wang, Yo-Sheng Lin, Senior Member, IEEE, and Shey-Shi Lu, Senior Member, IEEE

Abstract—In this paper, we demonstrate an SiGe HBT ultra-wideband (UWB) low-noise amplifier (LNA), achieved by a newly proposed methodology, which takes advantage of the Miller effect for UWB input impedance matching and the inductive shunt–shunt feedback technique for bandwidth extension by pole-zero cancellation. The SiGe UWB LNA dissipates 25.8-mW power and achieves 11 below 10 dB for frequencies from 3 to 14 GHz (except for a small range from 10 to 11 GHz, which 1.5 dB for frequencies from is below 9 dB), flat 21 of 24.6 3 to 11.6 GHz, noise figure of 2.5 and 5.8 dB at 3 and 10 GHz, respectively, and good phase linearity property (group-delay variation is only 28 ps across the entire band). The measured 1-dB compression point ( 1 dB ) and input third-order intermodulation point are 25.5 and 17 dBm, respectively, at 5.4 GHz. Index Terms—HBT, inductive feedback, low-noise amplifier (LNA), Miller effect, SiGe, ultra-wideband (UWB).

I. INTRODUCTION

S

INCE THE U.S. Federal Communications Commission (FCC) approved the application of ultra-wideband (UWB) technology for commercial use in early 2002, ranging from 3.1 to 10.6 GHz (bandwidth: 7.5 GHz), the implementation of a UWB system and its sub-blocks has been a challenge for integrated circuit (IC) designers. In UWB receiver design, the UWB low-noise amplifier (LNA) is a critical block of the receiver front-end. Different from that operating in a narrowband communication system, a UWB LNA has to receive small signals from 3.1 to 10.6 GHz and amplify them with a good signal-to-noise ratio property over the entire UWB band. , input impedance In addition, flat and high power gain ), and good noise matching (i.e., low input-return loss figure (NF) performances across the entire UWB band are also required. Recently, several UWB LNAs have been reported [1]–[11]. For some UWB LNAs [1], [2], which are designed for an orthogonal frequency division multiplexing (OFDM)

Fig. 1. RLC series network.

system, linearity is a tight requirement for suppressing adjacent channel interferences. On the other hand, for UWB pulse radio systems [3], [4], the amplitude linearity requirements are relaxed; instead, in order to keep the shape of the pulse while receiving radio signals from an antenna, good phase linearity is required. In this paper, a new methodology to implement a UWB LNA for UWB pulse radio system applications is demonstrated by 0.35- m SiGe HBT technology. The proposed methodology takes advantage of the Miller effect for UWB input impedance matching and the inductive shunt–shunt feedback technique for bandwidth extension by pole and zero cancellation. Although inductive shunt–shunt feedback topology has been seen [12]–[14] before, their major intention is for transistor neutralization or peaking rather than circuit pole-zero cancellation, which will be detailed later in this paper. This paper is organized as follows. Section II describes the circuit design . principles of UWB input impedance matching and UWB Section III introduces the proposed UWB LNA with four casof this circuit is derived caded stages. Transfer function of for the purpose of illustrating the proposed methodology. In addition, theoretical analysis of NF and linearity is also included. Finally, the experimental results of the SiGe UWB LNA are discussed in Section IV, which is followed by conclusions in Section V. II. PRINCIPLES OF CIRCUIT DESIGN

Manuscript received October 18, 2006; revised June 17, 2007. This work was supported by the National Science Council of the R.O.C. under Contract NSC95-2221-E002-364 and Contract NSC93-2752-E-002-002–PAE, and by the Ministry of Economic Affairs under Contract MOEA 94EC17A05-S1-017. Y.-T. Lin, H.-C. Chen, T. Wang, and S.-S. Lu are with the Graduate Institute of Electronics Engineering and Department of Electrical Engineering, National Taiwan University, Taipei 10617, Taiwan, R.O.C. (e-mail: [email protected]). Y.-S. Lin is with the Department of Electrical Engineering, National Chi Nan University, Puli 545, Taiwan, R.O.C. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.903836

A. UWB Input Impedance Matching To discuss UWB input impedance matching, consider the freof an RLC series network shown in quency response of of it is given by Fig. 1 first. The input impedance

0018-9480/$25.00 © 2007 IEEE

(1)

LIN et al.: 3–10-GHZ UWB LNA UTILIZING MILLER EFFECT AND INDUCTIVE SHUNT–SHUNT FEEDBACK TECHNIQUE

According to (1),

1833

can be expressed as follows:

or

(2)

in which is called the pole frequency (or center frequency) is called the pole factor and [15]. Now it is clear that is a standard notch function with 3-dB bandwidth [16]. HowdB equal to should be smaller than 10 dB over ever, in RF design, the band of interest, i.e.,

dB

(3)

in (2) into (3) and after By substituting the expression of some calculations, it was found that the input impedance is equal to matching bandwidth

(4) is inversely That is, the input matching bandwidth (or inversely proportional to the proportional to the value of value of if the value of the center frequency is fixed). From (4), for a 3.1–10.6-GHz UWB LNA, the inductance should not be larger than 0.707 nH (or 0.25). In the traditional LNA design using series- series inductive feedback, (usually 50 ) as shown in Fig. 2(a), the input resistance is generated by the emitter (or a source) degenerative inductor [17], and the base inductor and the emitter inductor are used to resonate in the center frequency , as shown in Fig. 2(a). Recently, several circuit topologies have been developed for UWB input impedance matching based on the LC bandpass filter theory [1] [2], in is still mainly generated by the which the necessary 50 emitter (or source) inductor. The capacitive feedback technique utilizing Miller effect has been used to achieve UWB input impedance matching [18], [19] instead of generating the necessary 50 by an emitter (or a source) degenerative inductor. For the common-emitter amplifier with shunt–shunt capacitive feedback, shown in Fig. 2(b), suppose over the 3.1–10.6-GHz band of interest, which is usually the case. The input impedance of it is then given by

(5a)

Fig. 2. Input impedance matching achieved by the: (a) traditional series-series inductive feedback and (b) shunt–shunt capacitive feedback.

in which (5b) (5c) (5d) (5e) In the above equations, is the transconductance and is the base–emitter capacitance of transistor . is the equivalent base–collector capacitance, which can include the and the intentionally added base–collector capacitance capacitance , if necessary, between the base and collector . The parallel load represents the of transistor input impedance of the following stages of the circuit, which provides an appropriate load for generating the necessary 50 . Compared with the traditional series–series inductive input matching technique (two inductors), the shunt–shunt capacitive input matching method (one inductor) is more area efficient is needed to generate because no area consuming inductor 50 . B. UWB Gain Flattening Technique As shown in Fig. 3, resistive shunt–shunt feedback is traditionally adopted for extending the bandwidth of an amplifier. However, the corresponding gain performance is usually not

1834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 5. Calculated characteristics of quency of a SiGe multiband LNA. Fig. 3. Traditional resistive shunt–shunt feedback amplifier and its equivalent circuit. The loading effect of the previous stage (R ) and the output terminal (R ) is also shown.

jG

j; jZ

j

, and

jS

j

versus fre-

Although this circuit topology in conjunction with the abovementioned capacitive feedback technique is appropriate as a multiband LNA (see Fig. 4) for a multiband or multistandard application [18], [19], it is difficult to achieve high and flat UWB gain at the same time, which is explained as follows. For the , multiband LNA shown in Fig. 4, the transfer function of which is equal to two times of the voltage gain , can be derived as follows:

Fig. 4. Multiband LNA consists of a shunt–shunt capacitive feedback stage followed by a gain stage.

high enough for UWB application due to the tradeoff between and the gain and bandwidth. The transimpedance gain arising from of the resistive shunt–shunt feedback pole amplifier can be expressed as follows: (8)

(6)

is proportional to , which is a transfer function of a second-order low- low-pass RLC circuit. For example, , i.e., over-damped response, if nH and the center frequency GHz over the band of interest are specified. Besides, GHz contributed by the zero the capacitive-feedback first-stage is much higher than the 3.1–10.6-GHz band of interest and, hence, is negligible. Fig. 5 shows the characteristic of calculated and versus frequency of a typical SiGe multiband LNA, as published in [19]. As can be seen, over the 3.1–10.6-GHz versus frequency band of interest, the characteristic of exhibits a negative slope close to 20 dB/decade because its pole is approximately 1.2 GHz. In addition, the characteristic versus frequency also exhibits a negative slope close of to 20 dB/decade, which can be explained as follows: since in which

(7)

where is the transconductance of transistor denotes parallel connection, , and is the input resistance of the . Note that the loading effect amplifier without considering in Fig. 3) and the output terminal of the previous stage (i.e., (i.e., in Fig. 3) is included so that the result can be applied of the amplifier shown in Fig. 4. directly to the analysis of widens the From (6) and (7), we can see that decreasing bandwidth of the amplifier, but at the same time, the gain is degraded.

LIN et al.: 3–10-GHZ UWB LNA UTILIZING MILLER EFFECT AND INDUCTIVE SHUNT–SHUNT FEEDBACK TECHNIQUE

1835

Fig. 6. (a) Relative position of the zeros (Z and Z ) and the poles (P ; P ; P ; and P ). (b) Corresponding frequency response of the UWB LNA proposed in this paper.

the input RLC circuit is a low- circuit and is specified as holds over the band of interest, 5.7 GHz, i.e., can be assumed, which, in turn, results in

Fig. 7. Proposed inductive shunt–shunt feedback amplifier and its equivalent circuit. The loading effect of the previous stage (R ) and the next stage (C ) is also considered.

(9) and versus This explains why the characteristic of frequency in Fig. 5 exhibits a negative slope close to 20 and 40 dB/decade, respectively, over the 3.1–10.6-GHz band of interest. performance of the LNA in Fig. 4 for UWB To flatten the applications, the poles contributed by [see in [see in Fig. 6(a) and (b)], reFig. 6(a) and (b)] and spectively, should be cancelled by introducing an additional two and in Fig. 6(a) and (b)], which are located exzeros [see actly at the same frequencies of the two poles. This can be achieved by taking the following two actions. First, adding an inductive shunt–shunt feedback stage (see is the feedback inductance and is the Fig. 7, in which parasitic resistance of or the intentionally added small resisgain stage of the LNA. A newly generated tance) after the due to the inductive shunt–shunt feedback stage zero of to achieve is specified to be equal to the pole pole-zero cancellation. in Second, adding a stage with an inductive load [see at dc Fig. 8(a)] to the end of the LNA to generate a zero can frequency so that the pole contributed by

Fig. 8. (a) Schematic of the proposed UWB LNA. (b) Corresponding equivalent circuit for calculating the input impedances seen at the input of the second, third, and last stages.

be cancelled. Consequently, because frequencies of the complex conjugate poles ( and , which will be discussed in detail later) arising from the inductive shunt–shunt feedback stage are originated from the gain stage, the higher than that of bandwidth of the LNA is extended. In the following, we analyze the proposed inductive shunt–shunt feedback amplifier shown in Fig. 7. The tranand input impedance can be simpedance gain derived as (10a), shown at the bottom of this page, and (10b),

(10a)

1836

respectively, where ,

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

is the transconductance of transistor

(10b)

Note that in (10a), is assumed. In addition, the in Fig. 7) and the loading effect of the previous stage (i.e., in Fig. 7) is included so that the result can be next stage (i.e., of the proposed UWB applied directly to the analysis of the LNA in Section III. Clearly, (10a) has one zero given by

Fig. 9. Calculated root-locus diagram of the inductive shunt–shunt feedback amplifier in Fig. 8 by (10a) using the circuit parameters from the SiGe HBT UWB LNA.

(11) Note that all the poles and zeros in this paper are located at the left half-plane (LHP). However, we omit the minus sign of them is for the purpose of simplification. As mentioned above, in (7) to achieve pole-zero cancellation. set to be equal to performance of the cascaded amplifier, which Thus, the consists of a gain stage followed by an inductive shunt–shunt feedback stage, is flat for frequencies up to some pole frequency of the inductive shunt–shunt feedback stage, if a maximally flat response is chosen. In the following, we discuss the poles of (10a). The characteristic equation of (10a) can be written as follows:

(12) in which and are the higher and lower frequency poles, respectively, of the open-loop . This is because all the terms on the left amplifier, i.e., side of (12), which include , are equal to zero if and are the corresponding poles of and , respecis considered. is a newly generated tively, if the effect of is considered. Note that will be very pole if the effect of close to the origin if is very large. From the coefficients of the second-order and constant terms of (12), we can obtain the following equations:

Equation (13) implies that if a very large is added to the open and will be created simultaneously loop amplifier, both around the origin since and . This is consistent with the calculated root-locus diagram shown in and are very close to and Fig. 9. Furthermore, since , respectively, for a very large , can be approximated by the following equation based on (14):

(15) Equation (15) predicts that the movement of to the left will to the left as the value of is rebe quicker than that of duced, which is indeed the case, as shown in Fig. 9. As indifrom infinity moves the highest frequency cated, decreasing outward, while the other poles ( and ) become pole coincident and then become complex and conjugate. The inductive shunt–shunt feedback amplifier used in this paper belongs and are complex and conjuto the latter situation (i.e., (0.6 nH) is adopted. gate) because a small value of is negligible It is interesting to note that if the value of is 0, corresponding to the case of shortening and the value of transistor base and collector terminals, then (12) can be simplified as follows:

(16)

which is consistent with the result obtained by direct circuit approaches to a limiting freanalysis and also explains why quency, as is evident in Fig. 9. III. PROPOSED UWB LNA

(13) (14)

A. Circuit Topology Fig. 8(a) shows the proposed UWB LNA with four cascaded stages, including a common-emitter amplifier with Miller capacitance feedback for wideband input impedance matching,

LIN et al.: 3–10-GHZ UWB LNA UTILIZING MILLER EFFECT AND INDUCTIVE SHUNT–SHUNT FEEDBACK TECHNIQUE

a common-emitter gain stage, an inductive shunt–shunt feedback amplifier for bandwidth extension by pole-zero cancella. Large tion, and a buffer amplifier with an inductive load resistances and were used for self-biasing and , , were used as dc blocking capacitors. and of the first The equivalent loading impedance stage provides an appropriate load for generating the necessary . 50 by the beneficial Miller capacitance Apart from the purpose of generating 50 , the Miller capaciof the tance is also used to enlarge the input capacitance input low- RLC circuit [see (5b)], which, in turn, results in a larger input matching bandwidth. Fig. 8(b) shows the equivalent circuit of the second to the fourth stage of the proposed UWB , , and , which can be LNA for calculating the expressed, respectively, as follows:

(17a)

(17b)

(17c) In deriving the above equations, the two large self-biased resisand have been neglected. of the four-stage tors cascaded UWB LNA, which is equal to two times of the voltage , can be expressed as (18a) and (18b), gain shown at the bottom of this page, where and are the equivalent input circuit elements seen with the capacitive feedback input matching at the base of method and (19a) (19b) (19c)

1837

are the loading impedances, with the input impedance of the next stage being considered, of the first, second, and third stages, respectively. Equation (18b) can be further discussed as follows. First, in order to achieve UWB input impedance matching, the seinput equivalent circuit of the UWB ries LNA is designed as a low- circuit, which is different from that (high– – input equivalent circuit) used in the narrowband LNAs [17]. Since the input RLC circuit is a lowcircuit and its center frequency can be specified as the geometrical mean (5.7 GHz) of the upper (10.6 GHz) and lower (3.1 GHz) corner frequency, holds due to . This of means that the pole can be cancelled by the zero contributed by the impedance of . Moreover, the zero of is set to be equal to the pole of , i.e., , to achieve pole-zero cancellation. If the pole [i.e., in (18b)] originates from the addition of is negligible (i.e., larger than 10.6 GHz), then the maximally flat and should be chosen. If the pole response condition for cannot be neglected (i.e., originating from the addition of smaller than 10.6 GHz), then a small peaking can be chosen to compensate the frequency dependency so that a flat response of can still be achieved. It is interesting to note that the circuit architecture of the third stage of the UWB LNA, which is inductive feedback, is similar to that of a Colpitts oscillator [20] if the small feedback resistance is neglected. That means, in general, an inductive-feedback peaking extends the bandwidth of an amplifier at the expense of stability. Fig. 6(a) shows the relative position of the zeros ( and ) and poles ( and ) according to the proposed methodology. The corresponding frequency response of the UWB LNA is illustrated in Fig. 6(b). An SiGe HBT UWB LNA was designed with a standard 0.35- m SiGe HBT process based on the above-mentioned circuit design principle. The component parameters are as nH, nH, nH, follows: pF, and

fF. All transistors (

pF, and

pF, ) have the

(18a)

(18b)

1838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

(20), let us temporarily neglect the noise contribution from and, hence, (20) can be rewritten as

(21) Fig. 10. Die photograph of the SiGe HBT UWB LNA.

. We can see that the numerator where of the third term of (21) is similar to the square of the first deexpression in (18b) [or expression in nominator of the (2)]. From this, we conclude that the frequency response of the NF, in general, follows the inverse of the gain response of the input RLC circuit. That is, a low- circuit (over-damped) wideband input RLC circuit will yield a wideband NF response such as those in [1] and [18], while a high- (under-damped) narrowband input RLC circuit will yield a narrowband NF response such as the LNA in [22]. This general trend is true even if is taken into account. For a low- input RLC circuit, holds over the band of interest and, hence, (20) can be approximated by without considering

Fig. 11. Complete equivalent circuit of Fig. 2(b) for noise calculation.

same emitter size of 0.3 m 20.3 m 1 emitter finger. The die photograph of the finished circuit is shown in Fig. 10. The chip area is only 570 m 390 m excluding the test pads. B. Noise Analysis According to the Friis equation [21], the total NF is dominated by the NF of the first stage if the gain of the first stage is high enough. Fig. 11 shows the equivalent circuit of the first stage of the proposed UWB LNA for first-order approximation of noise calculation. Based on our previous study [17], the NF of the first stage can be given by (20), shown at the bottom is the signal source resistance (usually of this page, where is the parasitic emitter/base resistance of tran50 ) is the series resistance of the inductor , sistor is the Boltzmann constant, is absolute temperature, is freis the base noise current, and is the collector quency, noise current. of (20) is difNote that the capacitance term ferent from that of [see is small, assuming and (2) and (5b)] unless . To gain more insight into

(22) From (22), we can see that the NF increases with increasing ; i.e., in order to reduce the NF, it is better to reduce . However, this is in conflict with the purpose of widening the input matching band, which increases with inand/or . Hence, there is a tradeoff between creasing the input impedance-matching bandwidth and the NF. We can call this phenomenon matching-bandwidth-NF limitation. Foris normally tunately, because of the Miller effect, the needed . This means the degradation much smaller than is normally not sigin the NF due to the intentionally added nificant. Since the NF in (22) is inversely proportional to (or ), power consumption can be increased, if necessary, to remedy the degradation of the NF. Note that, in fact, the total

(20)

LIN et al.: 3–10-GHZ UWB LNA UTILIZING MILLER EFFECT AND INDUCTIVE SHUNT–SHUNT FEEDBACK TECHNIQUE

1839

NF also includes the noise contribution from , which de. Therefore, in real cases, creases with increasing the degradation of the NF due to the increase of is offset by the decrease of . and are now The noise contributions from both taken into account. Following the power-constrained noise-optimization method proposed by Shaeffer and Lee [23] and asNF (20) near resosuming ) can be rewritten as nance (i.e.,

(23) is the voltage gain of the first stage, and where are defined as follows, respectively:

Fig. 12. Characteristics of NF versus

Q

using the

G

as a parameter.

and

(24)

In order to obtain the minimum NF, one should choose . However, as is evident in Fig. 12, the achieved by setting bias at is usually too small. generated by feedback capacitance [see (5c)] must be close to 50 and can be approximated by

(25) (28) The typical value of is around 0.1–0.2. It follows that (23) , as well as to . includes terms that are proportional to Therefore, a minimum NF exists for a particular (or device size) given by

(26) (or Similarly, a minimum NF also exists for a particular ) representing power consumption because the NF includes (or ), as well as to terms that are proportional to (or ). The optimum can be derived as

is near 0.12–0.22. In this deSince is around 0.1–0.2, sign, was chosen for low power consumption. Once is given, the optimum can be determined. Neverthecannot be greater than 0.25 less, as mentioned previously, for wideband input matching. from From Fig. 12, it is clear that the NF is insensitive to of 0.22 was selected because of the 0.15 to 0.7 and, hence, available standard inductors provided by the foundry. We thus (or device size), gain, and conclude that the optimum NF, power consumption can be determined from Fig. 12. Also note that since (20) was derived from the NF with inductive degeneration, good noise match is also possible with the proposed technique. C. Linearity

(27) using the power conThe characteristics of the NF versus or ) as a parameter with a typical dc gain sumption ( of 20 are plotted in Fig. 12. Also remember that the requirement of wideband impedance matching sets an upper bound of (see (4), nH for 3.1–10.6-GHz input greater than dematching). Note that a grades the NF at because the noise contribution becomes dominant beyond . from

In the following, the linearity characteristic and the gain partitioning in the cascaded stages of the LNA are explained. Fig. 13 of each stage of the amplifier. shows the simulated gain As can be seen, in the 3–10-GHz band of interest, total gain larger than 25 dB is obtained. In addition, an average gain of 9.7, 8.8, 4.8, and 12 dB is achieved for the first, second, third, and fourth stages, respectively. The high gain of 9.7 dB in the first stage and 8.8 dB in the second stage is for achieving reasonable low NF. The low gain of the third stage is for better input third-order intermodulation point (IIP3). Since the bias resisis large enough, the fourth stage of the tance LNA can be regarded as a typical common-emitter stage without

1840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 13. Simulated S of the first, second, third, and fourth stages of the SiGe HBT UWB LNA. The overall S of the LNA is also shown for comparison.

a feedback resistance between its base and collector terminals. This means IIP3 of the proposed UWB LNA can be approximated as follows [20]:

or in dBm

in dBm (in dBm)

in dB in dB

in dB (29)

in which is the overall gain of the LNA. and are the gain of the first, second, third, and fourth stages, respectively, of the LNA. is the IIP3 of the fourth stage is the real part of the input impedance if we of the LNA. look into the output terminal of the third stage, which is equal to 6.28, 4.88, and 1.06 , respectively, at 3, 5.4, and 10 GHz. Since the LNA exhibits UWB characteristics and the frequency is not strong, IIP3 and 1-dB compression dependence of ) of the LNA will also exhibit UWB characteristics point ( exhibits UWB characteristics. if IV. MEASUREMENT RESULTS AND DISCUSSIONS The noise and scattering parameters were measured on-wafer using an automated NP5 measurement system from ATN Microwave Inc., North Billerica, MA. The SiGe UWB LNA was biased at 2.74 mA and 1.5 V, 4.88 mA and 1.7 V, 4.3 mA and 1.7 V, and 6.1 mA and 1.0 V for the first, second, third and fourth stages, respectively; i.e., the power consumption is is below 25.8 mW. As shown in Fig. 14(a), the measured 10 dB for frequency from 3 to 14 GHz (except for a small range from 10 to 11 GHz, which is below 9 dB), indicating a very broadband input matching characteristic. This is mainly attributed to the adoption of the capacitive feedback matching technique, which uses the Miller effect to enlarge the input capacitance . Simulation results show that the ripple around 10 GHz originates from the series resonance of inductance

and the equivalent capacitance in the series path [see under both the smallFig. 14(a)]. Besides, the simulated and the large-signal condition (in the presence of 10-dBm interferer) is also shown in Fig. 14(a). As can be seen, is below 10 dB from 3 to 14 GHz for both the small- and the large-signal condition. This means the impedance matching still holds even under the large-signal condition. Besides, from the fast–fast (FF) and slow–slow (SS) curves in Fig. 14(a), we can see that the impedance matching in the 3–14-GHz band still holds across standard 3- process variation. based on (18b) are shown The measured and calculated in Fig. 14(b). As can be seen, the calculated results agree well to of 26.1 dB (at 9.6 GHz) and 3-dB the measured data. Peak bandwidth of 8.6 GHz (from 3 to 11.6 GHz) were achieved. In addition, from the FF and SS curves in Fig. 14(b), we can see that the proposed pole-zero cancellation approach is robust enough so under nominal 3- conditions, the bandwidth is still extended. These results demonstrate that the inductive shunt–shunt feedback technique can indeed achieve high and characteristics. The four-stage circuit configuraflat UWB tion also guarantees a high reverse isolation. The measured is below 42 dB from 2 to 15 GHz (not shown here), preventing LO leakage signals from transmitting to the antenna. Fig. 14(c) shows the measured and calculated [by (20)] NF of the SiGe UWB LNA. This LNA achieves an NF of 2.5, 5.8, and 7.1 dB at 3, 10, and 12 GHz, respectively. These values are very close to the calculated results of 2.1, 5.5, and 6.8 dB, respectively, at 3, 10, and 12 GHz. The consistency between the measured and calculated ones verifies our noise analysis in Section III. Since the input matching bandwidth in this study (3-14 GHz) is wider than the specification (3.1–10.6 GHz), the NF frequency response can be flattened by reducing the capaci[see (22)] at the expense of the input tance term matching bandwidth. A good phase linearity property is achieved, i.e., group-delay variation is only 28 ps across the entire band [see Fig. 14(d)]. By definition, group delay is the derivation of the phase of and, hence, any resonance in the signal transfer function ) will contribute distortion in the group path (or pole in delay [24]. This means the good group-delay variation characteristic of the proposed UWB LNA architecture is attributed and [see Fig. 6(b)] outside of to the pushing of poles the 3–10-GHz-band of interest by the shunt–shunt feedback inductor. These results again demonstrate that this UWB LNA is very suitable for UWB pulse radio system applications. An expression often used to characterize the stability of an LNA is the Stern stability factor ( -factor), defined in [20]. In addition, it has been shown that (or alone is necessary and sufficient for a circuit to be unconditionally stable [25]. of the SiGe Fig. 15 shows the measured -factor, , and HBT UWB LNA. Clearly, the LNA is unconditionally stable from 2 to 14 GHz. Microwave power performances were measured by a load–pull ATN system with automatic tuners. The LNA achieves IIP3 of 18 17 and 18 dBm at 3, 5.4, and 10 GHz, respectively, which conform well with those ( 18.3 16.1 and 15.7 dBm at 3, 5.4, and 10 GHz, respectively) calculated by (29), and close to those ( 23.3 21.6 and 17.8 dBm at

LIN et al.: 3–10-GHZ UWB LNA UTILIZING MILLER EFFECT AND INDUCTIVE SHUNT–SHUNT FEEDBACK TECHNIQUE

Fig. 14. (a) Measured S and simulated S under process variation and small- and large-signal condition. (b) Measured S variation. (c) Measured and calculated NF [by (20)]. (d) Measured group delay of the SiGe UWB LNA.

1841

and calculated S

under process

Fig. 15. Measured K -factor, , and  versus frequency characteristics of the SiGe UWB LNA.

Fig. 16. Measured P

3, 5.4, and 10 GHz, respectively) simulated by Agilent’s Advanced Design System (ADS). In addition, the LNA achieves of 27 25.5 and 26 dBm at 3, 5.4, and 10 GHz, respectively, which conform well with the calculated results

( 27.9 25.7 and 25.3 dBm at 3, 5.4, and 10 GHz, respectively) [20], and close to those ( 32 30.5 and 27 dBm at 3, 5.4, and 10 GHz, respectively) simulated by Agilent’s characteristics of the UWB ADS. The UWB IIP3 and

and IIP3 of the SiGe UWB LNA.

1842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I SUMMARY OF THE IMPLEMENTED SiGe UWB LNA, AND THE RECENTLY REPORTED STATE-OF-THE-ART SiGe AND CMOS UWB LNA

LNA are attributed to the last stage of the LNA, which exhibits UWB characteristics, as explained in Section III. In addition, performances of the SiGe the low or mediocre IIP3 and HBT UWB LNA are mainly due to its high gain of 25 dB [see performances of the LNA can be (29)]; i.e., the IIP3 and improved at the expense of its gain. Fig. 16 shows the measured characteristics of the SiGe HBT UWB LNA at IIP3 and 5.4 GHz. Table I is a summary of this study along with other reported state-of-the-art UWB amplifiers. Based on Table I, it is found that UWB LNAs with gain higher than 20 dB ([1], [5], [7], [10], and this study) consume more power (30 mW in [1], 26 mW in [5], 29.7 mW in [7], 42.5 mW in [10], and 26 mW in this study) than those with gain near 10 dB. Clearly, if a more advanced is specified, SiGe HBT technology is adopted and a lower such as those in [4], the power consumption of our proposed LNA is expected to be largely reduced. In addition, the overall performances of our proposed LNA are expected to be compatible with those in [5] and [6] if a more advanced 0.18- m SiGe HBT (or 0.13- m CMOS) technology is adopted. V. CONCLUSION A new methodology of UWB LNA design, which takes advantage of the Miller effect to achieve UWB input impedance matching and the inductive shunt–shunt feedback technique to , has been proposed. Based on achieve flat and high UWB the proposed methodology, an SiGe UWB LNA was designed and implemented. Very good input matching, power gain, NF, and phase linearity performances were achieved for the

SiGe UWB LNA. These results demonstrate that the proposed methodology is very suitable for UWB LNA design. ACKNOWLEDGMENT The authors are very grateful for the support of the National Chip Implementation Center (CIC), Hsinchu, Taiwan, R.O.C., for chip fabrication, and to Dr. G. W. Huang, National Nano Device Laboratory (NDL), Hsinchu, Taiwan, R.O.C., for high-frequency measurements. Helpful discussions with Prof. H. W. Chiu, National Taipei University of Technology, Taipei, Taiwan, R.O.C., are also appreciated. REFERENCES [1] A. Ismail and A. A. Abidi, “A 3-10-GHz low-noise amplifier with wideband LC-ladder matching network,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2269–2277, Dec. 2004. [2] A. Bevilacqua and A. M. Niknejad, “An ultrawideband CMOS lownoise amplifier for 3.1–10.6 GHz wireless receivers,” IEEE J. SolidState Circuits, vol. 39, no. 12, pp. 2259–2268, Dec. 2004. [3] Y. Park, C. H. Lee, J. D. Cressler, and J. Laskar, “Theoretical analysis of a low dispersion SiGe LNA for ultra-wideband applications,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 517–519, Sep. 2006. [4] D. Barras, F. Ellinger, H. Jackel, and W. Hirt, “A low supply voltage SiGe LNA for ultra-wideband frontends,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 469–471, Oct. 2004. [5] Y. Lu, R. Krithivasan, W. M. L. Kuo, and J. D. Cressler, “A 1.8–3.1 dB noise figure (3–10 GHz) SiGe HBT LNA for UWB applications,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2006, pp. 45–48. [6] M. T. Reiha, J. R. Long, and J. J. Pekarik, “A 1.2 V Reactive-feedback 3.1–10.6 GHz ultrawideband low-noise amplifier in 0.13 m CMOS,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2006, pp. 41–44. [7] B. Shi and M. Y. W. Chia, “A SiGe Low-Noise amplifier for 3.1–10.6 GHz ultra-wideband wireless receivers,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2006, pp. 57–60.

LIN et al.: 3–10-GHZ UWB LNA UTILIZING MILLER EFFECT AND INDUCTIVE SHUNT–SHUNT FEEDBACK TECHNIQUE

[8] S. Shekhar, X. Li, and D. J. Allstot, “A CMOS 3.1-10.6 GHz UWB LNA employing stagger-compensated series peaking,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2006, pp. 49–52. [9] S. Shekhar, J. S. Walling, and D. J. Allstot, “Bandwidth extension techniques for CMOS amplifiers,” IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2424–2439, Nov. 2006. [10] J. Lee and J. D. Cressler, “Analysis and design of an ultra-wideband low-noise amplifier using resistive feedback in SiGe HBT technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1262–1268, Mar. 2006. [11] Y. Lu, K. S. Yeo, A. Cabuk, J. Ma, M. A. Do, and Z. Lu, “A novel CMOS low-noise amplifier design for 3.1-to-10.6-GHz ultra-wideband wireless receiver,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 8, pp. 1683–1692, Aug. 2006. [12] C. J. Madden, R. L. Van, T. V. Le, and L. D. Nguyen, “A 17 dB gain, 0.1–70 GHz InP HEMT amplifier IC,” Int. Solid-State Circuits Tech. Dig., pp. 178–179, Feb. 1994. [13] Y. Soliman, L. MacEachern, and L. Roy, “A CMOS ultra-wideband LNA utilizing a frequency-controlled feedback technique,” in IEEE Int. Ultra-Wideband Conf., Sep. 2005, pp. 530–535. [14] T. Masuda, T. Nakamura, M. Tanabe, N. Shiramizu, S. I. Wada, T. Hashimoto, and K. Wshio, “SiGe HBT based 24-GHz LNA and VCO for short-range ultra-wideband radar systems,” in Int. Solid-State Circuits Conf. Tech. Dig., Nov. 2005, pp. 425–428. [15] A. S. Sedra and K. C. Smith, Microelectronic Circuits, 5th ed. New York: Oxford Univ. Press, 2004, pp. 840, 1103–1105. [16] T. Wang, H. C. Chen, H. W. Chiu, Y. S. Lin, G. W. Huang, and S. S. Lu, “Micromachined CMOS LNA and VCO by CMOS-compatible ICP deep trench technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 580–588, Feb. 2006. [17] H. W. Chiu, S. S. Lu, and Y. S. Lin, “A 2.17 dB NF, 5 GHz band monolithic CMOS LNA with 10 mW DC power consumption,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 813–824, Mar. 2005. [18] Y. T. Lin and S.-S. Lu, “A 2.4/3.5/4.9/5.2/5.7-GHz concurrent multiband low noise amplifier using InGaP/GaAs HBT technology,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 463–465, Oct. 2004. [19] P. W. Lee, H. W. Chiu, T. L. Hsieh, C. H. Shen, G. W. Huang, and S. S. Lu, “A SiGe low noise amplifier for 2.4/5.2/5.7 GHz WLAN applications,” in Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, Feb. 2003, pp. 364–465. [20] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ: Prentice-Hall, 1998, pp. 22–24, 50–52, 169, 173, 213. [21] H. T. Friis, “Noise figure of radio receivers,” Proc. IRE, vol. 32, no. 7, pp. 419–422, Jul. 1944. [22] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18-m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [23] D. K. Shaeffer and T. H. Lee, “A 1.5-V 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [24] P. C. H. Lee, J. D. Cressler, and J. Laskar, “The analysis of UWB SiGe HBT LNA for its noise, linearity, and minimum group delay variation,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1687–1697, Apr. 2006. [25] M. L. Edwards and J. H. Sinsky, “A new criterion for linear 2-port stability using a single geometrically derived parameter,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992.

Yu-Tso Lin was born in Hsinchu, Taiwan, R.O.C., in 1978. He received the B.S. degree from Tatung University, Taipei, Taiwan, R.O.C., in 2001, the M.S. degree in electronics engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree at National Taiwan University. His master thesis was related to frequency divider and LNA design. His current research concerns the design of wireless receiver for UWB communication and wireless sensor networks.

1843

Hsiao-Chin Chen was born in Taipei, Taiwan, R.O.C., on October 6, 1976. She received the B.S. and M.S. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C. in 1998 and 2000, respectively, and is currently working toward the Ph.D. degree at National Taiwan University. From 2000 to 2003, she was an RF IC Design Engineer with the Airoha Technology Corporation. Her major research interests are RFIC and low-power transmitter design for wireless local area sensor networks.

Tao Wang was born in Taipei, Taiwan, R.O.C., in 1980. He received the B.S. degree in electronics engineering from Chang Gung University, Taoyuan, Taiwan, R.O.C., in 2002, the M.S. degree in electronics engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in electronics engineering at National Taiwan University. His research interests are in the areas of RFICs and microelectromechanical systems (MEMS).

Yo-Sheng Lin (M’02–SM’06) was born in Puli, Taiwan, R.O.C., on October 10, 1969. He received the Ph.D. degree in electrical engineering from National Taiwan, University, Taipei, Taiwan, R.O.C., in 1997. His doctoral dissertation concerned the fabrication and study of GaInP–InGaAs–GaAs doped-channel field-effect-transistors and their applications to monolithic microwave integrated circuits (MMICs). In 1997, he joined the Taiwan Semiconductor Manufacturing Company (TSMC), as a Principle Engineer for 0.35/0.32-m dynamic RAM (DRAM) and 0.25-m embedded DRAM technology development with the Integration Department of Fab-IV. Beginning in 2000, he was responsible for 0.18/0.15/0.13-m CMOS low-power device technology development with the Department of Device Technology and Modeling, Research and Development, and became a Technical Manager in 2001. In August 2001, he joined the Department of Electrical Engineering, National Chi Nan University (NCNU), Taiwan, R.O.C., where he is currently a Professor. From June to September 2004, he was a Visiting Researcher with the High-Speed Electronics Research Department, Bell Laboratories, Lucent Technologies, Murray Hill, NJ. He is currently a Visiting Professor with the Department of Electrical Engineering, Stanford University, Stanford, CA (February 2007–January 2008). His current research interests are in the areas of characterization and modeling of RF active and passive devices (especially 30–100-GHz interconnections, inductors, and transformers for millimeter-wave (Bi)CMOS ICs), and RFICs/monolithic microwave integrated circuits (MMICs). Dr. Lin was a recipient of the Excellent Research Award presented by the NCNU in 2006.

Shey-Shi Lu (S’89–M’91–SM’99) was born in Taipei, Taiwan, R.O.C., on October 12, 1962. He received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1985, the M.S. degree from Cornell University, Ithaca, NY, in 1988, and the Ph.D. degree from the University of Minnesota at Minneapolis–St. Paul, in 1991, all in electrical engineering. His M.S. thesis concerned the planar doped barrier hot electron transistor. His doctoral dissertation concerned the uniaxial stress effect on AlGaAs/GaAs quantum well/barrier structures. In August 1991, he joined the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. Since August 2007, he has also been the Director of the Graduate Institute of Electronics Engineering, National Taiwan University. His current research interests are in the areas of RFIC/MMICs and micromachined RF components.

1844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

RFCMOS Unit Width Optimization Technique Ah Fatt Tong, Wei Meng Lim, Choon Beng Sia, Kiat Seng Yeo, Zee Long Teng, and Pei Fern Ng

Abstract—In this paper, we demonstrate a unit width ( ) optimization technique based on their unity short-circuit current gain frequency ( ), unilateral power gain frequency ( MAX ), and high-frequency (HF) noise for RFCMOS transistors. Our results show that the trend for the above figures-of-merit (FOMs) with respect to the change is different; hence, some tradeoff is revalue. During the HF noise analquired to obtain the optimum ysis, a new FOM is proposed to study the effect on the HF noise performance. In our experiment, the flicker noise of the transistor does is also measured and the result shows that the change in not affect the noise spectral density at the low-frequency range. This technique enables RF engineers to optimize the transistor’s layout and helps to select the optimum for transistors used in specific circuit design such as the low-noise amplifier, voltage-controlled oscillator, and mixer. Furthermore, by using layout optimized transistors in the RF circuit, the optimal circuit’s performance can be easily achieved and, thus, greatly reduced the circuit development time. In the aspect of RF device modeling, by knowing the optimum for a particular process or technology, the number of transistors to model is reduced and, hence, greatly shortens the RF modeling development time for existing and future technologies. Index Terms—Flicker noise, high-frequency (HF) noise, layout, optimization, RF, RFCMOS, unilateral power gain frequency, unity short-circuit current gain frequency.

I. INTRODUCTION

A

S THE CMOS processing technology continues to advance, its RF properties such as unity short-circuit current , unilateral power gain frequency , gain frequency and the noise figure relentlessly improves [1]–[7]. It has been of a 0.13- m gate-length MOS transistor reported that the can reach the 80-GHz region [1]. The down scaling of channel length has allowed higher integration density and the possibility of integrating digital, analog, and RF circuits into a single chip [8], [9], making CMOS technology a cost-effective solution for fabricating RFIC. Manuscript received January 9, 2007; revised May 21, 2007. This work was supported in part by Chartered Semiconductor Manufacturing and by Nanyang Technological University. A. F. Tong is with Advanced RFIC (S) Pte. Ltd., Singapore (e-mail: [email protected]). W. M. Lim and K. S. Yeo are with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore (e-mail: wmlim@ntu. edu.sg; [email protected]). C. B. Sia was with Advanced RFIC (S) Pte. Ltd., Singapore. He is now with Cascade Microtech Inc., Sinapore (e-mail: [email protected]). Z. L. Teng was with Advanced RFIC (S) Pte. Ltd., Singapore. He is now with the Institute of Microelectronics, Singapore (e-mail: [email protected]). P. F. Ng was with Advanced RFIC (S) Pte. Ltd., Singapore. She is now with MediaTek Singapore Pte. Ltd., Singapore (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.903348

One of the most commonly used components in RF circuit design is the RFCMOS transistor. In order to optimize the transistor’s RF characteristics, its layout design methodology has been extensively studied and researched [10]–[14]. Although different foundries, integrated device manufacturers (IDMs), and other fab-less semiconductor companies use various transistor layout designs, the typical RF transistors are designed with a multifingered and double-contacted gate configuration. The layout design of the transistor is normally symmetry with a special guard ring added to isolate any interference from other components in the circuit. In multifingered transistor design, the size of the transistor is , unit width , mainly controlled by the finger number of the transistor. For most RF circuit and channel length design, transistors with the smallest gate length are always used due to their fast response and high drain current. Therefore, the RF circuit designer will need to select transistors based on eior . By optimizing per finger unit width with respect ther to , minimum noise figure and flicker noise, of the transistor can be selected to use in a spethe best cific circuit application such as a low-noise amplifier (LNA), voltage-controlled oscillator (VCO), and mixer. The ability to select and use the optimized layout for the transistor can ensure that the designed RF circuit produces the best performance at the first design cycle, and this greatly reduces the RF circuit development time. This methodology, when applied to a certain process or technology, can help the IDM and foundry sefor transistors meant for model developlect the optimal ment, hence, saving a large amount of SPICE model development time. The following figures-of-merit (FOMs) and flicker noise spectral density have been commonly used in [7], [10], [15], and [16] to characterize the performance for the RFCMOS transistor, but these FOMs are normally presented with respect to the change in technology or channel length. optimization with is shown, while in In [11], only the and optimization are presented [2] and [14], using only large total width transistors. Due to the transistor’s , their effects toward each parasitic changes with respect to individual FOM are different. Hence, it is important to study on different transistor these four FOMs with respect to sizes so as to obtain the optimized width per finger based on either one or all four FOMs. In this paper, a study on the four is done for small FOMs with respect to the transistor’s (48 m), medium (120 m), and large (240 m) total width transistors and a new FOM is proposed for the study of the transistor’s HF noise. All of the transistor’s and flicker noise spectral density are measured at the maximum operating point of the transistor. The transconductance test structures consist of transistors with a different total width variations of 48, 120, and 240 m with four different

0018-9480/$25.00 © 2007 IEEE

TONG et al.: RFCMOS UNIT WIDTH OPTIMIZATION TECHNIQUE

1845

Fig. 1. Measured H (in decibels) versus frequency for nMOS transistor with finger of ten and W of 12 m.

Fig. 2. Measured GU (in decibels) versus frequency for nMOS transistor with finger of ten and W of 12 m.

of 4, 8, 12, and 24 m. The measured results show that the for and do not coincide at optimized the same point and, hence, some tradeoff is required when for different circuit applications. selecting the transistor’s In Section II, the effect on and of the transistor is presented. Section III shows the measured high-frequency (HF) effect and a new FOM is proposed noise data versus the for the analysis of the transistor’s noise. Section IV shows the variation on the flicker noise characteristics for the RF transistor. Finally, the selection criteria for transistors to be used in RF circuits are discussed in Section V.

B.

II. UNIT WIDTH OPTIMIZATION ON A.

Definition and Extraction

is defined as the frequency at which the ratio of the load power to input power becomes unity, and from [4], it is derived to be shown in (4) as follows: (4)

(5) real

AND

Definition and Extraction

is defined as the unity current gain frequency at which the short-circuit current gain of the transistor becomes unity, which is shown in (1) as follows: (1) (2) (3) The short-circuit current gain (3) is used for the extraction . It can be easily obtained by performing a two-port of conversion into -parameters from the measured deembedded -parameters of the transistor. In this paper, the extraction of is based on the extrapolation of the curves at 10.25 GHz where it has a slope of 20 dB/decade. The extrapolated line will cross the frequency axis at 0 dB for and the -infor the transistor. Note that is tercept is the estimated the overall capacitances looking into the gate terminal, which , gate-to-body , and includes the gate-to-source gate-to-drain overlap capacitances. (in decibels) Fig. 1 shows an example of the measured V and V. By versus frequency plot at extrapolating at 10.25 GHz, the -interception is the extracted of the transistor.

(6) The extraction of is done using the unilateral power gain (GU), as shown in (5). This power gain can be obtained when the input of the transistor is conjugate matched to the input signal source, the load is also conjugate matched with the transistor output impedance, and an appropriate network is used to cancel the effect of feedback from the output to the input [17]. As frequency increases, GU will decrease and when it reaches unity, the frequency is the maximum transistor operating frequency. At low frequency, the measured GU is normally very unstable and their corresponding slope is not at 20 dB/decade. Hence, the -parameters measurement is performed up to maximum equipment capability of 50 GHz and uses the data from the higher frequency region to extrapolate and obtain the value. Fig. 2 shows an example of the measured GU (in decibels) V and V. By versus frequency plot at extrapolating at 40.85 GHz, the -interception is the extracted of the transistor. C. Experimental Results and Discussion and definition and extraction, After reviewing the -parameters measurement is performed on the designed set

1846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I UNIT WIDTH OPTIMIZATION TEST STRUCTURES FABRICATED IN 0.18-m CMOS TECHNOLOGY WITH CHANNEL LENGTH OF 0.18 m

Fig. 3. Designed test structure layout for a 15-finger thin gate nMOS transistor.

of thin gate nMOS transistors fabricated in a 0.18- m CMOS technology with a channel length of 0.18 m and varying of 4, 8, 12, and 24 m and total width of 48, 120, and 240 m, as shown in Table I. Deembedding of their corresponding OPEN and SHORT structures are performed to obtain the true transistor RF performance [18]. An example of the test structure layout from Table I is shown in Fig. 3. The transistor is designed with a multifingered and double-contacted gate configuration with a guard ring included for isolation of the interferences from external components when used in the circuit. and values for a total Fig. 4 shows the extracted width of 48-, 120-, and 240- m test structures, as shown in biasing Table I. The extraction is performed at a maximum V and V. From the condition, whereby plots, the extracted shows a similar trend for all of the three total width transistors. Fig. 5(a) and (b) shows the extracted and values and the and values versus maximum unit width for the three total widths transistors. and from (1) are directly proporIt is well known that tional to the transistor’s width and, hence, their ratio will result in to be independent of the transistor’s width, but from Fig. 4, changes with the transistor’s . it shows that the extracted For smaller of 4- and 8- m transistors, their extracted

Fig. 4. Extracted f and f (b) 120, and (c) 240 m. ——

1 :

versus unit width for total width of: (a) 48, f . ——  f .

:

is smaller than the transistors with of 12 and 24 m. Such behavior of versus is due to the parasitic capacitances

TONG et al.: RFCMOS UNIT WIDTH OPTIMIZATION TECHNIQUE

Fig. 5. Extracted: (a) maximum g and C and (b) R and C width for total width of 48, 120, and 240 m.

1847

versus unit

that exist in the different layout transistor. For the same total width, smaller transistors will have more fingers and, hence, the amount of overlap extrinsic parasitic capacitances transistors that have a will be larger when compared to large smaller finger number. In Fig. 5(a), the overall gate capacitance , which includes all the parasitic overlap capacitances, is infor all three total width transistors. creasing with decreasing will have This clearly indicates that transistor with small more fingers and cause higher overlap parasitic capacitances. in Fig. 5(a), it increases slightly with As for the extracted at a constant total width. Due to the self-heating decreasing with decreasing is more effect, the increasing trend of obvious in a large total width transistor. This is because a large has a higher number of total width transistor with a small source and drain diffusions as compared to the same total width . Hence, with more source and drain transistor with larger diffusions, the drain current is distributed more evenly. This means that less current will flow through per diffusion. Thus, the heat generated at each diffusion region and its diffusion resistance change due to temperature will be smaller. Therefore, will have a higher due to less a transistor with a smaller

self-heating. Furthermore, it can be observed that the changes in for a fixed total width is small so only the parthe extracted affect the versus plot asitic overlap capacitances in in Fig. 4. The extrinsic overlap parasitic capacitances for , , and are shown in Fig. 3. Therefore, to optimize based on , the width per finger cannot be chosen to be too optimization for small. It is observed from Fig. 4 that happens at approximately 12 m for all three sets of the total above 12 m will not width transistors. Hence, increasing of the transistor. significantly improve the can be lower or higher From (4), it can be deduced that than based on the layout of the transistor. In Fig. 4, for the is observed to be inthree total widths, the extracted . This behavior of is mainly creasing with decreasing and with respect to the caused by the change in change in . For the same total width with decreasing, is the finger number of the transistor is increasing and since , will decrease and cause to proportional to increase. At the same time, when the finger number increases, the overlap parasitic capacitances (gate-to-drain, gate-to-source, and gate-to-body) will increase, and from (1) and (2), the tranwill drop and (intrinsic and extrinsic capacisistor’s tances) will increase, and from (4), these two parameters will to decrease. Hence, based on the above analysis, cause , certain paradepending on the transistors’ total width and sitic effects ( and extrinsic overlap capacitances) will be more trend dominant than the other; hence, influencing the final when and total width change. Fig. 5(b) shows the trend and versus unit width for the three total widths. In of versus is dominated Fig. 4(a) and (b), the trend of , while for Fig. 4(c), the extrinsic mainly by the effect from overlap parasitic capacitances dominant over and cause the versus to change. In addition to that, the extrend of value is decreasing with increasing total width tracted ; this can be explained by the increase in size at the same the overlap parasitic capacitances in and , as shown in Fig. 5(a) and (b) for a larger total width size transistor that has a . Therefore, based on Fig. 4, larger finger number at a fixed , it is observed that to optimize the transistor layout using the transistor’s should be chosen to be small. effect on and is important and This study of the for the transistor layout it shows that the wrong selection of or to suffer. Since the trend of and will cause either versus is different, some tradeoff is needed when sevalue for the transistor to be used in a spelecting the best and are commonly cific application. Although both used to compare the performance of the transistor, in the circuit design such as a VCO and transistor gain stages, the power amplification capability is of more importance and, hence, the optimization for the transistor will be more crucial in these applications. III. UNIT WIDTH OPTIMIZATION ON HF NOISE The HF noise measurements are performed on-wafer using the ATN NP5 Microwave Noise Parameter System. All three sets of total width transistors are measured at the maximum condition and the frequency range is from 2 to 26.5 GHz.

1848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

All measured noise parameters are deembedded with their corresponding OPEN structures using the deembedding feature in the NP5 system. This embedding procedure can be found in [19], whereby the pad and interconnect parasitic capacitances are deembedded. A. HF Noise Definition and Theory From the classical RF noise model from Van Der Ziel [20], [21], the HF noise of a transistor can be described by two corand related current noise sources, the drain noise current . The induced gate noise is the induced gate noise current caused by the capacitive coupling from the channel noise current. The mean-square representation for drain current noise and gate noise are shown in (7) and (8), respectively. Note that is the correlation factor between the drain and gate noise sources (7) (8) (9) In (7), the parameter is the drain–source conductance at , and is the noise factor and has a value of unity at zero and, in long channel devices, it decreases to a value of zero 2/3 in the saturation region. Note that for short channel devices operating in the saturation region, can be considerably higher than the long channel value. In (8), the parameter is the gate noise coefficient and it is given a value of 4/3 in [20]. In [22] and [23], the approximated expression for minimum is derived as shown in (10). In Section III-B, noise factor . It is noted we will relate this expression to our measured is equivalent to in decibels as follows: that

(10) (11) (12)

(13) (14) noise parameters used in (10) are related to the The drain and gate noise current sources, as shown in (11)–(13). B. Experimental Results and Discussion Fig. 6 shows the transistor’s versus frequency plot for with a total width of 48, 120, and 240 m. All three different

NF 1

Fig. 6. Extracted (in decibels) versus frequency with unit width of 4, 8, 12, and 24 m for total width of: (a) 48, (b) 120, and (c) 240 m. : unit m, : unit width m, : unit width m, : unit width width m.

= 24 =4

= 12

}

=8

2

plots show that transistors with of 24 m exhibit the highest , while the characteristics for transistors with of 4, 8, and 12 m overlap each other and cannot be differentiated clearly. These plots show an important observation that is of 24- m transistors to a smaller of by optimizing the

TONG et al.: RFCMOS UNIT WIDTH OPTIMIZATION TECHNIQUE

1849

4, 8, or 12 m at the same total width, the response can less than 12 m, the be greatly improved. Furthermore, for is not significant. improvement in Note that the extracted plots for the three total widths transistor in Fig. 6 show very close results. The geometry de, and can be shown to be proportional pendence of , and , respectively, and to by substituting them into (10) will result in to be propor. From the above analysis, is found to be tional to and this explains why the measured independent of results for the three total widths are so close. Furthermore, from , it is expected that transistors the geometry dependence of will have the highest noise level, and this is with the largest of 24 m exclearly shown in measurement results when values. hibits the highest FromFig.6,itisalsoobservedthatforfrequencyrangelessthan values are found to be overlapping 5 GHz, all the extracted change. and fluctuating without any clear trend with respect to for less than 5 GHz cannot be use Hence, the extracted to study the effect on the transistor noise. Furthermore, the for of 4, 8, and 12 m for the whole frequency range is results cannot also overlapping and, hence, the extracted . Due to the above observations, be used to study the effect of it is difficult to study the effect of the transistor on its HF noise performance and, hence, there is a need to propose a new FOM optimization. to assist in this study for the C. Proposal of New FOM for HF Noise In order to study the transistor’s effect on its HF noise performance, a new FOM is proposed, as shown in (15), where is in the minimum noise factor in (10) and is the normalized noise resistance defined in a linear two-port noisy network [24]. In can be derived and simplified, as shown in [25], the parameter (16), and the parameter is as discussed in (7). For long channel devices, the parameter is equal to unity and it will gradually decreases as the channel length reduces [25] as follows: (15) (16) (17) By multiplying the parameter and together, the resultant equation is as shown in (18) as follows:

culated FOM can be obtained. Fig. 7 shows the measured and versus frequency for different calculated FOM transistors at a constant total width of 48, 120, and 240 m. There are three important observations from this FOM versus and total width change. Firstly, its value is found to be and decreasing when the transistor’s total increasing with width increases. Note that for the noise figure in Fig. 6, only the trend with is observed, there is no change in when the total width changes. The FOM behavior with respect to can be explained by studying the geomthe total width and etry dependences of term 1 and term 2 in (18). By substituting the geometry dependence of all the parameters into (18), it is clear that term 1 and term 2 are proportional to and , respectively. By adding the proportionality of these two terms, the resultant geometry dependence of the pro. Thereposed FOM is found to be fore, based on the above analysis for the proposed FOM, it is exincreases, pected that at the same constant total width with is fixed with the proposed FOM will increase and when the increasing total width, the proposed FOM will decrease. Hence, this analysis on the geometry dependence of the proposed FOM can explain the measurement results, as shown in Fig. 7. Secondly, it is also observed that the FOM has both an increasing and a decreasing trend with respect to frequency. The increasing and decreasing trend is mainly due to the contribution from term 2 and term 1 of (18), respectively. Note that in term 2, there exists a frequency variable so it will naturally contribute to the increasing trend of the FOM with frequency. As for term 1, it , and in [19], it is found that is inis, in fact, equivalent to and as frequency increases, versely proportional to will increase and cause to fall off with frequency. Finally, it is observed that the calculated FOM is closely matched to the measured FOM values and this confirms the derived FOM equation in (18) is correct and reliable. of 4 m for the three total In Fig. 7, the transistor with widths shows the lowest FOM, and this implies that it has the lowest noise level when compared to the noise of the other transistors. Note that such observation is not observable in for 4, 8, and 12 m are all overFig. 6, as the extracted lapping. Therefore, it is clear that the proposed FOM can help tranto differentiate the noise level behavior for a different sistor, especially for a frequency range less than 5 GHz. Hence, by only considering the HF noise optimization, the should be chosen as 4 m, as they show the transistor’s of a transistor with of 4 m is lowest noise. Note that is at the highest level. Hence, from the lowest while its and performance is required, Fig. 4, if the optimum should be chosen at approximately 12 m. From Fig. 7, the of 12 m is only slightly higher and comparable noise for to the 4- m case. Hence, it can be concluded that for the optimization of and HF noise, the optimum to use for a transistor for this 0.18- m CMOS technology is at approximately 12 m.

(18)

IV. UNIT WIDTH OPTIMIZATION ON FLICKER NOISE

(19)

Flicker noise mainly affects the low-frequency performance of the transistor, but the impact of flicker noise cannot be neglected in certain RF circuits such as a mixer because it can up-convert the low-frequency noise to HF, which can affect the

By extracting the small-signal parameters for all the devices under comparison and substituting them back into (18), the cal-

1850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Many theories have been presented to explain the flicker noise behavior. The two main theories are the random fluctuation of the carriers in the channel [26], [27], [28]–[30] and mobility fluctuation [31], [32]. Based on the carrier fluctuation [29], [30] and mobility fluctuation [31], [32] theory, the noise voltage power spectral density can be shown as in (20) and in (20) is bias independent, (21), respectively. Note that while in (21), is a bias-dependent parameter. Their corresponding noise current power spectral density can be found using (22) as follows:

(20) (21) (22) A. Experimental Results and Discussion Fig. 8 shows the measured flicker noise versus frequency plot with varying at a fixed total width of 48, 120, and 240 m. The noise measurement is done at the maximum biasing condition. It is observed that the variation does not affect the flicker noise performance for the RF transistor at the same total width condition. Furthermore, the flicker is also found to be noise current power spectral density increasing with increasing total width. In (20) or (21), it is is inversely proportional to the total width of the clear that transistor , while in (22), is directly dependent on . Hence, the transistor’s , which is also proportional to can be derived and the resultant geometry dependence of , and this shown to be only the transistor’s total width is clearly shown in the flicker noise measurement results. Since the measurement frequency for the flicker noise is low, the RF changes will not appear and affect the parasitic due to the transistor’s flicker noise behavior. Therefore, it is expected that shows no dependency with at the same total width condition. V. CIRCUIT APPLICATION DISCUSSION From the analysis of , proposed FOM , and flicker noise spectral density, it shows that the unit width optimization technique can assist designers to select the optimized layout transistors for a specific circuit application such as an LNA, a VCO, or a mixer that required either low , high , or . A. Transistor Selection for LNA Design Fig. 7. Measured and calculated F 1R (in micrometers) versus frequency with unit width of 4, 8, 12, and 24 m for total width of: (a) 48, (b) 120, and m, : unit width m, }: unit width (c) 240 m. : unit width m, 2: unit width m. Symbols: measured. Dotted line: calculated.

=8

= 24 =4

1

= 12

circuit phase noise performance. Therefore, it is important to study the flicker noise behavior with respect to the change in of the RF transistor.

The LNA is usually the first stage of a receiver and it generally requires low noise figure and high-gain transistors in its circuit design. Based on the Friss equation [33], the first stage of the receiver will determine the whole receiver noise performance; hence, the noise in the LNA must be minimized. The transistor size used for this LNA design is usually large so as to provide enough gain to reduce the noise in the subsequent stages. The number of fingers for the chosen transistor must be large; hence, minimizes its gate resistance. This will cause the

TONG et al.: RFCMOS UNIT WIDTH OPTIMIZATION TECHNIQUE

1851

so that maximum number of fingers can be achieved for a constant total width transistor. This conclusion coincides with the analysis that has been discussed in Section III. B. Transistor Selection for VCO Design For VCO design, the main considerations are low phase noise and low power. Since the transistor’s flicker noise will contribute to the output phase noise, the selected transistor in the VCO design should have low flicker noise spectral density. From Fig. 8, it can be observed that the flicker noise is directly dependent on the device size and drain current and . Therefore, small total it is independent of the transistor’s width transistor size with small should be chosen for the VCO design so that it exhibits low flicker noise spectral density , as presented in Figs. 8 and 4, respectively. and high Furthermore, using small total width for the transistor also ensure low power consumption for the VCO. C. Transistor Selection for Mixer Design The mixer is mainly used in the RF transceiver for up and down conversion of signals. In mixer design, the tradeoff for conversion gain, linearity, power consumption, and noise figure have to be studied so as to achieve the design specifications for the circuit. The noise figure for the mixer is generally large due to the switching term during the up and down conversion. By designing a mixer with low noise figure, the gain of the LNA can can be low. Hence, from Fig. 7, a transistor with small , which allows provide the lowest noise level and highest higher operating frequency range for the mixer. VI. CONCLUSION

Fig. 8. Measured flicker noise S (A /Hz) versus frequency with unit width of 4, 8, 12, and 24 m for total width of: (a) 48, (b) 120, and (c) 240 m.

amplified gate noise at the output of the LNA to be small. Therefore, the transistor’s to use for LNA design should be small

In this paper, the trend of extracted and versus has been studied, and from the measurement results, it is clear that for the optimization of both FOMs, some tradeoff for of 4, 8, and is required. As the measured , 12 m cannot reveal their noise behavior with respect to has been proposed. From the calculated a new FOM , it has been clearly shown that it can be used to value optimize the transistor noise when selecting the best to be used in low-noise applications. The flicker noise spectral shows no optimization can be done for density with varying , as at such low-frequency range, the RF parasitic will not appear and affect the transistor’s flicker noise. This experiment has shown that the optimization technique is feasible and can be used to help designers to select the optimized layout transistors that are optimized specifically for a certain application such as an LNA, a VCO, and a mixer circuit that have either low , high , and requirements. Furthermore, by applying this optimization technique to existing and future technologies, the modeling engineers from foundry and IDM can understand the RF characteristics of the process and select transistors and to model only a small range of optimized shorten the model development time. ACKNOWLEDGMENT The authors would like to thank M. K. Raja, Institute of Microelectronics (IME), Singapore, and M. Nagarajan and T. C. Ng, both with Advanced RFIC (S) Pte. Ltd., Singapore, for their

1852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

helpful discussions. The authors are also grateful to Chartered Semiconductor Manufacturing Ltd. (Chartered), Singapore, for fabricating the test structures. REFERENCES [1] G. Weinberger, “The new millennium: Wireless technologies for a truly mobile society,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2000, pp. 20–25. [2] E. Morifuji, H. S. MoMose, T. Ohguro, T. Yoshitomi, and H. Kimijima, “Future perspective and scaling down roadmap for RF CMOS,” in VLSI Tech. Symp., 1999, pp. 163–164. [3] H. S. Momose, R. Fujimoto, S. Otaka, E. Morifuji, T. Ohguro, T. Yoshitomi, H. Kimijima, S. I. Nakamura, T. Morimoto, Y. Katsumata, H. Tanimoto, and H. Iwai, “RF noise in 1.5 nm gate oxide MOSFETs and the evaluation of the nMOS LNA circuit integrated on a chip,” in Proc. VLSI Tech. Symp., Jun. 1998, pp. 96–97. [4] T. Manku, “Microwave CMOS—Device physics and design,” IEEE J. Solid-State Circuits, vol. 34, no. 3, pp. 277–285, Mar. 1999. [5] C. Enz, “An MOS transistor modeling for RF integrated circuit design valid in all region of operation,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 342–359, Jan. 2002. [6] C. S. Chang, C. P. Chao, J. G. J. Chern, and J. Y. C. Sun, “Advanced CMOS technology portfolio for RF IC application,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1324–1334, Jul. 2005. [7] H. S. Bennett, R. Brederlow, J. C. Costa, P. E. Cottrell, W. M. Huang, A. A. Immorlica, J. E. Mueller, M. Racanelli, H. Shichijo, C. E. Weitzel, and B. Zhao, “Device and technology evolution for Si-based RF integrated circuits,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1235–1257, Jul. 2005. [8] H. Iwai, “CMOS technology for RF application,” in Proc. 22nd Int. Microelectron. Conf., May 2000, vol. 1, pp. 27–34. [9] H. Iwai, “CMOS technology—Year 2010 and beyond,” IEEE J. SolidState Circuits, vol. 34, no. 3, pp. 357–366, Mar. 1999. [10] J. Pekarik, D. Greenberg, B. Jagannathan, R. Groves, J. R. Jones, R. Singh, A. Chinthakindi, X. Wang, M. Breitwisch, D. Coolbaugh, P. Cottrell, J. Florkey, G. Freeman, and R. Krishnasamy, “RFCMOS technology from 0.25 m to 65 nm: The state of the art,” in Proc. IEEE Custom Integrated Circuits Conf., Oct. 3–6, 2004, pp. 217–224. [11] B. Jagannathan, D. Greenberg, R. Anna, X. Wang, J. Pekarik, M. Breitwisch, M. Erturk, L. Wagner, C. Schnabel, D. Sandersan, and S. Csutak, “RF FET layout and modeling for design success in RFCMOS technologies,” in IEEE RF Integrated Circuits Symp. Dig., Jun. 12–14, 2005, pp. 57–60. [12] Y.-S. Lin, “An analysis of small-signal source-body resistance effect on RF MOSFETs for low-cost system-on-chip (SoC) applications,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1442–1451, Jul. 2005. [13] Y. H. Cheng, M. J. Deen, and C. H. Chen, “MOSFET modeling for RF IC design,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1286–1303, Jul. 2005. [14] W. Wu, S. Lam, and M. Chan, “Effects of layout methods of RF CMOS on noise performance,” IEEE Trans. Electron Devices, vol. 52, no. 12, pp. 2753–2759, Dec. 2005. [15] Y. H. Cheng, “An overview of device behavior and modeling of CMOS technology for RF IC design,” in IEEE Int. Electron Devices for Microw. Optoelectron. Applicat. Symp., Nov. 2003, pp. 109–114. [16] K. Lee, I. Nam, I. Kwon, J. Gil, K. Han, S. Park, and B.-I. Seo, “The impact of semiconductor technology scaling on CMOS RF and digital circuits for wireless application,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1415–1422, Jul. 2005. [17] I. Bahl and P. Bhartia, Microwave Solid-State Circuit Design. New York: Wiley, 1988. [18] Y. H. Cheng, “MOSFET modeling for RF IC design,” in CMOS RF Modeling, Characterization and Application. Singapore: World Sci., 2002, pp. 119–196. [19] C. H. Chen and M. J. Deen, “High frequency noise of MOSFETs I—Modeling,” Solid State Electron., vol. 42, pp. 2069–2081, Nov. 1998. [20] A. V. D. Ziel, Noise in Solid State Devices and Circuits. New York: Wiley, 1986. [21] A. V. D. Ziel, “Thermal noise in field effect transistor,” Proc. IEEE, vol. 50, no. 8, pp. 1801–1812, Aug. 1962. [22] F. Danneville, B. Iñiguez, G. Pailloncy, and G. Dambrine, “RF and noise properties of SOI MOSFETs, including the influence of a direct tunneling gate current,” in Proc. 5th IEEE Int. Devices, Circuits, Syst. Conf., Caracas, Dominican Republic, Nov. 3–5, 2004, pp. 103–110.

[23] G. Pailloncy, C. Raynaud, M. Vanmackelberg, F. Danneville, S. Lepilliet, J. P. Raskin, and G. Dambrine, “Impact of downscaling on highfrequency noise performance of bulk and SOI MOSFETs,” IEEE Trans. Electron Devices, vol. 51, no. 10, pp. 1605–1612, Oct. 2004. [24] H. Rothe and W. Dahlke, “Theory of noisy fourpoles,” Proc. IRE, vol. 44, no. 6, pp. 811–818, Jun. 1956. [25] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 1st ed. Cambridge, U.K.: Cambridge Univ. Press, 2001, ch. 11, p. 276. [26] S. Christensson, I. Lundstrom, and C. Svensson, “Low frequency noise in MOS transistors—I. Theory,” Solid State Electron., vol. 11, pp. 796–812, Sep. 1968. [27] S. Christensson, I. Lundstrom, and C. Svensson, “Low frequency noise in MOS transistors—II. Experiments,” Solid State Electron., vol. 11, pp. 813–820, Sep. 1968. [28] F. M. Klaassen, “Characterization of low 1=f noise in MOS transistors,” IEEE Trans. Electron Devices, vol. ED-18, no. 10, pp. 887–891, Oct. 1971. [29] G. Reimbold, “Modified 1=f trapping noise theory and experiments in MOS transistors biased from weak to strong inversion—Influence of interface states,” IEEE Trans. Electron Devices, vol. ED-31, no. 9, pp. 1190–1198, Sep. 1984. [30] G. Ghibaudo, “On the theory of carrier number fluctuations in MOS devices,” Solid State Electron., vol. 32, pp. 563–565, 1989. [31] L. K. J. Vandamme, X. Li, and D. Rigaud, “1=f noise in MOS devices, mobility or number fluctuations?,” IEEE Trans. Electron Devices, vol. 41, no. 11, pp. 1936–1945, Nov. 1994. [32] X. Li, C. Barros, E. P. Vandamme, and K. L. Vandamme, “Parameter extraction and 1=f noise in a surface and a bulk-type, p-channel LDD MOSFET,” Solid State Electron., vol. 37, pp. 1853–1862, Nov. 1994. [33] H. T. Friss, “Noise figures of radio receivers,” Proc. IRE, vol. 32, no. 7, pp. 419–422, Jul. 1944.

Ah Fatt Tong received the B.E. degree in electronics (Hons.) from Nanyang Technological University (NTU), Singapore, in 1998, and is currently working toward the Ph.D. degree in electrical and electronic engineering at NTU. He is currently a Senior Device Modeling Engineer with the RF Device Modeling Group, Advanced RFIC (S) Pte. Ltd., Singapore. His research interests include RFCMOS device characterization and modeling.

Wei Meng Lim received the B.E. (Hons.) and M.E. degrees from Nanyang Technology University (NTU), Singapore, in 2002 and 2004 respectively. He then joined the School of Electrical and Electronic Engineering, NTU, as a Research Staff Member. His research interests include RF circuit design, RF device characterization, and modeling.

Choon Beng Sia received the B.E. degree in electronics (Hons.) and M.E. degree in electronics from Nanyang Technological University (NTU), Singapore, in 1999 and 2001, respectively, and is currently working toward the Ph.D. degree at NTU. From 2001 to 2006, he was a Device Modeling Engineer with Chartered Semiconductor Manufacturing Ltd., and an Engineering Manager with the Device Modeling Group, Advanced RFIC (S) Pte. Ltd., Singapore. He is currently with Cascade Microtech Inc., Singapore, where he supports Asia–Pacific operations in the area of application engineering. His research interests include design, characterization, and modeling of silicon-based devices for RFIC applications. He holds nine patents.

TONG et al.: RFCMOS UNIT WIDTH OPTIMIZATION TECHNIQUE

Kiat Seng Yeo received the B.E. degree in electronics (Hons.) and Ph.D. degree in electrical engineering from Nanyang Technological University, Singapore in 1993 and 1996, respectively. He is currently Sub-Dean and Associate Professor of electrical and electronic engineering with Nanyang Technological University (NTU), Singapore, where he is a recognized expert in CMOS technology and low-power CMOS integrated-circuit design. He is a consultant to multinational corporations. He has authored or coauthored over 200 papers. He has authored four books. He holds 11 patents. His research interests include device modeling and RF IC design. Prof. Yang serves on the Program Committee of several international conferences.

Zee Long Teng received the Diploma degree in electronics and computer engineering from Ngee Ann Polytechnic, Singapore, in 1998, and the Bachelor degree in computer science from the University of Adelaide, Adelaide, Australia, in 2006.

1853

He was with the Technology Development Group and Photolithography Group, Chartered Semiconductor Manufacturing Ltd., as an Associate Engineer. He subsequently joined FTD Solutions as a Layout Engineer. He was then with the RF Device Modeling Group, Advanced RFIC (S) Pte. Ltd., Singapore, as a Device Modeling Engineer. He is currently a Computer-Aided Design (CAD) Engineer with the Institute of Microelectronics (IME), Singapore. He is involves in the process design kit (PDK) setting for integrated-circuit design, tool evaluation, and testing.

Pei Fern Ng received the Bachelor degree in electrical and electronics (with a major in electronics) from Nanyang Technology University (NTU), Singapore, in 2002. In 2002, she joined the Electronic Design Automation (EDA) Group, Advanced RFIC (S) Pte. Ltd., Singapore, as an EDA Engineer, where she was involved in process design kit (PDK) development for various technology nodes and different processes. Her other involvements include test chip generation and customization of software for integrated-circuit designers. She is currently an Engineer with MediaTek Singapore Pte. Ltd., Singapore, where she supports both integrated-circuit designers and layout engineers in their problem analysis.

1854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique Hsieh-Hung Hsieh, Student Member, IEEE, Yu-Hsin Chen, Member, IEEE, and Liang-Hung Lu, Member, IEEE

Abstract—A novel circuit topology suitable for millimeter-wave voltage-controlled oscillators (VCOs) is presented. With the admittance-transforming technique, the proposed VCO can operate at a frequency close to the max of the transistors while maintaining remarkable circuit performance in terms of phase noise, tuning range, and output power. Using a standard micrometer CMOS process, a -band VCO is implemented for demonstration. The fabricated circuit exhibits a frequency tuning range of 1.1 GHz in the vicinity of 50 GHz. The measured output power and phase noise at 1-MHz offset are 11 dBm and 101 dBc/Hz, respectively. Operated at a supply voltage of 1.8 V, the VCO core consumes a dc power of 45 mW. Index Terms—Admittance transforming, coplanar waveguide (CPW), LC-tank oscillators, millimeter wave, phase noise, quality (Q) factor, voltage-controlled oscillators (VCOs).

I. INTRODUCTION Fig. 1. (a) Equivalent half circuit of the conventional LC-tank VCO. (b) Simplified model for circuit analysis.

R

ECENTLY, with the emerging wireless applications such as the broadband wireless local area network (WLAN) at 60 GHz, the automatic cruise control at 76–77 GHz, and the advanced image sensors at 94 GHz, the development of low-cost and high-performance monolithic microwave integrated circuits have attracted great attention. Due to the unparalleled advantages in both fabrication cost and high-level integration, CMOS technology appears to be well suited for the circuit implemenand tations. Unfortunately, the restricted cutoff frequency have impeded the evolumaximum oscillation frequency tion of RF frontends for millimeter-wave applications. In order to enhance the high-frequency performance of the CMOS RF circuits, various design strategies have been proposed over the past few years [1]–[3]. Being a crucial component in the RF frontends, the voltagecontrolled oscillator (VCO) provides the required local oscillator (LO) signal for frequency conversion. Conventionally, the cross-coupled LC-tank topology is widely used for high-frequency designs [4]–[9]. However, as the operating frequency of the active devices, the realization approaches to the of the LC-tank CMOS VCOs encounters great challenges due Manuscript received February 9, 2007; revised May 5, 2007. This work was supported by the MTK Education Foundation. This work was supported in part by the National Science Council under Grant 94-2220-E-002-026 and Grant 94-2220-E-002-009. H.-H. Hsieh and L.-H. Lu are with the Department of Electrical Engineering and Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan, R.O.C. (e-mail: [email protected]). Y.-H. Chen is with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2007.903347

to the inherently low quality ( ) factors of the on-chip passive elements, making it extremely difficult to meet the stringent startup conditions for sustained oscillation. In order to alleviate the limitations on the operating frequencies, an admittance-transforming technique is presented. By inserting inductive elements in series connection with the varactor, the equivalent shunt conductance of the tank can be effectively reduced at higher frequencies, leading to a VCO design close to the of the MOSFETs. In addition, with the nature of the tunable effective inductance, a reasonable frequency tuning range is also demonstrated. Using a standard 0.18- m CMOS process, a VCO prototype is successfully implemented at the 50-GHz frequency band. The remainder of this paper is organized as follows. In Section II, the concept of the admittance-transforming technique is illustrated. The proposed VCO topology and the circuit implementations are presented in Sections III and IV, respectively. The experimental results are provided in Section V. Finally, a conclusion is given in Section VI. II. ADMITTANCE-TRANSFORMING TECHNIQUE Fig. 1(a) shows the equivalent half circuit of the conventional LC-tank VCO where the resonator is composed of an inductor and a varactor . The active devices are employed to pro, compensating for the losses vide a negative conductance from the passive elements. For the derivations of the oscillation frequency and the startup conditions, a simplified equivalent circuit, as shown in Fig. 1(b), is employed. According to

0018-9480/$25.00 © 2007 IEEE

HSIEH et al.: MILLIMETER-WAVE CMOS LC-TANK VCO WITH ADMITTANCE-TRANSFORMING TECHNIQUE

1855

the narrowband approximation, the shunt components including , and can be estimated as (1) (2) (3) The oscillation frequency is given by (4) and the startup condition yields (5) Typically, is dominated by the loss of the on-chip inductors for conventional LC-tank CMOS VCO designs at an oscillation frequency below 10 GHz. However, for applications at is mainly determined by the millimeter-wave frequencies, losses from the varactor, imposing a fundamental limitation on the maximum operating frequency of the LC-tank VCOs [4]. To alleviate the frequency limitations due to presence of the varactors, an admittance-transforming technique is proposed. A conceptual illustration of this technique is shown in Fig. 2(a). and an additional With a series connection of the varactor can be expressed as inductor , the input admittance of

Fig. 2. Equivalent circuits of the series LC-resonator for the admittance-transforming technique with: (a) lossless and (b) lossy inductor L .

Provided cases, and

and in typical design in (10) are approximated by

(11) (12)

(6) where (7)

(8) As indicated in (7),

is smaller than

provided that (9)

is the factor of the varactor and is the resonant where and . With proper choice in the value of frequency of the inductance , the high-frequency losses from the varactors can be effectively reduced by the admittance-transforming techvaries, the imaginary part nique. Moreover, as the value of can be treated as a tunable inductance at a frequency of , enabling the frequency tuning mechanism for higher than VCO operations. Note that, in order to simplify the analysis, the is neglected in the above derivaeffective series resistance of tions. ,a To further investigate the influence of the loss from series resistance is included in the equivalent circuit, as is given by shown in Fig. 2(b), and the input admittance (10)

is the factor of the inductor . From (11), it is where depends on . Fortunately, apparent that the value of the second term in (11) is typically smaller than by one order of magnitude at millimeter-wave frequencies. As a result, the proposed admittance-transforming technique is still effective with the inductor losses taken into account. In order to have a better understanding of the admittancetransforming technique, simulations on the series resonator is performed by taking the parasitics and losses into consideration. For a 0.2-pF varactor with various values of inductance , the is shown in Fig. 3(a). As predicted in (7), the shunt resulting conductance can be effectively reduced at higher frequencies. of 0.25 nH, the equivalent On the other hand, with a fixed is demonstrated in Fig 3(b) as the value of inductance varies, featuring a frequency tuning mechanism for the VCO circuit.

III. PROPOSED TOPOLOGY OF VCO Fig. 4 shows the schematic of the proposed VCO, where the and is used to provide the required cross-coupled pair negative conductance and the resonator is established by the inand the varactor . In consideration of the flicker ductor noise contributed by the active devices, a pMOS transistor is employed as the tail current such that lower phase noise can be achieved. Meanwhile, the proposed admittance-transforming in the setechnique is introduced by inserting the inductors ries path with the varactors. As a result, the VCO can operate at

1856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 5. Equivalent half circuit of proposed VCO.

rowband approximation is employed, and the shunt conductance is given by (13) is the equivalent series resistance of . As for the where and shunt conductance , the analytic expresinductance and are given in (11) and (12), resions in terms of spectively. To initiate the oscillation, the negative conductance should be sufficiently large to cancel out the total parallel conductance (14) On the other hand, the oscillation frequency is given by Fig. 3. (a) Simulated equivalent conductance G for various values of L with C = 0:2 pF. (b) Simulated equivalent inductance L for various values of C with L = 0:25 nH.

(15) From (14) and (15), it is apparent that the use of the admittance-transforming technique leads to an alleviated startup condition and a higher oscillation frequency compared with the conventional circuit topology. In addition, by varying the capacitance of the varactor from to through the controlled voltage, the tuning range of the VCO is defined by

(16)

Fig. 4. Circuit topology of the proposed millimeter-wave VCO.

much higher frequencies than the conventional ones while maintaining desirable circuit performance. To gain more insight into the proposed VCO topology, detailed circuit analysis is given as follows. A. Startup Condition and Oscillation Frequency For the derivations of the oscillation frequency and the startup conditions, the equivalent half circuit of the proposed VCO is shown in Fig. 5, where and represent the losses from the on-chip inductor and the active devices, respectively. Note that the loss of the inductor is typically modeled by a series resistance. In the equivalent-circuit presentation, the nar-

Note that and are the maximum and minimum values of the tunable inductance , respectively, which can be calculated by (17) and (18), shown at the bottom of the following page. From (16)–(18), it is clear that the tuning range in this study is related to the values of and . In order to evaluate the tuning characteristics, the simulated tuning range of the proposed VCO as a function of the inductance is shown in Fig. 6(a), where the value of is determined by such that the central oscillation frequency of the VCO remains unchanged. Based on the simulation results, the tuning range degrades as the value of increases. Meanwhile, the transconductance required for the VCO startup is effectively reduced. A design tradeoff is thus provided between the startup condition and the tuning range for the circuit implementations. One interesting phenomenon appearing in Fig. 6(a) is that the tuning range increases as the size of the varactor decreases.

HSIEH et al.: MILLIMETER-WAVE CMOS LC-TANK VCO WITH ADMITTANCE-TRANSFORMING TECHNIQUE

1857

are performed with identical design parameters in the investigation of the tuning range. The simulated phase noise is shown in Fig. 6(b). By employing the admittance-transforming technique, the shunt conductance of the varactor is suppressed, while the frequency tuning is achieved by the tunable effective inductance. As indicated in the simulation results, the VCO exhibits a phase noise virtually independent of the tuning range, which is a unique and desirable property for the circuit optimization. B. Phase Noise According to the Leeson’s model [10], the phase noise of the proposed VCO in the region can be expressed as (19) is the excess noise factor, is the factor of the where LC-tank, and is the frequency offset. As indicated in (19), the phase noise is strongly influenced by the factor of the tank, which can be expressed as (20)

Fig. 6. Simulated: (a) tuning range and (b) phase noise of the 50-GHz VCO as values. a function of L for various C

This observation conflicts with the design concept in conventional VCO circuits. By employing the admittance-transforming technique, the capacitance of the varactor is effectively transferred into a tunable inductance at the frequency of interest. Consequently, an enhanced tuning range can be achieved by decreasing the size of the varactor. However, for a given operating frequency, (9) indicates that the inductance is inversely proportional to the value of , setting a lower bound for the varactor size in a practical design. Another important feature of the admittance-transforming technique is the relation between the frequency tuning range and the phase noise. For conventional VCO circuits, the tuning range increases with the varactor size. As the factor of the varactors degrades significantly with the device size, especially at millimeter-wave frequencies, the wide tuning-range VCOs usually suffer from an inferior phase noise. To evaluate the noise performance of the proposed VCO, circuit simulations

As indicated in (20), reduced phase noise can be achieved in the VCO design by suppressing the shunt conductance and by increasing the value of . However, an increasing ratio of requires large cross-coupled transistors, which simultaneously increases the and possibly the phase noise. Thereshunt conductance and should be chosen carefully fore, the values of in the proposed VCO for optimum phase-noise performance. Fig. 7 shows the simulated phase noise of the VCO at 1-MHz offset with a fixed oscillation frequency of 50 GHz and a varand increase, the actor value of 0.2 pF. As the values of phase noise tends to decrease due to the smaller required. and leads to higher phase However, further increase in noise due to the degraded factors of the inductors. In order to achieve the lowest phase noise possible, the factors of the varactors and inductors have to be taken into consideration. In this particular example, the optimum phase noise is approximately 100 dBc/Hz provided that and are 0.25 and 0.2 nH, respectively, with a factor of 10. C. Output Buffers In order to drive the 50- impedance of the test instrument, an output buffer is required for the circuit design. For a VCO

(17)

(18)

1858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 7. Simulated phase noise of the proposed VCO with an oscillation frequency of 50 GHz and a varactor of 0.2 pF.

Fig. 11. (a) Conventional and (b) inversion-mode pMOS varactors.

Fig. 8. Circuit schematic of the output buffer.

Fig. 12. Simulated capacitance and sion-mode varactor.

TABLE I CIRCUIT PARAMETERS OF THE

Fig. 9. Structure of the CPW.

Fig. 10. Extracted equivalent inductance and design parameters.

Q factor of the conventional and the inverU -BAND VCO

Q factor of the CPW with various

operating at a frequency close to the of the transistors, the output buffer is also a great design challenge. In this study, a

two-stage buffer with common-source MOSFETs is employed [4], [11]. Fig. 8 shows the circuit schematic where and are on-chip passive components. The transistor sizes and inductance values are chosen such that the loading effect on

HSIEH et al.: MILLIMETER-WAVE CMOS LC-TANK VCO WITH ADMITTANCE-TRANSFORMING TECHNIQUE

1859

Fig. 13. Microphotograph of the fabricated circuit.

Fig. 15. Measured: (a) wideband spectrum, (b) narrowband spectrum, and (c) close-in phase noise of the fabricated VCO at 49 GHz.

Fig. 14. (a) Oscillation frequency and (b) output power level of the VCO as the controlled voltage sweeps from 0 to 1.8 V.

the VCO core can be minimized while maintaining sufficient output swing. IV. CIRCUIT IMPLEMENTATION In the VCO design, it is desirable to reduce the shunt conductance for more relaxed startup conditions and enhanced phase noise. Due to the use of the admittance-transforming technique, the contribution from the varactor elements is thus minimized,

especially at higher frequencies. Further performance improvement can be achieved by optimizing the factors of the on-chip passive components. In consideration of the factor at millimeter-wave frequencies, the required inductors are implemented by the coplanar waveguide (CPW), which is constructed by one signal line surrounded by two adjacent grounded plates, as shown in Fig. 9. By properly choosing the dimensions of the linewidth , the signal-to-ground spacing , and the length , the required inductance can be realized with sufficient accuracy. Based on the fullwave electromagnetic (EM) simulation, the extracted equivalent inductance and the factor of the CPW at 50 GHz are shown in

1860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE II PERFORMANCE SUMMARY OF THE CMOS VCOs AT THE 50-GHz FREQUENCY BAND

Fig. 10 for various and values. Note that, with the two separate ground plates in the CPW structure, underpasses using the second metal layer are also employed to suppress the unwanted odd-mode operations [12]. Another important design issue of the millimeter-wave VCO is the realization of the varactors. Fig. 11(a) shows the terminal connection of a conventional pMOS varactor where the body terminal is shorted to the source and the drain of the device. In this VCO design, varactors with the inversion-mode topology, as shown in Fig. 11(b), are employed to enhance the factor at the higher frequency bands, while maintaining a relatively wide , the tuning range [13]. By connecting the body terminal to pMOS varactor can be operated in the accumulation region in addition to the strong, moderate, and weak inversion ones. As a is effectively result, the capacitance ratio of increased. Fig. 12 shows the simulated capacitance and factor of the pMOS varactors at 50 GHz for comparison. Using a standard 1P6 M 0.18- m CMOS process, a -band VCO is implemented for demonstration. With a device layout optimized for the RF performance, the -channel MOSFET in a up deep n-well exhibits a maximum oscillation frequency to 60 GHz. As for the on-chip passive components, a top AlCu metallization layer with a thickness of 2 m and the metal–insulator–metal (MIM) structure with an oxide intermetal dielectric are provided in this technology. Table I summarizes the design parameters of the proposed VCO. In order to verify the derivations from (10) to (12) in this cirand cuit implementation, the values of are calculated from Table I. The resulting values of and at the 50-GHz frequency band are 3.14 10 to 4.95 10 and 68.0 to 92.7, respectively, validating the assumptions of and in the theoretical derivation. Meanwhile, by using the admittance-transwith an original forming technique, the shunt conductance value from 6.8 to 20.9 mS is effectively suppressed to a value between 2.0–2.9 mS, leading to a relaxed startup condition for the 50-GHz VCO design. V. EXPERIMENTAL RESULTS Fig. 13 shows the die photograph of the fabricated circuit with a chip area of 0.83 0.87 mm including the RF pads. To evaluate the high-frequency performance, the output spectrum and

phase noise were characterized by a 50-GHz spectrum analyzer. The fabricated VCO was tested by on-wafer probing, while the losses from the measurement setup were calibrated and deembedded in the experimental results. With a supply voltage of 1.8 V, the VCO starts to oscillate at a dc current level of 1 mA due to the use of the admittance-transforming technique. In order to obtain sufficiently high output power, the performance of the VCO is characterized under a core bias current of 25 mA. As the controlled voltage sweeps from 0 to 1.8 V, the tuning characteristics, including the oscillation frequency and output power, are shown in Fig. 14. Within the output frequency range from 48.4 to 49.2 GHz, the -band VCO exhibits an output power in the vicinity of 11 dBm. By applying a controlled voltage up to 2.2 V, an enhanced tuning range of 1.1 GHz can be achieved. Fig. 15 shows the measured wideband and narrowband spectra and the close-in phase noise at 49 GHz, indicating a phase noise of 100.6 dBc/Hz at 1-MHz offset. Compared with the simulated result of 100.9 dBc/Hz at 1-MHz offset, good agreement is achieved due to accurate EM extraction. The performance of the VCO is summarized in Table II along with the results from previously published CMOS VCOs for comparison. VI. CONCLUSION In this paper, an admittance-transforming technique has been presented for the design of the LC-tank CMOS VCOs at higher frequencies. By effectively reducing the shunt conductance contributed by the varactors, VCO circuits with an oscillation freof the active devices can be realized quency close to the while maintaining the desirable circuit performance. Using a standard 0.18- m CMOS technology, a -band VCO based on the proposed technique is successfully demonstrated. It is well suited for low-cost implementations of the RF systems at millimeter-wave frequencies. ACKNOWLEDGMENT The authors would like to thank the National Chip Implementation Center, Hsinchu, Taiwan, R.O.C., for chip fabrication, and T.-P. Wang, Y.-C. Huang, and Prof. H. Wang, all with the National Taiwan University, Taipei, Taiwan, R.O.C., for chip measurement.

HSIEH et al.: MILLIMETER-WAVE CMOS LC-TANK VCO WITH ADMITTANCE-TRANSFORMING TECHNIQUE

REFERENCES [1] B. Razavi, “A 60-GHz CMOS receiver front-end,” IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 17–22, Jan. 2006. [2] H. Shigematsu et al., “Millimeter-wave CMOS circuit design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 472–477, Feb. 2005. [3] C. H. Doan et al., “Millimeter-wave CMOS design,” IEEE J. SolidState Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2005. [4] C. Cao and K. K. O, “Millimeter-wave voltage-controlled oscillators in 0.13-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1297–1304, Jun. 2006. [5] T.-N. Luo et al., “A 1-V CMOS VCO for 60-GHz applications,” in IEEE Asia–Pacific Microw. Conf., Dec. 2005, vol. 1, 4 pp. [6] J. Lee, J.-Y. Ding, and T.-Y. Cheng, “A 20-Gb/s 2-to-1 MUX and a 40-GHz VCO in 0.18 m CMOS technology,” in VLSI Circuits Tech. Symp. Dig., Jun. 2005, pp. 136–139. [7] A. P. van der Wel et al., “A robust 43-GHz VCO in CMOS for OC-768 SONET applications,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1159–1163, Jul. 2004. [8] F. Ellinger et al., “60 GHz VCO with wideband tuning range fabricated on VLSI SOI CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 3, pp. 1329–1332. [9] M. Tiebout, H. D. Wohlmuth, and W. Simburger, “A 1 V 51 GHz fully integrated VCO in 0.12 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2002, vol. 1, pp. 300–301. [10] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [11] A. W. L. Ng et al., “A 1-V 24-GHz 17.5-mW phase-locked loop in a 0.18 m CMOS process,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1236–1244, Jun. 2006. [12] S.-C. Shin et al., “18–26 GHz low-noise amplifiers using 130 and 90-nm bulk CMOS technologies,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2005, pp. 47–50. [13] P. Andreani and S. Mattisson, “On the use of MOS varactors in RF VCO’s,” IEEE J. Solid-State Circuits, vol. 35, no. 6, pp. 905–910, Jun. 2000. [14] Y.-H. Cho et al., “A low phase noise 52-GHz push–push VCO in 0.18 m bulk CMOS technologies,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2005, pp. 131–134.

1861

Hsieh-Hung Hsieh (S’05) was born in Taipei, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in electronic engineering at National Taiwan University. His research interests include the development of low-voltage and low-power RF integrated circuits, multiband wireless systems, RF testing, and monolithic microwave integrated circuit (MMIC) designs.

Yu-Hsin Chen (S’05–M’07) was born in Taipei, Taiwan, R.O.C., in 1983. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2005, and is currently working toward the M.S. degree in communication engineering at National Taiwan University. His research interests include RF integrated circuits and monolithic microwave integrated circuit (MMIC) designs.

Liang-Hung Lu (M’02) was born in Taipei, Taiwan, R.O.C., in 1968. He received the B.S. and M.S. degrees in electronics engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1991 and 1993, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2001. During his graduate study, he was involved in SiGe HBT technology and MMIC designs. From 2001 to 2002, he was with IBM, where he was involved with low-power and RF integrated circuits for silicon-oninsulator (SOI) technology. In August 2002, he joined the faculty of the Graduate Institute of Electronics Engineering and the Department of Electrical Engineering, National Taiwan University, Taipei, Taiwan, R.O.C., where he is currently an Associate Professor. His research interests include CMOS/BiCMOS RF and mixed-signal integrated-circuit designs.

1862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Design of a Reflection-Type Phase Shifter With Wide Relative Phase Shift and Constant Insertion Loss Chien-San Lin, Sheng-Fuh Chang, Member, IEEE, Chia-Chan Chang, Member, IEEE, and Yi-Hao Shu

Abstract—A reflection-type phase shifter with constant insertion loss over a wide relative phase-shift range is presented. This important feature is attributed to the salient integration of an impedance-transforming quadrature coupler with equalized series-resonated varactors. The impedance-transforming quadrature coupler is used to increase the maximal relative phase shift for a given varactor with a limited capacitance range. When the phase is tuned, the typical large insertion-loss variation of the phase shifter due to the varactor parasitic effect is minimized . by shunting the series-resonated varactor with a resistor A set of closed-form equations for predicting the relative phase shift, insertion loss, and insertion-loss variation with respect to the quadrature coupler and varactor parameters is derived. Three phase shifters were implemented with a silicon varactor pF and of a restricted capacitance range of min pF, wherein the parasitic resistance is close to 2 . max The measured insertion-loss variation is 0.1 dB over the relative phase-shift tuning range of 237 at 2 GHz and the return losses are better than 20 dB, excellently agreeing with the theoretical and simulated results.

= 14

=8



Index Terms—Branch-line coupler, phase shifter, varactor.

I. INTRODUCTION

NALOG PHASE shifters play an important role in microwave beamformers of phased-array antenna systems, phase-modulation communication systems, and emerging intelligent antenna systems of broadband wireless mobile communications [1]–[5]. The concept of using a varactor diode as the reflective load to achieve relative phase shift of incident signal was first proposed in [6]. Further developments on maximizing the relative phase-shift range and widening the operation bandwidth were reported in [3]–[5] and [7]–[17]. The effort of maximizing the relative phase-shift range is to alleviate the limitation of the relative phase-shift range by the maximal reactance variation range of the reflective varactor load. For example, a relative phase-shift range is limited to 74 at 6.2 GHz for the varactor with the capacitance range from 0.25 to 1 pF and can be extended to 147 by adding a series inductor to the varactor

A

Manuscript received December 1, 2006; revised May 8, 2007. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC95-2219-E-194-001. C.-S. Lin and Y.-H. Shu are with the Department of Electrical Engineering, National Chung Cheng University, Chiayi, Taiwan 621, R.O.C. (e-mail: [email protected]). S.-F. Chang and C.-C. Chang are with the Department of Electrical Engineering and the Department of Communications Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi, Taiwan 621, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.903346

[11]. For a full 360 requirement, special arrangements of multiple varactors with quarter-wavelength transmission lines were proposed in [4], [5] and [7]–[10]. When working on the extension of a relative phase-shift range, one problem of dramatic insertion-loss variation over the phase-shift range emerges. The insertion-loss variation is resulted from the parasitic resistance of the varactor diode. Its drawback includes the generation of the amplitude feeding error in a phased-array antenna system and the amplitude-modulation distortion in phase modulators. The work in [11] reported that a 6.2-GHz monolithic-microwave integrated-circuit (MMIC) phase shifter using a GaAs process has the measured insertion-loss variation of 1.8 dB over a 210 phase-shift range. In [8], a phase shifter using four GaAs beam-lead varactor diodes on an alumina substrate has an insertion-loss variation of 1.4 dB and return loss of 10 dB over a 360 phase-shift range at 10 GHz. In this study, an enhanced reflection-type phase shifter is proposed to have constant insertion loss over a wide phase tuning range. To increase the maximal relative phase shift for a given varactor diode with a limited capacitance range, a 3-dB impedance-transforming branch-line coupler [18] is employed. The branch-line coupler combines the reflected signals from the varactor loads and also performs the impedance transformation such that extra impedance match circuits are saved. Therefore, the circuit becomes more compact. The insertion-loss variation is minimized in this study by using an , similar to the structure in [4] and equalization resistance in [4] is valid only for [7]. However, the given formula of . For the the small parasitic resistance condition large relative phase-shift range requirement, this condition is usually violated. To remove this constraint, exact equations for the relative phase shift, insertion loss, and insertion-loss variation of the proposed phase shifter are derived in Section II, which can accurately predict the circuit performance and will be used for circuit design. In Section III, the design procedure is outlined and the measurement results are presented and compared with theoretical and simulated predictions. Finally, a conclusion is given in Section IV. II. CIRCUIT ANALYSIS Fig. 1 shows the schematic diagram of the proposed phase shifter, which includes a 3-dB impedance-transforming branch-line coupler terminated by two identical reflection and a loads. The load is composed of a shunt resistance series-resonated circuit. The varactor is connected in series to an external inductance , forming the series-resonated circuit. For the impedance-transforming branch-line coupler, it has port

0018-9480/$25.00 © 2007 IEEE

LIN et al.: DESIGN OF REFLECTION-TYPE PHASE SHIFTER WITH WIDE RELATIVE PHASE SHIFT AND CONSTANT INSERTION LOSS

1863

and

(5) From (4) and (5), the relative phase shift is changed by varying , and at the same time, the insertion the varactor reactance loss is changed as well. This resultant insertion-loss variation is undesired and should be eliminated. A. Condition for Constant Insertion Loss

Fig. 1. Schematic of the proposed phase shifter.

impedance at ports 3 and 4, and different port impedance at ports 1 and 2, where is equal to . The characteristic impedance of each branch can be obtained from [18], given as

must be kept To diminish the insertion-loss variation, constant when the reactance of series-resonated varactor is becomes independent on if changed. From (5),

(1) is equal to 1, a conventional When the impedance ratio branch-line coupler is obtained. The varactor is modeled as a voltage-controlled capacitance with a parasitic resistance and parasitic inductance, as shown in the inset of Fig. 1. To further simplify the following calculation, the parasitic resistances from the varactor and external . Moreover, the inductor are combined and represented by parasitic inductance of the varactor is counted into the external inductance . The reflection coefficient of one reflection load is then obtained as

(6) Solving (6) gives the optimal equalization resistance

as

(7) Equation (7) is reduced to that in [4] for the small parasitic resistance case . By substituting (7) into (5), the inis fixed as sertion loss (8)

(2) where is equal to . The 3-dB impedance- transforming branch-line coupler, which has a quadrature coupling coefficient, combines the reflected signals from two series-resonated varactor loads. Therefore, the scattering parameter of the proposed phase shifter is obtained as (3) where represents the extra loss of the 3-dB branch-line coupler, which resulted from the conductor and dielectric losses representing the ideal 3-dB coupler). of the substrate ( Hence, it is straightforward to derive the relative phase shift and insertion loss between input and output ports

in(8)isindependentonthevaractorreactance ,the Since is zero when the phase is theoretical insertion-loss variation . For a real varactor, the parasitic resistance tuned by changing weakly depends on the bias voltage, but the insertion-loss variation can still be minimized by from (7). B. Maximal Relative Phase Shift With Constant Insertion Loss The relative phase shift between the input and output signals under the constant insertion loss condition is obtained by substituting (7) into (4), which gives (9), shown at the bottom of this page. The relative phase-shift tuning range is obtained from the phase difference between the extreme varactor capacitances at and , i.e., (10) where

(4)

to

and . Taking the differentiation of in (10) with respect , we obtain the optimal and the corresponding maximal

(9)

1864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 2. Theoretical prediction of maximal relative phase shift with and without ; X . and R equalization resistor. Z

= 50 1

relative phase shift of this page, where range given by

= 50

=2

, shown in (11) and (12) at the bottom represents the total reactance variation

(13)

C. Effects of Impedance Ratio

Fig. 3. Theoretical prediction of insertion-loss variation with and without ; X . and R equalization resistor. Z

= 50 1

= 50

=2

III. CIRCUIT DESIGN AND MEASUREMENT RESULTS Three reflection-type phase shifters were designed at without ; 2) without 2.0 GHz, which are: 1) ; and 3) with optimal . The circuits were implemented on an FR4 substrate with the dielectric constant of 4.29, thickness of 0.4 mm, and loss tangent of 0.018. The design procedure is outlined as follows.

and Resistor

To highlight the effects of the impedance ratio and the on the maximal relative phase shift equalization resistance and the insertion-loss variation, the cases of the branch-line couand the series-resonated load with and pler with different are examined, where the series-resonated load is aswithout of 50 and sumed to have controllable reactance range parasitic resistance of 2 . The maximal relative phase shift is calculated from with respect to the port impedance ratio (12) and is plotted in Fig. 2. For the reflection load with the op, the maximal relative phase shift is 106.3 at timal (conventional branch-line coupler) and significantly increased (branch-line coupler with an impedance to 252.4 at has a slight reduction (1.8 ) ratio of 4). The use of optimal on the maximal relative phase shift. , the insertion-loss Without the equalization resistance variation is increased along with the impedance ratio . In the , case of the maximal relative phase shift of 252.4 at its associated insertion-loss variation is found up to 2.2 dB for the reflection load without the optimal , as shown in Fig. 3.

A. Design Procedure Suppose that a phase shifter at 2 GHz is required and an SMD silicon hyperabrupt-junction varactor diode is given with pF (5 V), pF (0 V), and . Step 1) Calculate the needed series inductor value by (11). nH, which results in the Here, we obtain reactance variation of the series-resonated load from to . by (7), giving Step 2) Calculate the optimal for the impedance ratio and . Step 3) Design the 3-dB impedance-transforming branch-line coupler by (1). For the circuit with and , the branch , impedances are , while for and and .

(11)

(12)

LIN et al.: DESIGN OF REFLECTION-TYPE PHASE SHIFTER WITH WIDE RELATIVE PHASE SHIFT AND CONSTANT INSERTION LOSS

Fig. 4. Designed reflection-type phase shifters. (a) r

1865

= 4. (b) r = 1.

Fig. 6. Simulated and measured performances versus control voltage at 2 GHz. (a) Relative phase shift. (b) Insertion loss. (c) Return loss. Fig. 5. Measured reflection coefficient of the series-resonated varactor at 2 GHz.

B. Reflection Coefficient of the Series-Resonated Varactor Load Step 4) A microwave circuit simulator was performed to include the layout discontinuity, via-hole, lumped inductor, and capacitor parasitic effects. The implemented circuit photographs are illustrated in Fig. 4.

The measured reflection coefficients of the series-resonated varactor load with and without the resistance are illustrated of the series-resonated load in Fig. 5. It is clearly shown that and has a constant magnitude and with

1866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I MEASURED PERFORMANCES OF THREE PHASE SHIFTERS AT 2 GHz

a 244 phase span when the control voltage varies from 0 to 5 V. This phase span is significantly increased from 100 of the . If is disconnected, the magnitude of load with considerably varies when the control voltage is close to two bias extremes. The effect of these measured reflection coefficients will reflect in the performance of the phase shifter, which will be discussed below. C. Performance Versus Varactor Control Voltage Fig. 6 shows the measured relative phase shift, insertion loss, and return loss of the phase shifter with respect to the varactor control voltage, where the simulated results of with are also plotted for comparison. For the case, the measured maximal relative phase shift is only 97 over the 0–5-V control range. However, for the case of , it achieves 240 without and 237 with . This indicates that the port impedance ratio can dramatically increase the maximal relative phase shift of has a negligible a given varactor load, while the resistor effect. In Fig. 6(a), the simulated maximal relative phase shift excellently agrees with the measured result. From (12), the theoretical prediction of the maximal relative phase shift is for without , and 244 for 98 for with . These theoretical predictions again agree very well with the measurement values. case has On the measured insertion-loss variation, the a 0.4-dB variation on top of 1.3-dB insertion loss. For the case and without , the insertion-loss variation is 2.2 dB of on top of 1.6-dB insertion loss. In contrast, the insertion-loss variation is significantly reduced within 0.1 dB for the case of and . This result reflects that has the distinct equalization effect on the insertion loss. The measured insertion-loss variations agree very well with the simulated results, as shown in Fig. 6(b), and also with the theoretical predictions in Fig. 3. For these three circuits, the return losses are all better than 20 dB. The measured input 1-dB compressed power is greater than 18 dBm. The measurement results of three circuits are and summarized in Table I. The circuit with has the least insertion-loss variation over the 237 relative phase-shift range. D. Performance Versus Frequency

Fig. 7. Simulated performance versus frequency, (a) relative phase shift, (b) insertion loss, and (c) return loss. r = 4 and R = 82 .

The frequency limitation of this phase shifter is mainly from the coupler itself. Figs. 7 and 8 show the simulated and measured frequency responses of the relative phase shift, insertion

loss, and return loss of the circuit with and . Within the 200-MHz bandwidth centered at 2 GHz, the measured maximal relative phase shift is larger than 234 , the in-

LIN et al.: DESIGN OF REFLECTION-TYPE PHASE SHIFTER WITH WIDE RELATIVE PHASE SHIFT AND CONSTANT INSERTION LOSS

1867

IV. CONCLUSION A reflection-type phase shifter, which has a wide relative phase-shift range and constant insertion loss, has been designed and demonstrated. An impedance-transforming branch-line coupler loaded with two equalized series-resonated varactors is employed in this circuit. The impedance-transforming branch-line coupler performs quadrature signal coupling and impedance transformation between the varactor loads and the input/output ports simultaneously. For a given varactor with a limited capacitance range, the relative phase-shift range can be of the branch-line increased with the port impedance ratio coupler. In a conventional reflection-type phase shifter, when the phase is tuned by varying the varactor bias voltage, the insertion loss is usually changed as well due to the varactor parasitic resistance. To eliminate this undesired variation, an is shunted to the varactor load. The equalization resistor , limited to the small varactor resistance formula of optimal condition in the prior literature, is extended in this study to the general case. The exact equations are derived for the relative phase shift, insertion loss, and insertion-loss variation in terms of the quadrature coupler and varactor parameters such as the , and port impedance ratio , varactor parasitic resistance . These equations accurately reactance variation range predict the circuit performance and can also be used for circuit design. Based on these derived equations, a design procedure with great accuracy is provided. Three phase shifters were designed and implemented using silicon hyperabrupt-junction varactor diodes with pF, pF, and . In regard to the relative phase-shift performance, the maximal relative to phase shift is dramatically improved from 97 for . For the case with port impedance ratio of 237 for 4, the measured insertion-loss variation is decreased to 0.1 dB of 82 across the series-resonated by shunting the optimal load. The bandwidth of this phase shifter is approximately 10% for the relative phase-shift range larger than 234 and the insertion-loss variation less than 0.6 dB. If a wideband quadrature coupler is utilized, the phase-shifter bandwidth can consequently be improved. The measured maximal relative phase shift and insertion-loss variation show excellent agreement with the calculation predictions, which demonstrates the accuracy of proposed design method. REFERENCES

Fig. 8. Measured performance versus frequency, (a) relative phase shift, (b) insertion loss, and (c) return loss. r = 4 and R = 82 .

sertion-loss variation is within 0.6 dB, and the return loss is better than 12 dB. These results show an excellent agreement between the measured and simulated results.

[1] G. S. Shiroma, R. Y. Miyamoto, and W. A. Shiroma, “A full-duplex dual-frequency self-steering array using phase detection and phase shifting,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 128–134, Jan. 2006. [2] M. Tsuji, T. Nishikawa, K. Wakino, and T. Kitazawa, “Bi-directionally fed phased-array antenna downsized with variable impedance phase shifter for ISM band,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 2962–2969, Jul. 2006. [3] R. V. Garver, “Broadband binary 180 diode phase modulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 1, pp. 32–38, Jan. 1965. [4] R. V. Garver, “360 varactor linear phase modulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 3, pp. 137–147, Mar. 1969. [5] B. T. Henoch and P. Tamm, “A 360 reflection-type diode phase modulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 103–105, Jan. 1971. [6] R. N. Hardin, E. J. Downey, and J. Munushian, “Electronically variable phase shifter utilizing variable capacitance diodes,” Proc. IRE, vol. 48, no. 5, pp. 944–945, May 1960.

1868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

[7] J. I. Upsur and B. D. Geller, “Low-loss 360 X -band analog phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1990, pp. 487–490. [8] T. W. Yoo, J. H. Song, and M. S. Park, “360 reflection-type analogue phase shifter implemented with a single 90 branch-line coupler,” Electron. Lett., vol. 33, no. 3, pp. 224–226, Jan. 1997. [9] Y. H. Liew, J. Joe, and M. S. Leong, “A novel 360 analog phase shifter with linear voltage phase relationship,” in IEEE Asia–Pacific Microw. Conf., Singapore, Dec. 1999, pp. 17–20. [10] S. Shin, R. V. Snyder, and E. Niver, “360-degree linear analog phase shifter design using tunable short-circuit terminated combline filters,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 303–306. [11] F. Ellinger, R. Vogt, and W. Bächtold, “Compact reflective type phase shifter MMIC for C -band using a lumped element coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 913–917, May 2001. [12] T. W. Yoo, J. H. Song, and M. S. Park, “Phase shifter with high phase shifts using defected ground structures,” Electron. Lett., vol. 41, no. 4, pp. 196–197, Feb. 2005. [13] K. O. Sun, H. J. Kim, C. C. Yen, and D. Weide, “A scalable reflection type phase shifter with large phase variation,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 647–648, Oct. 2005. [14] B. Ulriksson, “Continuous varactor-diode phase shifter with optimized frequency response,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 7, pp. 650–654, Jul. 1979. [15] S. Lucyszyn and I. D. Robertson, “Synthesis techniques for high performance octave bandwidth 180 analog phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 731–740, Mar. 1992. [16] S. Lucyszyn and I. D. Robertson, “Analog reflection topology building blocks for adaptive microwave signal processing applications,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 3, pp. 601–611, Mar. 1995. [17] C. T. Rodenbeck, S.-G. Kim, W.-H. Tu, M. R. Coutant, S. Hong, M. Li, and K. Chang, “Ultra-wideband low-cost phased-array radars,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3697–3703, Dec. 2005. [18] R. K. Gupta, S. E. Anderson, and W. J. Getsinger, “Impedance-transforming 3-dB 90 hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1303–1307, Dec. 1987.

Chien-San Lin was born in Chiayi, Taiwan, R.O.C., in 1976. He received the B.S. and M.S. degrees in electrical engineering from National Chung Cheng University, Taiwan, R.O.C., in 1996 and 2000, respectively, and is currently working toward the Ph.D. degree at National Chung Cheng University. In 2003, he joined MIPRO Electronics, Chiayi, Taiwan, R.O.C., where he is currently a Research and Development Engineer engaged in the development of professional wireless microphone systems. His research interests include RF circuit designs in wireless communication systems.

Sheng-Fuh Chang (S’83–M’92) received the B.S. and M.S. degrees in communications engineering from National Chiao-Tung University, Taiwan, R.O.C., in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from the University of Wisconsin–Madison, in 1991. He has been involved with high-power microwave and millimeter-wave sources such as free-electron lasers and Cerenkov masers with the Center for Plasma Theory and Computation, University of Wisconsin–Madison. In 1992, he joined the Hyton Technology Corporation, where he was responsible for C - and Ku-band satellite low-noise down-converter and multichannel multipoint distribution system (MMDS) transceivers. In 1994, he joined the Department of Electrical Engineering, National Chung Cheng University, Taiwan, R.O.C., where he is currently a Full Professor with the Department of Electrical Engineering and the Vice Director of the Center for Telecommunication Research. His research interests include microwave and millimeter-wave integrated circuits with CMOS, HBT, and pseudomorphic HEMT (pHEMT) technologies, multifunctional RF transceivers, smart-antenna RF systems, and high-power microwave sources. Prof. Chang is a member of Phi Tau Phi and Sigma Xi.

Chia-Chan Chang (S’99–M’04) was born in Tainan, Taiwan, R.O.C., in 1973. She received the B.S. degree in communication engineering from National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., in 1995, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of California at Davis (UCD), in 2001 and 2003, respectively. From 1995 to 1997, she was a Full-Time Teaching Assistant with the Department of Electronics Engineering, National Chiao-Tung University, Taiwan, R.O.C. Since February 2004, she has been an Assistant Professor with the Department of Electrical Engineering, National Chung-Cheng University, Chiayi, Taiwan, R.O.C. She also holds a joint-appointment with the Department of Communications Engineering. Her research currently focuses on phased antenna array technology developments, microwave/millimeter-wave circuit designs, and the application of radar systems.

Yi-Hao Shu was born in Taoyuan, Taiwan, R.O.C., in 1979. He received the M.S. degree in electrical engineering from National Chung Cheng University, Taiwan, R.O.C., in 2006. His main research interests are the RF circuit designs of analog phase shifters for both hybrid and CMOS integrated circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1869

Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for V -Band Gigabit Wireless Systems Jong-Hoon Lee, Student Member, IEEE, Stephane Pinel, Member, IEEE, Joy Laskar, Fellow, IEEE, and Manos M. Tentzeris, Senior Member, IEEE

Abstract—In this paper, a novel concept of 3-D integrated -band dual-mode cavity filters, which permit the realization of a variety of quasi-elliptic responses by creating transmission zeros, has been demonstrated using multilayer low-temperature co-fired ceramic (LTCC) technology. A single-cavity resonator is designed to generate a degenerate resonance of two orthogonal modes (TE102 and TE201 ), enabling dual-mode operation. The appearance and elimination of transmission zeros have been analyzed through the multipath coupling diagrams and lumped-element models. The quasi-elliptic dual-mode filters with the appropriate locations of the transmission zeros are then developed for receiver and transmitter channels of a -band transceiver module. Two pre-synthesized, dual-mode cavity filters are vertically stacked with two types of inter-coupling slots (1: rectangular, 2: cross) to realize the multipole filters for 60-GHz wireless local area network narrowband applications. These proposed filters are the first to be reported and have great potential to be integrated into miniaturized -band LTCC transceiver modules. Index Terms—Bandpass filter (BPF), cavity filter, dual mode, even mode, front-end module, low-temperature co-fired ceramic (LTCC), millimeter wave, odd mode, system-on-package (SOP), 3-D integration, transceiver, -band.

I. INTRODUCTION HE substantial advances in system-level packaging technology [1], [2] and the immense research effort in 60-GHz circuits design technology [3]–[9], [30], [31] have stimulated the development of 60-GHz transceiver modules for wireless personal area network (WPAN) applications over the past years. As the demand for compact and low-loss bandpass filters (BPFs) increases in 60-GHz multigigabit-per-second wireless transceiver systems, integrating on-package cavity filters using multilayer low-temperature co-fired ceramic (LTCC) technology has emerged as an attractive solution, due to their

T

Manuscript received September 30, 2006; revised April 19, 2007. This work was supported by the Asahi Glass Company, by the Georgia Electronic Design Center, by the National Science Foundation (NSF) under NSF CAREER Award ECS-9984761, and by the NSF under Grant ECS-0313951. The authors are with Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]; joy.laskar@ece. gatech.edu; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904328

relatively high quality factor and power-handling capability compared to planar filter structures, and less interference from other circuits integrated in the package [5], [6], [31]. To achieve optimum frequency selectivity with a compact size and reduced weight, dual-mode dielectric rectangular [10]–[17] and circular waveguide filters [18]–[21] have been proposed, and their basic features are well understood. However, the proposed dual-mode techniques not only impose a very heavy numerical burden to the modal characterization of waveguides because of the large number of evanescent modes, but are also not applicable to LTCC multilayer processes because of the fabrication limitations against a solid metal wall. In the 60-GHz band, the authors previously developed the single-mode cavity resonators [31] and three-pole BPFs [6], [31] by adopting the vertically deployment of three single-mode cavity resonators. However, these single-mode devices could not satisfy optimum frequency selectivity with a compact size and reduced weight. A dual-mode, stripline BPF, which is integrated into the LTCC system-in-packaging system, has been presented [22] at 60 GHz, but it requires complicated transitions using air cavities and staggered via structures, resulting in a relatively high insertion loss. In this paper, the authors expand previous work to a new class of 3-D -band dual-mode cavity filters and vertically stacked multipole filters using LTCC technologies, which enable a variety of quasi-elliptic responses by controlling the locations of transmission zeros. In Section II, a dual-mode single cavity filter is developed for receiver ( ) and transmitter ( ) channels through the offset of feeding structures and a cross coupling by source-to-load distance. In order to provide the additional design guideline for the multipole cavity filters, the vertically stacked arrangement of two dual-mode cavities is reported for the first time ever in Section III. The pre-synthesized dual-mode single cavity filters are stacked with two different coupling slots (rectangular and cross) between the two cavities. The feasibility of realizing a multipole filter has been validated with the experimental data. II. DUAL-MODE CAVITY FILTERS A. Single Dual-Mode Cavity Resonator The square-shaped cavity resonator is first designed at a center frequency of 63 GHz to exhibit a degenerated resonance

0018-9480/$25.00 © 2007 IEEE

1870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

of two orthogonal modes ( and ) characteristic of the dual-mode operation. LTCC multilayer substrates have been used for the fabrication, and its properties are as follows. is 0.0017, The relative permittivity is 7.1, loss tangent the dielectric layer thickness is 53 m per layer for a total of five layers, the metal thickness is 9 m, and the resistivity of the m. Fig. 1(a) and (b) shows metal (silver trace) is 2.7 10 the 3-D overview and the top view of the proposed structure, respectively. The dual-mode cavity resonator consists of one cavity occupying two substrate layers – , the input/output , and the two coupling slots (I/O) microstrip feedlines on etched on the top ground plane of the cavity. The microstrip lines are terminated with a physical short circuit realized by ) to maximize the magnetic a metallic via (throughout coupling through the slots. In order to determine the effective and width in Fig. 1(b) of the cavity resonator length and , both providing two orthogonal modes of modes are constrained to resonate at the same frequency using the conventional resonant frequency equation of the rectangular waveguide cavity [15], [23]. The final dimensions of the cavity resonator using via fences as vertical walls are determined 0.106 mm in Ansoft’s full-wave High to be 2.06 2.06 Frequency Structure Simulator (HFSS) first to resonate at 63 GHz. The size and spacing of the via posts are properly chosen according to the LTCC design rules such as a minimum value of center-to-center vias spacing in Fig. 1(b) of 390 m and a minimum value of via diameter in Fig. 1(b) of 145 m. B. Internal Coupling The centerline offset in Fig. 1(b) between the feeding structure and cavity position is one of the major factors in realization of the dual-mode operation and controlling the mutual internal coupling of the modes; hence, providing transmission zeros at the desired positions for a high selectivity. When the mm), I/O slots are centered at the cavity interface ( mode is excited so that the transmission zeros only the do not exist. However, when a transverse offset is applied to the position of the I/O feeding structure, the additional mode is excited. This mode degeneration can be used to realize dual-mode filters. The basis modes are defined as even and odd modes, respectively [24] (by vectorial addition and subtraction and modes) and the magnetic vectors of these of modes calculated using HFSS simulation software are displayed in Fig. 2. These resonant frequencies ( : even mode, : odd mode) are associated to the inter-coupling coefficient according to the definition of the ratio of the coupled energy to the stored energy of an uncoupled single resonator [25]. The value of ( ) can be derived from a symmetric structure by placing a prefect electric conductor (a perfect magnetic conductor) on the plane of the symmetry. Fig. 3 displays the internal coupling coefficient as a function of the variation of the centerline offset . C. External Coupling The I/O external slots on the top ground plane of the cavity are designed for the magnetic excitation of the cavity from the 50- microstrip lines. The accurate design of the external coupling slots that is directly related to the external quality factor is a key issue to achieve a high- cavity resonator. The

Fig. 1. (a) 3-D overview. (b) Top view of a quasi-elliptic dual-mode singlecavity filter from [30].

corresponds to the resistance and the reactance and can be controlled by the position and size of the coupling slots. In , the external order to investigate how the slot size affects slots are initially placed at a quarter of the cavity length from the (front and back) edge of the cavity, and the slot length is ). The issues related varied with the fixed slot width ( in Fig. 1) will be to the distance between external slots ( discussed in detail in Section II-D. Both the single-mode case mm) and dual-mode case ( mm) were tested. ( can be determined by the relation In the single-mode case, [25] between the resonant frequency and the frequencies where -parameter is exhibited. Howa 90 phase response in the ever, in the dual-mode case, the external coupling factor is directly related to the internal coupling coefficient according to the analytical equation [26] (1) where is the coupling coefficient of the dual-mode resonator with an external circuit and is the coupling coefficient of the dual-mode resonator without an external circuit. Fig. 4 shows the relationship between the length variation of the external slots and from the simulation when the feeding structure is placed 0.6 mm away from the center of the

LEE et al.: DESIGN AND DEVELOPMENT OF ADVANCED CAVITY-BASED DUAL-MODE FILTERS USING LTCC TECHNOLOGY

Fig. 4. External quality factor length E .

Q

1871

evaluated as a function of external slot

Fig. 2. (a) Magnetic vector of the odd mode. (b) Magnetic vector of the even mode.

Fig. 5. (a) Equivalent-circuit model of the quasi-elliptic dual-mode cavity filter. (b) Multicoupling diagram for the quasi-elliptic dual-mode cavity filter.

D. Transmission Zeros

Fig. 3. Internal coupling coefficient k C of the feeding structures.

as a function of the centerline offset

cavity ( mm). A larger results in smaller which is interpreted as a stronger external coupling.

,

Here, the dual-mode filter realization with transmission zeros for high selectivity will be discussed. The equivalent-circuit model of the proposed dual-mode cavity filter is shown in Fig. 5(a). The filter consists of major four sections, i.e.: 1) a resonators that represent each of the degenerate dual pair of modes in the cavity resonator; 2) mutual internal electric coubetween a pair of parallel resonators; 3) external pling magnetic coupling from each of the I/O external slots; and 4) magnetic cross coupling , representing the parasitic source to load coupling associated with the perturbed electric fields in the cavity [32]. A pair of transmission zeros at the upper and lower sides of the passband can be created when

1872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I TOTAL PHASE SHIFTS FOR TWO DIFFERENT PATHS IN THE DUAL-MODE CAVITY FILTER

has a 180 phase difference with respect to with similar magnitudes. This sign reversal is attributed to a destructive interference between two modes, therefore, resulting in the construction of transmission zeros at two frequencies. The fundamental cross-coupling technique is well explained in [27] by using multipath coupling diagrams to illustrate the relative phase shifts of multiple single paths. In [27], Thomas phase shift of each lumped element in adopted the the equivalent circuits of a resonator and calculated the total phase shift at the input (or output) of the resonator to predict the behavior of transmission zeros. Since transmission zeros appear away from the passband, the off-resonance behavior of each lumped component is of concern. Based on Thomas’s theory, the equivalent circuit for a dual-mode cavity filter can be represented by a multipath diagram as described in Fig. 5(b). The shunt capacitor/inductor pairs of the equivalent circuit represents the have been replaced by the black circles, and mutual electric coupling between two modes. The phase shift of each lumped element is used to calculate the total phase shift at the input or output of the filter for the different signal paths. In the case of the dual-mode single cavity filter, there are two possible signal paths, i.e.: 1) path1: Port1-1–2–Port2 and 2) path2: Port1–Port2. Both paths share the common input (Port1) and output (Port2). The total phase shifts for two signal paths in the dual-mode cavity are summarized in Table I. The total phase shift for path 1 is 90 both below and above resonance. The total phase shift for path 2 only accounts for the between Port1 and Port2, hence, cross magnetic coupling being 90 . Therefore, two paths are out of phase both below and above resonance, meaning that destructive interferences creating transmission zeros occur both below and above the passband. The locations of the upper and lower stopband transmission zeros for the filter can be controlled by adjusting the values of and through varying the centerline offset and distance between the I/O external slots, respectively. Shown in Fig. 6 are the simulated responses of a dual-mode filter as a function of the parameter . When the feeding strucmm), only the ture is placed at the center of the cavity ( mode is excited, producing no transmission zeros. As increases, the level of internal electric coupling influences the upper transmission zeros more than the lower transmission upon the upper zeros because of the asymmetrical effect of and lower poles [28]. The centerline offset affects the performance of the 3-dB bandwidth and center frequency as well. It is observed that the maximum 3-dB bandwidth is obtained at the offset of 0.2 mm with the maximum coupling between

Fig. 6. Simulated S 21-parameter response of a dual-mode filter as a function of the centerline offset C of the feeding structures.

dual modes. A further increase of the offset results in a narrower bandwidth because the level of coupling for and changes. The downward shifting of the center frequency could be caused by the difference between the mean frequency ) and the original resonant frequency of the cavity ( resonator. External coupling can also be attributed to the center frequency shift because of its additional reactance effect from the feeding structures. The transmission characteristic of the filter is investigated by varying the distance with with respect to the values of mm, as shown in Fig. 7. a fixed centerline offset, decreases by increasing , the lower transmission zero As shifts away from the center frequency, while the higher transmission zero moves toward the center frequency. Cross coupling causes the asymmetrical shift of both transmission zeros due to the same reason mentioned in the case of , influencing the lower transmission zero more than the higher one. Our equivalent-circuit models are justified to validate the coupling mechanisms through the design of a transmitter filter in Section II-E. E. Quasi-Elliptic Dual-Mode Cavity Filter Two dual-mode cavity filters exhibiting a quasi-elliptical response are developed as the next step for a 3-D integrated -band transceiver front-end module. The frequency range of interest is divided into two channels where the lower channel is allocated for a receiver ( ), and the higher channel is allocated for a transmitter ( ). To suppress the interference between

LEE et al.: DESIGN AND DEVELOPMENT OF ADVANCED CAVITY-BASED DUAL-MODE FILTERS USING LTCC TECHNOLOGY

S

1873

Fig. 7. Simulated 21-parameter response of a dual-mode filter as a function of the source-to-load distance .

D

Fig. 8. Fabricated input/output microstrip feedlines with an open stub and CPW probe pads utilized to excite the embedded the cavity resonator.

the two channels as much as possible, the upper stopband channel is placed closer to the transmission zero of the center frequency of the passband than the lower stopband zero. filter, the lower zero is located closer to the In the case of a center frequency of the passband than the upper zero. filter was designed and validated with experiFirst, a mental data in our previous study [31]. All of the fabricated resonators were measured using the Agilent 8510C Network Analyzer and Cascade Microtech probe station with 250- m pitch air coplanar probes, and a photograph of a device is shown in Fig. 8. A line-reflect-reflect-match (LRRM) method [29] was employed for calibration. In the measurement, the reference planes are decided to be at the end of the probing pads, and the capacitance and inductance effects of the probing pads are deembedded by use of “Wincal” software so that effects, such as those due to the coplanar waveguide (CPW) loading, become 2.76 dB, negligible. The filter exhibits an insertion loss of center frequency of 61.6 GHz, and 3-dB bandwidth of approximately 4.13% ( 2.5 GHz). The upper and lower transmission zeros are observed to be within 3.4 and 6.4 GHz away from the center frequency, respectively. filter using a dual-mode cavity resonator is then A designed for a center frequency of 63.4 GHz, fractional 3 dB, and 25-dB 3-dB bandwidth of 2%, insertion loss of rejection bandwidth on the lower side of the passband of 2 GHz. To obtain a center frequency of 63.4 GHz, the size of the via-based cavity is adjusted and determined to

S

Fig. 9. (a) Simulated -parameters of the dual-mode cavity filter using equivalent-circuit model in Fig. 6(a). (b) Measured and simulated -parameters of the dual-mode cavity filter for a Tx channel.

S

be 2.04 2.06 0.106 ( in Fig. 1) mm . The corresponding lumped-element values in the equivalent-circuit filter are evaluated, and their model [see Fig. 5(a)] of a nH, nH, pF, values are pF, and nH. Fig. 9(a) shows the ideal response from the circuit model, exhibiting two transmission zeros at 61.6 and 68.7 GHz. The measured insertion and reflection losses of the fabricated filter are compared to the full-wave simulation results in filter exhibits an insertion loss of Fig. 9(b). The fabricated 2.43 dB, which is slightly higher than the simulated (2.0 dB). The main source of this discrepancy might be caused by the skin and edge effects of the metal traces since the simulations assume a perfect definition of metal strips with finite thickness. The center frequency is measured to be 63.4 GHz. The upper and lower transmission zeros are observed to be within 6.5 and 3.2 GHz away from the center frequency, respectively. Those can be compared to the simulated values that exhibit the

1874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE II DESIGN PARAMETERS OF QUASI--ELLIPTIC DUAL-MODE CAVITY FILTERS

Fig. 10. (a) 3-D overview. (b) Top view of a vertically stacked multipole dual-mode cavity filter. (c) Inter-coupling rectangular slot. (d) Inter-coupling cross slot.

upper and lower transmission zeros within less than 5.3 and 2.3 GHz away from the center frequency. The discrepancy of the zero positions between the measurement and the simulation can be attributed to the fabrication tolerance. The misalignment between the substrate layers in the LTCC process might also cause an undesired offset of the feeding structure position. This could be another major contribution to a transmission zero shift. These fabrication tolerances also result in the bandwidth differences. The filter exhibits a 3-dB measured bandwidth of 4.02% ( 2.5 GHz) compared to the simulated one of 2% ( 1.3 GHz). All of the final layout dimensions optimized using Ansoft’s HFSS are summarized in Table II. III. MULTIPOLE DUAL-MODE CAVITY FILTERS In order to provide the additional design guidelines for generic multipole cavity filters, the authors proceed with a

Fig. 11. Multicoupling diagram for the vertically stacked multipole dual-mode cavity filter with rectangular slot for inter-coupling between two cavities.

vertically stacked arrangement of two dual-mode cavities. The pre-synthesized dual-mode cavities are stacked with a coupling slot in order to demonstrate the feasibility of realizing a multipole filter by using the dual-mode cavity filters investigated

LEE et al.: DESIGN AND DEVELOPMENT OF ADVANCED CAVITY-BASED DUAL-MODE FILTERS USING LTCC TECHNOLOGY

1875

TABLE III TOTAL PHASE SHIFTS FOR THREE DIFFERENT SIGNAL PATHS IN THE VERTICALLY STACKED DUAL-MODE CAVITY FILTER WITH A RECTANGULAR SLOT

in Section II. Two well-known types of slots (rectangular and cross-shaped) are considered as the inter-coupling structure in this study. Ansoft’s HFSS was employed to optimize the size and position of the inter-coupling slots for the desired frequency response. The 3-D overview, top view, inter-coupling rectangular slot, and inter-coupling cross slot of the proposed cavity filter are illustrated in Fig. 10(a)–(d), respectively. The top five substrate layers (microstrip line: , Cavity1: – , – ) are occupied by the filter. Two identical Cavity2: dual-mode cavity resonators (Cavity1 and Cavity2) are vertically stacked and coupled through an inter-coupling slot to achieve the desired frequency response with high selectivity, as well as a high level of compactness. A. Quasi-Elliptic Filter With a Rectangular Slot The multipath diagram of a vertically stacked dual-mode filter with a rectangular slot is illustrated in Fig. 11. The black circles denoted by 1 and 2 are the degenerate resonant modes in the top dual-mode cavity while the one denoted by 3 represents the excited resonant mode in the bottom cavity. The coupling is realized through the electrical coupling and controlled by the offsets of the I/O feeding structures. The inter-couplings and are also determined by the sizes and positions of the inter-coupling slots and dominated by the magnetic couis different from since pling. It is worth noting that the magnitude of the magnetic dipole moment of each mode in a coupling slot is different to each other due to the nature of a rectangular slot. Since the rectangular slot is parallel to the horizontal direction, the modes polarized to the horizontal direction are more strongly coupled through the slot than the other modes that are polarized in the vertical direction. However, by adjusting the offset, the authors attempted to obtain the approand to realize the desired filter priate coupling level of (the magnetic coupling parameter) is used to imresponse. plement the cross coupling between Port1 and Port2. The phase shifts for three possible signal paths are summarized in Table III. The filter with three modes can generate two transmission zeros below resonance and an additional zero above resonance. The three-pole quasi-elliptic filters were designed to meet the following specifications:

Fig. 12. Measured and simulated S -parameters of the quasi-elliptic dual-mode cavity filter with a rectangular slot for inter-coupling between cavities.

1) 2) 3) 4)

center frequency: 66 GHz; 3-dB fractional bandwidth: 2.6 ; insertion loss: 3 dB; 15-dB rejection bandwidth using triple transmission zeros (two on the lower side and one on the upper side): 3 GHz. A study of the dual-mode coupling in each cavity on the basis of the initial determination of the cavity size resonating at a desired center frequency (66 GHz) is performed first. The final configuration of the three-pole dual-band filter can then be obtained through the optimization of the inter-coupling slot size and offsets via simulation. The determined design parameters were not sufficient to provide three notches on the simulated return loss because of the relatively weak inter-couplings, as shown in Fig. 12. All of the design parameters for the filters are summarized in Table IV. Fig. 12 shows the measured performance of the designed filters with a rectangular slot along with a comparison to the simulated results. It can be observed that the measured results with a rectangular slot produce a center frequency of 66.2 GHz with

1876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE IV DESIGN PARAMETERS OF MULTIPOLE DUAL-MODE CAVITY FILTERS WITH TWO TYPES OF INTER-COUPLING SLOTS

TABLE V TOTAL PHASE SHIFTS FOR THREE DIFFERENT SIGNAL PATHS IN THE VERTICALLY STACKED DUAL-MODE CAVITY FILTER WITH A CROSS SLOT

the bandwidth of 1.2 GHz ( 1.81 ), and the minimum insertion loss in the passband around 2.9 dB. The simulation showed a minimum insertion loss of 2.5 dB with a slightly wider 3-dB bandwidth of 1.7 GHz ( 2.58 ) around the center frequency of 65.8 GHz. The center frequency shift is caused by an shrinkage of 3 . The two measured transmission zeros with a rejection better than 34 and 37 dB are observed within 1.55 and 2.1 GHz, respectively, away from the center frequency at the lower band than the passband. One transmission zero is observed within 1.7 GHz at the higher band than the passband. This type of filter can be used to generate the sharp skirt at the low side to reject local oscillator and image signals, as well the extra transmission zero in the high skirt that can be utilized to suppress the harmonic frequencies according to the desired design specification. B. Quasi-Elliptic Filter With a Cross Slot The cross slot is applied as an alternative inter-coupling slot between the two vertically stacked cavities. The multipaths diagram for the filter and the phase shifts for the possible signal paths are described in Fig. 13 and Table V, accordingly. Each cavity supports two orthogonal dual modes (1 and 2 in the top

Fig. 13. Multicoupling diagram for the vertically stacked multipole dual-mode cavity filter with cross slot for inter-coupling between two cavities.

cavity, 3 and 4 in the bottom cavity) since the cross slot structure excites both degenerate modes in the bottom cavity by allowing the coupling between the modes that have the same polarizations. The coupling level can be adjusted by varying the size and and position of the cross slots. The couplings of are realized by electrical coupling, while the inter-couplings of and are realized by magnetic coupling. The total phase

LEE et al.: DESIGN AND DEVELOPMENT OF ADVANCED CAVITY-BASED DUAL-MODE FILTERS USING LTCC TECHNOLOGY

1877

REFERENCES

Fig. 14. Measured and simulated S -parameters of the quasi-elliptic dual-mode cavity filter with a rectangular slot for inter-coupling between cavities.

shifts of the four signal paths of the proposed structure prove that they generate one zero above resonance and one below resonance. The quasi-elliptic filters were designed for a sharp selectivity, and the simulation achieved the following specifications: 1) center frequency: 63 GHz; 2) 3-dB fractional bandwidth: 2 ; 3) insertion loss: 3 dB 4) 40-dB rejection bandwidth using two transmission zeros (one on the lower side and one on the upper side): 4 GHz. The filter was fabricated using LTCC substrate layers, and Fig. 14 shows the measured results compared to those of the simulated design. The fabricated filter exhibits a center frequency of 63.5 GHz, an insertion loss of approximately 2.97 dB, a 3-dB bandwidth of approximately 1.55 GHz ( 2.4 ), and 40-dB rejection bandwidth of 3.55 GHz. IV. CONCLUSION In this study, 3-D quasi-elliptic dual-mode single cavity filters and vertically stacked multipole cavity filters have been successfully developed using LTCC technology at 60 GHz. For the first time, the cavity-based dual-mode filters were experimentally validated at -band frequencies with excellent performance in terms of low insertion loss and high stopband rejection. The and quasi-elliptic dual mode filters are developed for channels for a -band transceiver module and exhibit very satisfactory characteristics with proper locations of transmission zeros. The pre-synthesized dual-mode cavities are stacked in order to demonstrate the feasibility of realizing multipole filters. The cross slot takes advantage of providing a high selectivity by exciting two degenerate modes in the bottom cavity, but has some disadvantages of numerical and fabrication burden due to the design complexity compared to a rectangular slot. The proposed devices will be used as a complete passive front-end solution to be integrated into miniaturized -band LTCC transceiver modules for -band WPAN gigabit wireless communications.

[1] M. M. Tentzeris, J. Laksar, J. Papapolymerou, S. Pinel, V. Palazzari, R. Li, G. DeJean, N. Papageorgiou, D. Thomson, R. Bairavasubramania, S. Sarkar, and J.-H. Lee, “3D integrated RF and millimeter-wave functions and modules using liquid crystal polymer (LCP) system-on-package technology,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 332–340, May 2005. [2] R. R. Tummala, M. Swaminathan, M. M. Tentzeris, J. Laskar, G.-K. Chang, S. Sitaraman, D. Keezer, D. Guidotti, Z. Huang, K. Lim, L. Wan, S. K. Bhattacharya, V. Sundaram, F. Liu, and P. M. Raj, “The SOP for miniaturized, mixed-signal computing, communication and consumer systems for the next decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 250–267, May 2004. [3] C. H. Doan, S. Emami, D. A. Sobel, A. M. Niknejad, and R. W. Brodersen, “Design considerations for 60 GHz CMOS radios,” IEEE Commun. Mag., vol. 42, no. 12, pp. 132–140, Dec. 2004. [4] K. Kornegay, “60 GHz radio design challenges,” in GaAs IC Symp. Dig., San Diego, CA, Nov. 2003, pp. 89–92. [5] J.-H. Lee, G. DeJean, S. Sarkar, S. Pinel, K. Lim, J. Papapolymerou, J. Laskar, and M. M. Laskar, “Highly integrated millimeter-wave passive components using 3-D LTCC system-on-package (SOP) technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2220–2229, Jun. 2005. [6] J.-H. Lee, N. Kidera, G. DeJean, S. Pinel, J. Laskar, and M. M. Tentzeris, “A V -band front-end with 3-D integrated cavity filters/duplexers and antenna in LTCC technologies,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 2925–2236, Jul. 2006. [7] Y. C. Lee, W.-I. Chang, Y. H. Cho, and C. S. Park, “A very compact 60 GHz transmitter integrating GaAs MMICs on LTCC passive circuits for wireless terminals applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Oct. 2004, pp. 313–316. [8] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, K. Ikeda, and N. Takahashi, “1.25 Gbps wireless gigabit Ethernet link at 60 GHz-band,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 373–376. [9] J. Mizoe, S. Amano, T. Kuwabara, T. Kaneko, K. Wada, A. Kato, K. Sato, and M. Fujise, “Miniature 60 GHz transmitter/receiver modules on AIN multi-layer high temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 475–478. [10] H.-C. Chang and K. A. Zaki, “Evanescent-mode coupling of dual-mode rectangular waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1307–1312, Aug. 1991. [11] K. Sano and M. Miyashita, “Application of the planar I/O terminal to dual-mode dielectric-waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2491–2495, Dec. 2000. [12] A. I. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [13] A. I. Atia and A. E. Wu, “Nonminimum-phase optimum-amplitude bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 4, pp. 425–431, Apr. 1974. [14] D. Deslandes and K. Wu, “Substrate integrated waveguide dual-mode filters for broadband wireless systems,” in Radio Wireless Conf., Boston, MA, Aug. 2003, pp. 385–388. [15] M. Guglielmi, P. Jarry, E. Kerherve, O. Roquerbrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1764–1769, Oct. 2001. [16] P. Savi, D. Trinchero, R. Tascone, and R. Orta, “A new approach to the design of dual-mode rectangular waveguide filters with distributed coupling,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 2, pp. 221–228, Feb. 1997. [17] J.-F. Liang, X.-P. Liang, K. A. Zaki, and A. E. Atia, “Dual-mode dielectric or air-filled rectangular waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1330–1336, Jul. 1994. [18] A. E. Williams and A. E. Atia, “Dual-mode canonical waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1021–1026, Dec. 1977. [19] C. Kdsia, R. Cameron, and W.-C. Tang, “Innovations in microwave filters and multiplexing networks for communications satellite systems,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 6, pp. 1133–1149, Jun. 1992. [20] L. Accatino, G. Bertin, and M. Mongiardo, “Elliptical cavity resonators for dual-mode narrowband filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2393–2401, Dec. 1997. [21] A. E. Williams, “A four-cavity elliptic waveguide filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1109–1114, Dec. 1970.

1878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

[22] Y. C. Lee and C. S. Park, “A 60 GHz stripline BPF for LTCC system-inpackage applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1413–1416. [23] R. E. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [24] I. Awai, A. C. Kundu, and T. Yamashita, “Equivalent-circuit representation and explanation of attenuation poles of a dual-mode dielectric-resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2159–2163, Dec. 1998. [25] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [26] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, May 1989. [27] J. B. Thomas, “Cross-coupling in coaxial cavity filters—A tutorial overview,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1368–1376, Apr. 2003. [28] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. [29] F. Purroy and L. Pradell, “New theoretical analysis of the LRRM calibration technique for vector network analyzers,” IEEE Trans. Instrum. Meas., vol. 50, no. 5, pp. 1307–1314, Oct. 2001. [30] J.-H. Lee, N. Kidera, S. Pinel, J. Laskar, and M. M. Tentzeris, “A compact quasi-elliptic dual-mode cavity filter using LTCC technology for V -band WLAN gigabit wireless systems,” in Proc. 36th Eur. Microw. Conf., Manchester, U.K., 2006, pp. 1377–1379. [31] J.-H. Lee, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Low-loss LTCC cavity filters using system-on-package technology at 60 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 231–244, Dec. 2005. [32] K. A. Zaki, C. Chen, and A. E. Atia, “A circuit model of probes in dual-mode cavities,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1740–1746, Dec. 1988. Jong-Hoon Lee (S’98) received the B.S. degree in electrical engineering from Pennsylvania State University, University Park, in 2001, the M.S. degree from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. He is a member of the ATHENA Research Group, Georgia Institute of Technology, National Science Foundation (NSF) Packaging Research Center, and the Georgia Electronic Design Center, Atlanta, GA. He has authored or coauthored over 32 papers in referred journals and conference proceedings and one book chapter and is currently in the process of authoring two book chapters. His research interests are packaging technology for microwave/millimeter-wave systems, passive/active circuits for RF/wireless systems and digital signal processing (DSP)-based predictor to improve the computational efficiency of the simulation. He is currently researching the development of LTCC system-on-package (SOP) modules for millimeter-wave wireless systems and the high-frequency material characterization for millimeter-wave 3-D modules.

Stéphane Pinel (M’05) was born in Toulouse, France, in 1974. He received the B.S. degree from Paul Sabatier University, Toulouse, France, in 1997, and the Ph.D. degree in microelectronics and microsystems (with highest honors) from the Laboratoire d’Analyze et d’Architecture des Systemes, Centre National de la Recherche Scientifique, Toulouse, France, in 2000. For three years, he has been involved with an Ultra Thin Chip Stacking (UTCS) European Project. He is currently a Research Engineer with the Microwaves Applications Group, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 110 journals and proceeding papers, two book chapters, and numerous invited talks. He holds four patents/invention disclosures. His research interests include advanced 3-D integration and packaging technologies, RF and millimeter-waves embedded passives (filters, antenna arrays) design using organic (liquid crystal polymer) and ceramic materials (LTCC),

RF microelectromechanical systems (MEMS) and micromachining techniques, system-on-package (SOP) for RF and millimeter-wave front-end modules, silicon-on-insulator (SOI), CMOS, and SiGe RF and millimeter-waves circuit design. He also currently leads research efforts concerning the development of multigigabit wireless radio. Dr. Pinel has participated and organized numerous workshops at international conferences such the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was the recipient of the First Prize Award presented at the 1998 Society of Electronic and Electrotechnique (SEE), the Second Prize Award presented by the 1999 International Microelectronics and Packaging Society (IMAPS), and the Best Paper Award presented at the 2002 International Conference on Microwave and MillimeterWave Technology, Beijing, China.

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree in computer engineering with math/physics minors (with highest honors) from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. With the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group. He is also the Director of the Electronic Design Center, Georgia Institute of Technology, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. He heads a research group of 25 members with a focus on integration of high-frequency mixed-signal electronics for next-generation wireless and wired systems. He has authored or coauthored over 200 papers, several book chapters (including three textbooks in development), and numerous invited talks. He has over 20 patents pending. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced wireless local area network (WLAN) integrated circuit (IC) company RF Solutions, which is now part of Anadigics (Nasdaq: Anad). In 2001, he cofounded the next-generation analog CMOS IC company Quellan, which develops collaborative signal-processing solutions for the enterprise, video, storage, and wireless markets. Prof. Laskar was an IEEE Distinguished Microwave Lecturer for the 2004–2006 term for his “Recent Advances in High Performance Communication Modules and Circuits” seminar. He was the recipient of the 1995 Army Research Office Young Investigator Award, the recipient of the 1996 National Science Foundation (NSF) CAREER Award, the NSF Packaging Research Center Faculty of the Year 1997, the corecipient of the 1999 IEEE Rappaport Award (Best IEEE Electron Devices Society journal Paper), the faculty advisor for the 2000 IEEE MTT-S IMS Best Student Paper Award, the 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the year, the recipient of the 2002 IBM Faculty Award, the recipient of the 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and the recipient of the 2003 Outstanding Young Engineer of the IEEE MTT-S.

Manos M. Tentzeris (SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 170 papers in refereed journals and conference proceedings, eight book chapters, and is currently authoring two books. He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications, microwave MEMS, SOP-integrated antennas and adaptive numerical electromagnetics (finite difference time domain (FDTD), multiresolution algorithms) and heads the ATHENA Research Group (15 researchers). He is the Georgia Institute of Technology National Science Foundation (NSF) Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the

LEE et al.: DESIGN AND DEVELOPMENT OF ADVANCED CAVITY-BASED DUAL-MODE FILTERS USING LTCC TECHNOLOGY

leader of the Novel Integration Techniques Subthrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany, where he introduced a course in the area of high-frequency packaging. He has given more than 40 invited talks in the same area to various universities and companies in Europe, Asia, and the U.S. Dr. Tentzeris is a member of URSI Commission D, an associate member of EuMA, and a member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA, and he is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He has organized various sessions and workshops on RF/Wireless Packaging and Integration in IEEE ECTC, IMS, and AP-S Symposia, for all of which he is a member of the Technical Program Committee in the area of components and RF. He was the recipient of the 2003 National Aeronautics and Space Admin-

1879

istration (NASA) Godfrey “Art” Anzic Collaborative Distinguished Publication Award for his activities in the area of finite-ground low-loss low-crosstalk CPWs, the 2003 IBC International Educator of the Year Award, the 2003 IEEE CPMT Outstanding Young Engineer Award for his work on 3-D multilayer integrated RF modules, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China) for his work on compact/SOP-integrated RF components for low-cost high-performance wireless front-ends, the 2002 Georgia Institute of Technology Electrical and Computer Engineering Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award for his work on the development of multiresoluation time-domain (MRTD) technique that allows for the system-level simulation of RF integrated modules, and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society for the development of design rules for low-crosstalk finite-ground embedded transmission lines.

1880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices Carmen Bachiller, Héctor Esteban González, Member, IEEE, Vicente Enrique Boria Esbert, Senior Member, IEEE, Ángel Belenguer Martínez, and José Vicente Morro

Abstract—There are several practical applications in microwave engineering that require the cascade connection of multiple twoport scattering matrices. Many microwave devices are analyzed by segmenting the structure into small building blocks (steps, resonators, lines, etc.) that are characterized by means of the generalized scattering matrix. In order to obtain the reflection and transmission parameters of the entire structure, the scattering matrices of all the building blocks must be cascaded. Traditionally, the conor matrices has version of the scattering matrices to been used in order to perform the cascade connection. An alternative to this procedure is to perform a recursive connection by pairs of the scattering matrices. In this paper, we present a new monomodal or technique for the efficient cascade connection of multimodal scattering matrices that reduces the computation time by 35% when compared to the cascading by pairs, and by 75% when compared with the use of matrices. Index Terms—Cascade circuits and systems, filters, microwave devices, scattering matrices.

I. INTRODUCTION

M

OST two-port high-frequency networks are characterized in terms of scattering parameters, which have been arranged in matrix form and relate transmitted and reflected waves in the input and output ports [1]. Therefore, the characterization of high-frequency systems or components formed by networks connected in cascade requires the connection of two-port scattering matrices in order to obtain the overall scattering parameters, e.g., the modeling of communication systems formed by subsystems usually characterized by monomodal scattering matrices. On the other hand, the electromagnetic characterization of complex microwave devices requires the cascaded connection of generalized scattering matrices (GSMs). The structure of the microwave device is often divided into simple building blocks (steps, resonators, lines, etc.), and the circuit parameters of each building block are obtained using a suitable electromagnetic

Manuscript received May 11, 2007; revised June 22, 2007. This work was supported by the Autonomous Government of Valencia, Spain, under Project GVA06/079, and by the Ministerio de Educación y Ciencia, Spanish Government, under Project TEC 2004/04313-C02-01. C. Bachiller, H. E. González, V. E. Boria Esbert, and J. V. Morro are with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, 46021 Valencia, Spain (e-mail: [email protected]; [email protected]). Á. Belenguer Martínez is with the Departamento de Ingenier´ia Eléctrica, Electrónica, Automática y Comunicaciones, Universidad de Castilla–La Mancha, 16071 Cuenca, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904076

analysis method. The most common choice for the circuit parameters is the GSM, where the reflection and transmission parameters are matrix blocks that take into account all the couplings between the guided modes that have been considered for the analysis. Once the GSMs of all the building blocks have been obtained, the global reflection and transmission parameters of the whole structure must be computed. This requires the cascade connection of the GSMs of all the building blocks, and this process must be typically repeated for each frequency point that we want to analyze. Since the microwave device is divided into very simple building blocks, usually the GSM of each block can be computed using very efficient methods such as mode-matching [2], boundary-element [3], or integral-equation methods [4]. As a consequence, the time required to cascade the GSMs cannot be neglected when compared with the time required by the electromagnetic simulators to compute the GSMs, as shown in Section V. Therefore, an improvement in the efficiency of the method used to cascade the matrices results in most cases in an appreciable reduction of the time required to obtain the reflection and transmission parameters of the whole microwave device. Current methods for the analysis of high-frequency networks or maare the conversion of the scattering matrices to trices [5], the use of methods based on signal flow analysis and numerical simulations [6], [7], or the recursive connection by pairs of the scattering matrices [8]. All these techniques either fail to cascade multimodal scattering matrices due to the inversion of an ill-conditioned matrix, and/or their efficiency can still be improved. Another alternative is to use impedance matrices instead of scattering matrices [9]. A very efficient technique for cascading impedance matrices is presented in [10]. However, this technique is only suitable when all the networks are characterized with impedance matrices since the conversion from scattering to impedance matrices would significantly decrease the efficiency. In this paper, we present a new technique for the efficient monomodal or multimodal two-port cascade connection of scattering matrices. This new method improves the efficiency of currently existing methods. In Sections II and III, classical techniques currently used are briefly recalled in order to study their computational burden, whereas the new method proposed here is fully described in IV. In order to test the efficiency of the new method, two practical examples are analyzed: the cascade connection of monomodal scattering matrices of a Chebyshev filter formed by lumped elements, and the cascade connection of multimodal scattering matrices (i.e., GSMs) of an -plane filter with dielectric resonators.

0018-9480/$25.00 © 2007 IEEE

BACHILLER et al.: EFFICIENT TECHNIQUE FOR CASCADE CONNECTION OF MULTIPLE TWO-PORT SCATTERING MATRICES

Fig. 1. Cascade connection of

N scattering matrices by pairs.

II. CONVERSION TO

MATRICES

In order to cascade scattering matrices with this method, the first step is to convert each scattering matrix to the corresponding normalized matrix . The following expressions may be used [5]: (1)

inverted and, therefore, this method is also not suitable for multimodal analysis. III. CONNECTION OF SCATTERING MATRICES BY PAIRS and This method cascades two scattering matrices providing a global matrix . The following equations are used to cascade and [8]:

(2)

(12) (13) (14) (15)

(3) (4) (5) The obtained as

1881

matrix of the global structure

where

is

is then easily

(6) Finally, the global scattering matrix is obtained by the to the scattering matrix through [5] conversion of (7)

(8) (9) (10) (11) where is the identity matrix of elements, and is the number of guided modes considered for the analysis. If the . matrices are monomodal, matrix inversions and 14 The whole process requires matrix products in order to get the final scattering ma. If the scattering trix. All matrices are of dimension becomes matrices are multimodal, the method fails since a matrix that is generally ill conditioned and, therefore, cannot be inverted in (5). matrices are used instead of matrices, the If number of inversions and products are very similar. As with matrices, the ill-conditioned matrix must be

(16) Using this method, the first and second matrices ( and ) are cascaded and a global matrix is obtained. This global matrix is then cascaded to the third matrix , and a new global matrix is obtained. The process follows until the last scattering matrix that characterizes the has been cascaded and a global matrix whole structure is obtained (see Fig. 1). Each time that two matrices are connected using (12)–(15), ten matrix products and one matrix inversion is required. The networks requires iterations. In most connection of practical situations, all the scattering matrices are reciprocal. , and (13) or (14) can be avoided. In that case, Equation (14) is preferred since it involves less matrix products. Therefore, if all matrices are reciprocal, the connection by pairs matrix products and matrix inversions. requires 8 matrix products Thus, the overall process requires matrix inversions. All matrices are of dimension and . IV. NEW METHOD FOR THE EFFICIENT CONNECTION MULTIMODAL SCATTERING MATRICES OF In most two-port passive microwave devices the global network is reciprocal (not containing any nonreciprocal media such as ferrites or plasmas) and physically symmetric, even though the individual networks (i.e., the steps), are not reciprocal, but not symmetric. In such cases, the global network is symmetric, and need to be computed since and only and . When this happens, the efficiency of the connection by pairs can be improved since the fact that the global network is symmetric cannot be used to simplify (12),

1882

Fig. 2. Cascade connection of

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

N scattering matrices with the new method.

(13), and (15) since intermediate networks (with scattering ma, , and ) may be not symmetric. trices In order to exploit the fact that the global network is symmetric, a different approach is used. The idea is to iteratively relate the forward and backward waves between each of the two networks with the waves in the previous step. At the end, the waves at the input and output ports of the global network are reand lated and the reflection and transmission parameters ( ) are obtained. In this process, we must be careful to avoid . the inversion of ill-conditioned matrices such as Fig. 2 shows the names assigned to the forward and backward normalized voltage waves in the input and output ports of each is the forward wave in the input port of network network. , and is the backward wave. In the output port of the last is the forward network, the convention is changed, and is the backward wave. wave and In the case of multimodal voltage waves, and are vectors elements. In this case, the scattering matrices are of of elements, and each matrix block (with ) is of elements. Our objective is to obtain the scattering parameters of the that relate the incident and reflected waves global network at the input and output ports

(17) (18)

TABLE I COMPARISON OF THE OPERATIONS REQUIRED TO CASCADE SCATTERING MATRICES

N

equations for the last network are (23) (24) Substituting

in (23) and (24), (25) (26)

Substituting (25) in (22) with as a function of as follows:

, we can express

(27) . It must be where is the identity matrix of dimension noted that the matrix that is inverted in (27) is not ill conditioned. as a function of Substituting (27) in (25), we also express as follows: (28)

Assuming that the global network is symmetric, only and need to be computed. In order to compute and , we can consider that there is no incidence in the output . Thus, port, i.e., (19) (20) Attending to the definition of the scattering parameters, and according to Fig. 2, the following equations apply for each net: work ,

We define (29) (30) (31) Thus, (32) (33) Next we try to express and as a function of To accomplish this, we first substitute (33) in (21) with , and we obtain

(21) (22) For the last network, the forward and backward waves in the output port (see Fig. 2) are defined in a different way. Thus, the

.

(34) where (35)

BACHILLER et al.: EFFICIENT TECHNIQUE FOR CASCADE CONNECTION OF MULTIPLE TWO-PORT SCATTERING MATRICES

1883

Fig. 3. Chebyshev LC bandpass filter of order n (n is odd).

Substituting (34) in (22) with

,

Comparing with (20), (48)

(36) where (37)

If the global network is symmetric, and using (46) and (48), the global scattering matrix is

Substituting (36) in (34), (38)

(49)

where (39) The same procedure can be followed in order to relate with for as follows:

and (40) (41)

where (42) (43) (44) Equations (40)–(44) are valid for all values of , except for , whose correct value is . , we can deterOnce we have related all and with mine the scattering parameters of the global network. Using (21) , with

(45) and comparing with (19), (46) On the other hand, using (26),

.. . (47)

matrix inversions and The procedure requires of matrix products. Besides, the authors have verified that, for electromagnetic problems, the matrices that should be inverted with this method are all well conditioned and do not present problems of unreliability. Table I summarizes the operations required to cascade scattering matrices by the three methods discussed in this paper, assuming that all networks are reciprocal and the global network is symmetric. V. RESULTS A. Monomodal Scattering Matrices The new method has first been tested with monomodal scattering matrices. For that purpose, a standard Chebyshev bandpass filter formed with inductors and capacitors has been considered (see Fig. 3). The filter is centered at 4.75 GHz, and the bandwidth is 500 MHz. The values of the inductors and capacitors are easily obtained from the Chebyshev low-pass prototype using the frequency bandpass transformation [11]. As shown in Fig. 3, each reactance has been considered as a two-port network, and its correspondent monomodal scattering matrix has been computed. Thus, for a filter of order ( has reactances and, been chosen to always be odd), there are scattering matrices. therefore, scattering matrices have been cascaded using three The different methods: the traditional matrix method, the recursive connection by pairs of matrices and the new method described in this paper. scatFig. 4 depicts the CPU time needed to cascade the tering matrices for different values of . It can be observed that the connection time increases linearly with the number of net. It can also be observed that the new method requires works only around 65% of the CPU time required by the connection

1884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE II RMSE OF THE REFLECTION COEFFICIENT VERSUS NUMBER OF NETWORKS. CHEBYSHEV LC BANDPASS FILTER

Fig. 4. Connection time of

N monomodal scattering matrices.

Fig. 6.

H -plane coupled cavities filter with cylindrical resonators. 3-D view.

Fig. 5. Reflection coefficient of a Chebyshev LC bandpass filter of order 5.

by pairs, and around 25% of the time required by the matrix method. In order to test the accuracy of the new method, the reflection coefficient of the Chebyshev filter of order 5 has been depicted in Fig. 5. The reflection coefficient has been obtained cascading the scattering matrices with the three different methods, and there is a very good agreement between all the curves. The root mean square error (RMSE) between curves of the reflection coefficient has been computed for a different number of networks. The result is summarized in Table II, where RMSE 1–2 is the error between curves computed with the new method and the connection by pairs, RMSE 1–3 is the error between the matrices, and RMSE new method and the method of 2–3 is the error between the connection by pairs and the method matrices. It can be observed that the error increases of slightly with the number of networks, but it is nevertheless quite stable and of the order of 10 . Therefore, we can conclude that all three methods are very accurate for cascading monomodal matrices. B. Multimodal Scattering Matrices The efficiency and accuracy of the new method for cascading GSMs is tested here. The structure that has been chosen for the test is an -plane coupled cavities filter with cylindrical resonators. A 3-D view of this structure is shown in Fig. 6. For the electromagnetic analysis, the structure is segmented into simple building blocks, as shown in Fig. 7. These blocks are of one

H

Fig. 7. -plane coupled cavities filter with cylindrical resonators. Segmentation into simple building blocks.

of these types, i.e., transmission lines, steps, or cylindrical resonators. The GSM of the transmission lines can be easily obtained using basic microwave theory [12]. The GSM of the steps is computed using the integral-equation technique described in [4], and the GSM of the dielectric resonators is obtained using the hybrid method proposed in [13]. This combination of analysis methods has already been used in [14] and [15], and its accuracy was successfully tested in [14] through comparison with a commercial finite-element method (Ansoft’s High Frequency Structure Simulator (HFSS) [16]). In the case of connection of multimodal scattering matrices, connection does not provide good results since the the matrices that should be inverted become ill conditioned when more than one mode is considered. When only two modes are considered, the 1-norm condition number of the matrix to be inverted is already of the order of 10 . Thus, in this case, we have compared only the iterative connection by pairs and the new method. Fig. 8 shows the CPU time required for the cascade connection of all the GSMs of an -plane filter with 1–4 resonators. The dimensions of the four-resonator filter have been obtained using the design procedure described in [17]. Seven guided modes have been considered for the analysis, thus the

BACHILLER et al.: EFFICIENT TECHNIQUE FOR CASCADE CONNECTION OF MULTIPLE TWO-PORT SCATTERING MATRICES

1885

TABLE III RMSE OF THE REFLECTION COEFFICIENT VERSUS NUMBER OF MODES (29 NETWORKS)

Fig. 8 Connection time of

N multimodal scattering matrices (M = 7).

Fig. 9. Connection time versus number of modes. onator cavities (29 networks, 11 modes).

H -plane filter with four res-

TABLE IV RMSE OF THE REFLECTION COEFFICIENT VERSUS NUMBER OF NETWORKS (SEVEN MODES)

whereas the time required to connect these matrices is 2.07 s if we use the connection by pairs, and 1.35 s if we use the new method. Therefore, the connection by pairs requires 8.5% of the total time, whereas the new method only requires 5.7% of the total time required to obtain the scattering parameters of the entire filter. Finally, the accuracy of the new method for connecting multimodal matrices is tested in Fig. 10. The reflection and transmission coefficients of an -plane filter with four resonator cavities have been computed. It can be observed that the curves are in very good agreement for the new method and the connection by pairs. The RMSE between curves of the reflection coeffor 11 guided modes. This error between ficient is 1.99 10 the new method and the connection by pairs is quite stable with the number of networks and the number of modes, as shown in Tables III and IV. VI. CONCLUSIONS

Fig. 10. Reflection coefficient of a four-pole with cylindrical resonators. = 11.

M

H -plane coupled cavities filter

GSMs are of 14 14 elements. The number of networks is a . function of the number of resonator cavities Thus, in Fig. 8, the computation time for 1–4 resonators cavities is presented. It can again be observed that the computation time increases linearly, and that the new method requires around 65% of the time required by the connection by pairs. These results are also not dependant of the number of modes considered for the analysis, as proven in Fig. 9, where the ratio in computation time between both methods is maintained regardless of the number of modes . modes, the computation For a four-pole filter with time required to compute all the scattering matrices is 22.4 s,

A new method for the cascade connection of scattering matrices has been presented in this paper. This new method is very efficient if the global structure is symmetric, which is the case of the majority of two-port microwave devices. The method has first been applied to the analysis of lumpedelement bandpass Chebyshev filters formed by inductors and capacitors. The computation time required by the new method was around 65% of the time required by the connection by pairs, and around 25% of the time required by the connection using matrices. This reduction was maintained regardless of the order of the filter and, therefore, regardless of the number of matrices to be cascaded. The method has also been tested with the cascading of the multimodal scattering matrices that characterize the building blocks (lines, steps, and resonators) of an -plane coupled cavities filters with cylindrical resonators. Again, the new method reduces the computation time by 35% when compared with the connection by pairs, regardless of the number of matrices to be

1886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

cascaded, and also regardless of the number of guided modes considered for the analysis. REFERENCES [1] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 2, pp. 194–202, Mar. 1965. [2] J. Reiter and F. Arndt, “Rigorous analysis of arbitrarily shaped H and E -plane discontinuities in rectangular waveguides by a full-wave boundary contour mode-matching method,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 796–801, Apr. 1995. [3] A. Jawad and M. Louis, “Boundary elements and analytic expansions applied to H -plane waveguide junctions,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 6, pp. 1038–1045, Jun. 1994. [4] G. Gerini, M. Guglielmi, and G. Lastoria, “Efficient integral equation formulations for admittance or impedance representation of planar waveguide junctions,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. III, pp. 1747–1750. [5] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [6] G. R. Simpson, “A generalized n-port cascade connection,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1981, vol. 81, no. 1, pp. 507–509. [7] J. K. Hunton, “Analysis of microwave measurement techniques by means of signal flow graphs,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 3, pp. 206–212, Mar. 1960. [8] D. Budimir, Generalized Filter Design by Computer Optimization. London, U.K.: Artech House, 1998. [9] M. Dionigi, A. Esposito, L. Tarricone, and R. Sorrentino, “Optimum port numbering in the electromagnetic simulation of complex networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 1, pp. 189–192. [10] V. E. Boria, G. Gerini, and M. Guglielmi, “An efficient inversion technique for banded linear systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Denver, CO, Jun. 1997, pp. 1567–1570. [11] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [12] N. Marcuvitz, Waveguide Handbook, ser. IEE Electromagn. Wave 21. London, U.K.: IEE, 1986. [13] H. Esteban, S. Cogollos, V. E. Boria, A. S. Blas, and M. Ferrando, “A new hybrid mode-matching/numerical method for the analysis of arbitrarily shaped inductive obstacles and discontinuities in rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1219–1224, Apr. 2002. [14] C. Bachiller, H. Esteban, V. E. Boria, J. V. Morro, L. J. Roglá, M. Taroncher, and A. Belenguer, “Efficient CAD tool for direct-coupledcavities filters with dielectric resonators,” in IEEE AP-S Int. Symp. Dig., Washington DC, Jun. 2005, vol. 1B, pp. 578–581. [15] J. V. Morro, H. Esteban, C. Bachiller, and V. E. Boria, “Automated design of complex waveguide filters for space systems: A case study,” Int. J. RF Microw. Comput.-Aided Eng., vol. 17, no. 1, pp. 84–89, Jan. 2007. [16] HFSS: 3-D High-Frequency Electromagnetic Simulation. Ansoft Corporation, Pittsburgh, PA. [Online]. Available: http://www.ansoft.com/ products/hf/hfss/index.cfm [17] J. V. Morro, C. Bachiller, H. Esteban, and V. E. Boria, “New efficient and robust automated design strategy for H plane direct-coupled-cavities filters with dielectric resonators,” in IEEE AP-S Int. Symp. Dig., Albuquerque, NM, Jun. 2006, pp. 597–600. Carmen Bachiller received the Communication Engineering degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 1996, and is currently working toward the Ph.D. degree in electromagnetism and radio-frequency circuits at the UPV. From 1997 to 2001, she was a Project Engineer with ETRA I D, S.A., where she was involved with research and development on automatic traffic control, public transport management, and public information systems using telecommunication technology. In 2001, she joined the Departamento de Comunicaciones, UPV, as a Lecturer, where she currently teaches signal and systems theory and microwaves. She has participated in several teaching innovation projects.

+

Héctor Esteban González (S’93–M’99) received the Telecommunications Engineering degree and Ph.D. degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 1996 and 2002, respectively. He has collaborated with the Joint Research Center, European Commission, Ispra, Italy. In 1997, he was with the European Topic Center on Soil (European Environment Agency). In 1998, he rejoined the UPV. His research interests include methods for the full-wave analysis of open-space and guided scattering problems, computer-aided design (CAD) design of microwave devices, electromagnetic characterization of dielectric and magnetic bodies, and the acceleration of electromagnetic analysis methods using the wavelets and the fast multipole method (FMM). Vicente Enrique Boria Esbert (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, UPV, where since 2003 he has been a Full Professor. In 1995 and 1996, he held a Spanish Trainee position with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored five chapters in technical textbooks, 40 papers in refereed international technical journals, and over 100 papers in international conference proceedings. His current research interests include numerical methods for the analysis of waveguide and scattering structures, automated design of waveguide components, radiating systems, measurement techniques, and power effects (multipactor and corona) in waveguide systems. Dr. Boria Esbert is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE MTT-S International Microwave Symposium (IMS) and the European Microwave Conference. He was the recipient of the 2001 Social Council of Universidad Politécnica de Valencia First Research Prize for his outstanding activity during 1995–2000. Ángel Belenguer Martínez received the Telecommunications Engineering degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2000. In 2000, he joined the Universidad de Castilla–La Mancha, Cuenca, Spain. His research interests include methods for the full-wave analysis of open-space and guided multiple scattering problems and the acceleration of electromagnetic analysis methods using wavelets, fast multipole methods (FMMs) and multilevel fast multipole method (MLFMAs), and the use of specific basis and green functions for the method of moments (MoM). José Vicente Morro received the Telecommunications Engineering degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2001, and is currently working toward the Ph.D. degree at UPV. In 2001, he became a Research Fellow with the Departamento de Comunicaciones, UPV. In 2003, he joined the Signal Theory and Communications Division, Universidad Miguel Hernández, where he was a Lecturer. In 2005, he rejoined the Departamento de Comunicaciones, UPV, as an Assistant Lecturer. His current interests include computer-aided design (CAD) design of microwave devices and electromagnetic optimization methods.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1887

A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines Yuming Zhang and Barry E. Spielman, Fellow, IEEE

Abstract—The stability of time-domain simulations is examined for a generalized lossy double-negative transmission line (TL). This is accomplished using a “modified” version of the Courant–Friedrichs–Lewy stability criterion. The time-domain simulation is implemented using a method-of-moments formulation with the generalized TL equations. An analytic expression is derived by combining the -transform with von Neumann methods. The credibility of simulated time-domain results is verified through comparison with modal behavior determined using a frequency-domain treatment for a periodic structure. Index Terms—Dispersive material, double-negative material, evanescent wave, homogeneous material, inhomogeneous material, metamaterial, method of moments (MoM), stability, time domain, transmission line (TL).

I. INTRODUCTION

T

HIS IDEA of materials with both negative real permittivity and permeability was initially theoretically introduced by Veselago in 1968 [1]. These materials are referred to as double-negative or left-handed materials. Double-negative materials exhibit the property that the electric field, magnetic field, and wave propagation direction have a left-handed relationship. In particular, Pendry [2] theoretically showed that such a double-negative slab can give rise to a growing evanescent wave. These interesting properties attracted many research groups to construct double-negative materials using different approaches. Oliner [3], Iyer and Eleftheriades [4], and Caloz et al. [5] selected existing transmission lines (TLs) as a supporting guiding structure and loaded it periodically with elements (series capacitance and shunt inductance) to obtain the required negative and . Alù and Engheta [6] used a cascaded lumped-element circuit network to demonstrate the possibility of growing evanescent waves. In [6], negative and were similarly obtained by a periodic structure using series capacitance and shunt inductance, respectively. The intriguing behavior reported by previous investigators [7] spawned the desire to implement a time-domain analysis of the generalized 1-D TL. That would include series inductive, capacitive, and resistive effects, as well as shunt inductive, capacitive, and conductive effects, as shown in Fig. 1. This kind of TL shall

Manuscript received May 16, 2006; revised May 30, 2006 and June 20, 2007. The authors are with the Department of Electrical and Systems Engineering, Washington University, Saint Louis, MO 63130 USA (e-mail: [email protected]. edu; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.904061

Fig. 1. Lumped-element model for a generalized TL.

be referred to as a generalized TL. It is apparent that both the equivalent and are frequency dependent for the generalized TL. The generalized TL represents an arbitrary frequency-dispersive medium for which whatever positive or negative values are desired for equivalent and can be effected by appropriately choosing actual network parameters. There are additional reasons to implement a time-domain analysis tool to investigate the behavior of the generalized TL. By exciting the generalized TL with a narrow pulse, the response can approximate the impulse response of the network. This response provides information about the response over many frequencies. In addition, an understanding can be gained about transient states, as well as steady states. For these reasons, the focus of this study is on time-domain computation. A method of moments (MoM) [8] approach is employed to effect a time-domain solution. For the 1-D generalized TL, the MoM approach transforms the continuous equations representing the behavior for the generalized TL to discrete equations in space and time. Approximation algorithms used in the process can cause unstable results that may be confused with the growing evanescent wave described by Pendry [2]. A stability analysis is developed to overcome this computational problem. The discrete equations must be transformed to the -plane in time. Here, the Bloch–Floquet theorem [9] is combined with the -transform [10] and von Neumann methods [11] to implement the stability analysis. A dispersion analysis is developed for the periodic network and is used to assess the correctness of the time-domain simulation results. This paper is organized as follows. Section II is devoted to the development of the continuous equations that describe the behavior of the generalized TL and to the application of the MoM to transform the continuous representation to discrete form. In

0018-9480/$25.00 © 2007 IEEE

1888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Section III, the stability analysis approach is presented first. The dispersion analysis is then presented. Section IV presents time-domain simulations results for both stable and unstable circumstances and interprets these simulations using the dispersion properties. Conclusions are presented in Section V. II. DESCRIPTION OF THE MODEL WITH MOM FORMULATION A. Continuous TL Equations Shown in Fig. 1 is a lumped-element model for an infinof a generalized uniform TL. Applying itesimal interval Kirchhoff’s voltage law and Kirchhoff’s current law to the circuit in Fig. 1, we obtain the following two equations:

(1)

B. Discrete TL Equations The MoM [8] transforms a continuous equation describing the physical problem into a set of matrix equations by first discretizing the voltages and currents and then performing a scalar product of the equation with selected testing functions. The MoM is used here for two reasons. First, the second-order time-domain derivatives in (5) and (6) lead to the use of triangle expansion functions to enable this differentiation to be treated analytically, which should speed up the convergence as the number of subsections increases. Secondly, by casting this solution in a MoM framework, the approach described here can be generalized further to include more sophisticated expansion and testing functions, whereas a finite-difference time-domain (FDTD) analysis oftentimes corresponds to an approach that employs pulse expansion functions. In this part, the continuous TL equations are transformed into a discrete form. In Section II-C, the discrete form is converted into a matrix form. Equation (5) and (6) can be written in matrix form as (7) where

(2) In (1) and (2), the current across and the voltage across at the time instant are some constants. Here, they are assumed to be 0’s. By dividing into the both sides of (1) and (2), respectively, as tends to 0, (1) and (2) become

(3)

(4) To eliminate integrals, we take the derivative of (3) and (4), respectively, with respect to and obtain two second-order partial differential equations

(5)

(6) Equations (5) and (6) represent arbitrarily inhomogeneous TL equations. If the parameters of the TL are independent of position, (5) and (6) are homogenous TL equations.

and

We choose the scalar product

where is the transpose of , is the maximum time under consideration, and is the length of the TL from the source to the load. A space axis and time axis are chosen as shown in Fig. 2. and need to be secondFrom (5) and (6), both order differentiable with respect to and first-order differentiable with respect to . Thus, expansion functions and testing functions are selected as follows. Voltage-type expansion function

Current-type expansion function

ZHANG AND SPIELMAN: STABILITY ANALYSIS FOR TIME-DOMAIN MoM ANALYSIS OF 1-D DOUBLE-NEGATIVE TLs

For

1889

,

(8)

Fig. 2. Space axis and time axis.

(9) For

,

Fig. 3. Definitions of a triangle pulse function and pulse function.

Voltage-type testing function (10)

Current-type testing function

Here, and are space indices, and and are time indices. is a triangle function, and is a pulse function, which are defined as in Fig. 3. According to the above expansion functions, is expanded as

(11) For

where is the number of spatial intervals and is the and are voltage and number of time intervals. Here, current coefficients, respectively. The left- and right-hand members of (7) are tested in order to produce and , which lead to the following discrete equations corresponding to (5) and (6).

,

(12)

1890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

where

denotes transpose, and

.. . .. . .. .

Fig. 4. Equivalent-circuit configuration for the finite generalized TL.

..

.

..

.

.. ..

. .

..

.

..

.

..

.

..

.

.. ..

is a

. .

where, for

..

.

..

.

matrix .. . .. . .. .

,

(13) for

,

C. Matrix Form of TL Equations and It is desired to perform simulations of along a generalized TL. One end of the TL is directly connected . The other end is connected with a single-frequency source to a load. The load is a resistor, an inductor, a capacitor, or their combinations. The equivalent-circuit configuration is shown in Fig. 4. The boundary conditions are

The definition of is given later. is a tridiagonal matrix. Elements along the main diagonal are defined above. Elements along the subdiagonal are 1. Elements along the superdiagonal are 1. All other elements are 0’s.

(14)

.. . .. . .. .

is a voltage source, and is the current across the where . Here, is the operator corresponding to the load, i.e., load. The initial conditions are

..

.

..

.

.. ..

where, for

(15)

,

,

,

for

.

.

..

.

..

.

..

.

.. ..

. .

,

In fact, the initial conditions have been used to derive (8)–(13). Equations (8)–(13) together with the boundary conditions are now expressed in a recursive matrix formulation for simulations

A detailed derivation of (15) is given in [12, App.]. , and are defined as follows:

.

..

,

..

.

..

.

.. . .. . .. .

ZHANG AND SPIELMAN: STABILITY ANALYSIS FOR TIME-DOMAIN MoM ANALYSIS OF 1-D DOUBLE-NEGATIVE TLs

The definition of is given later. is also a tridiagonal matrix. Elements along the main diagonal are defined above. Elements along the subdiagonal are 1. Elements along the superdiagonal are 1. All other elements are 0’s.

.. . .. . .. . .. .

..

.

..

.

..

.

..

.

.. ..

.. . .. . .. . .. .

. .

..

.

..

.

..

.

..

.

For time variation, we use a

1891

-transform [10] defined by

(16) where

represent or with the temporal index , and . It assumed that the time process starts at . For spatial variation, we define a transform, analogous to the temporal -transform as (17)

represents or with the spatial index , and . Here, is a propagation constant and will be explicitly given in Section III-B. This transform is called a -transform. Here, the von Neumann method [11], based on a Fourier decomposition of the error, is used to make the stability analysis. and a current-type error We define a voltage-type error as the difference between the numerical solution to the discrete equations (12) and (13) and the analytical solution to the parand depend on spatial differential equations (5) and (6). tial variation and on temporal variation according to (12) and and , respectively, in (12) (13). Replacing and with and (13), and applying the temporal -transform and spatial -transform to these two equations, we obtain where

where, for

for

,

,

is a diagonal matrix. Elements along the main diagonal are defined above. All other elements are 0’s. The expressions of , , and are determined by the load. For example,

when the load is the series connection of

where “ ” denotes transpose and

is a

,

, and

(18)

.

matrix.

(19) where and are transformed from and , respectively. and from (18) and (19), we obtain an equaEliminating tion in as follows: (20)

III. STABILITY ANALYSIS where A. Stability Conditions Based on the Von Neumann Method The formulations previously derived are applicable to both inhomogeneous TLs and homogenous TLs. For the stability analysis developed here, focus is on a homogenous TL because of simplicity. Discussion about stability analysis for inhomogeand as algorithmic neous TLs is given in [12]. We define parameters. The algorithm for numerical computation, including the choice of expansion functions, testing functions, and algorithm parameters can cause instability. This kind of instability is called “algorithmic instability.” In the following study, we will determine the requirements for algorithmic parameters to eliminate algorithmic instability through some transforms.

1892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 5. Circuit of a cell.

where To decouple and , we take second derivative of and employ (22) to obtain the wave function The errors vary with the factor in time iteration. To ensure the errors remain bounded as time increases, the condition must be satisfied, i.e., the roots of (20) must be inside or on the unit circle in the -plane. Equation (20) is a quartic equation,1 and we can obtain four explicit analytical roots with the substitution of . The errors vary with the factor in spatial propagation. We can rewrite (20) as a quadric equation with respect to . Similarly, we can study the conditions of error growth or decay in spatial propagation. However, we restrict our considerations to temporal stability in this paper. In the above, the stability condition for the TL is formulated. The same equations as (12) and (13) are used for the boundary between the source and TL, but not for the boundary between the TL and load. The instability is a local phenomenon and first seen at points of discontinuities [13]. Thus, we need to separately derive a stability condition for the boundary between the TL and load. A detailed development of this condition is given for an example in Section III-C. The more stringent of these two stability conditions is used for simulations.

where

in (21)

(23)

Here, is the propagation constant, is the attenuation constant, and is the phase coefficient. Furthermore, we obtain the characteristic impedance

(24) When the TL is subdivided into many equivalent cells, as shown in Fig. 4, it becomes a periodical structure. Since this kind of periodic structure results from numerical methods, we call it a “pseudoperiodic” structure. Whenever a MoM or FDTD approach is used, a pseudoperiodic structure is obtained. We have studied properties of the pseudoperiodic structure in simulations of and . We redraw the circuit of a cell, as shown in Fig. 5. According to Fig. 5, the following relationships between voltage and current can be written in phasor form. First, a transmission matrix for this cell is

(25)

B. Interpretation Using Frequency-Domain Analysis Here, a frequency-domain treatment is developed to help interpret the results and stability of the time-domain treatment. From the continuous TL equations and the discrete TL equations, respectively, we will obtain different dispersion properties. The comparison of these two kinds of dispersion properties will illuminate the cause of the instability. Thus, we can form appropriate stability conditions by modifying the Courant–Friedrichs–Lewy (CFL) stability criterion [14] and better understand numerical results. 1) Analysis for a Generalized TL: We convert (5) and (6) into phasor forms where

(22)

(21)

1E. W. Weisstein, From MathWorld—A Wolfram Web Resource. [Online]. Available: http://www.mathworld.wolfram.com/QuarticEquation.html

Second, according to the Bloch–Floquet theorem [9], we obtain

(26) where and

is the propagation constant for the periodic network, . Substituting (26) into (25), we obtain (27)

For a nontrivial solution, the determinant of the coefficient matrix of (27) must be zero. Therefore, we obtain the propagation constant

(28)

ZHANG AND SPIELMAN: STABILITY ANALYSIS FOR TIME-DOMAIN MoM ANALYSIS OF 1-D DOUBLE-NEGATIVE TLs

Equation (28) is a dispersion equation. Note: (28) is the explicit expression for used in the -transform. Also, from (27), we can obtain the characteristic impedance (29) Note: Both the square root and natural logarithm in (28) and (29) are functions of a complex variable. With L’Hospital’s and rule, and , respectively, have limits . We denote

1893

be greater than . We will use this modified CFL stability criterion to verify our stability analysis based on the von Neumann method in Section III-C. 2) Analysis for a Lossless Pure Left-Handed TL: Here, the focus is on a lossless pure left-handed TL. We write out the explicit expressions of and for the lossless pure left-handed and for a corresponding pseudoperiodic TL, as well as structure. Equations (23) and (24) are reduced to (30) (31) in (31) are for the dominant mode. Note: where in (30) and The upper and lower signs in the subscript on the left sides of (30) and (31), respectively, correspond to the upper and lower signs on the right sides of (30) and (31). This rule is also applicable to the following equations. For an operating frequency , (28) is reduced greater than the cutoff frequency, i.e., to (32)

These are related as follows: . Here, corresponds to the where dominant mode, and other values of correspond to the higher order modes. Equation (29) is reduced to is a resonant frequency of and . is a resonant freand . When , and also are resoquency of nant frequencies of a whole cell. In a lossless pure left-handed , , and TL, i.e., , is a high-pass cutoff frequency; i.e., traveling , waves occur when operating frequencies are greater than and evanescent waves occur when operating frequencies are less , than . In a lossless pure right-handed TL, i.e., , , and , is a low-pass cutoff frequency; i.e., traveling waves occur when , and evanescent waves operating frequencies are less than occur when operating frequencies are greater than . In a loss, , , less generalized TL, i.e., , and , and are low- and high-pass frequencies of the entire cell, respectively. These frequencies are derived from (28) or (29). Comparing (28) and (29) with (23) and (24), respectively, we are functions of in contrast to and . know and The CFL stability criterion [14] requires where . This criterion implies that time step phase velocity has to be less than the shortest travel time for the wave between two adjacent nodes in order to satisfy causality. In other words, if we make numerical computation for a node voltage or a branch current even though the wave has not physically reached that node or that branch, the instability ensues. When the continuous TL is decomposed into the pseudoperiodic structure, the phase velocity in the latter is different from the one in the former. We need to be aware that and are simulated in the periodic structure instead of the continuous TL. Therefore, instead of in the CFL stability criwe must use terion for the pseudoperiodic structure. Our modified CFL stamay bility criterion is stricter than the original one because

(33) Here, is for the dominant mode. For an operating frequency less than the cutoff frequency, i.e., , (28) is reduced to

(34) . Here, corresponds to the where dominant mode, and other values of correspond to the higher order modes. Equation (29) is reduced to (35) is for the dominant mode. In (32)–(35), all of the Here, inverse tangent, square root, and natural logarithm are funccorresponds to ; , to tions of a real variable. . The subscript “ ” refers to the positive -direction while the subscript “ ” refers to the negative -direction. Choosing signs of depends on the following principles [15]. For a wave with energy traveling away from the source, its phase increases because its phase velocity is in the opposite direction. For an evanescent wave, its amplitude must decay with increasing distance from the source. , is an imaginary quanIt is observed that, when is a complex quantity for a traveling wave with little tity, and attenuation. has the characteristics of a high-pass filter. The is small compared to the real part of . The reactive part of tends to zero when the frequency tends to reactive part of , is a complex quantity, and is an infinity. When imaginary quantity for an evanescent wave. The imaginary part . of gives a phase shift of for every

1894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I 2-D TM AND TE FIELDS WITH CORRESPONDENCE TO EQUIVALENT 1-D TLs

Fig. 6. (a) Waveguide consisting of the metamaterial with the permittivity and permeability corresponding to the pseudoperiodic structure, which has the reactive boundaries between the waveguide and free space. (b) Waveguide with the permittivity and permeability corresponding to the pseudoperiodic structure, which is bounded by perfect conductors.

To interpret the meaning of and , we first introduce the analogy between 2-D fields and 1-D TLs motivated by the equivalent TL development presented by Harrington [16]. Table I presents TM and TE to the -direction with no variation in the -direction. Also, the analogous 1-D TLs are presented. In Table I, and are magnetic and electric vector potentials, respectively, both without variation in the -direction. Note: For TM, the voltage refers to the summation of both the transverse and longitudinal components of , not only to the transverse component of . For TE, the voltage refers to the summation of both the transverse and longitudinal components of , not only to the transverse component of . The inclusion of the longitudinal components ensures no difficulty with conservation of energy in the lossless medium. Now, we can imagine the pseudoperiodic structures as the analog to the waveguides, which have variation only in two directions. Two waveguides consisting of a metamaterial with the permittivity and permeability corresponding to the pseudoperiodic structure are illustrated by Fig. 6. The one shown in Fig. 6(a) is open, and the other shown in Fig. 6(b) is bounded by perfect conductors. In Fig. 6, , where and are the permittivity and permewhere ability of free space, respectively, is the propagation constant of the pseudoperiodic structure, where and are the phase coefficient and and the attenuation constant, respectively, in the transverse ( ) direction. We shall consider the guidance of waves by the waveguide , we obtain shown in Fig. 6(a). From (36) (37) When

,

and

, (36) and (37) become

If the wave is the slow wave, i.e., , then and . This means the wave exponentially decays in the -direction while the wave is traveling in the -direction. In this regard, the wave is a trapped surface wave [16]. The reactive part in (33) is the surface impedance looking into the -direcof tion, presenting the reactive power flow in the -direction. The real part of in (33) is the wave impedance in the -direction, representing the real power flow in the -direction. If the wave , which happens when the operais the fast wave, i.e., in (33) tion frequency is very high, then the reactive part of tends to zero, and the real part of in (33) tends to , of the continuous losswhich is the characteristic impedance less pure left-handed TL. In this situation, the pseudoperiodic structure is analogous to the waveguide bounded by perfect conductors shown in Fig. 6(b). Therefore, there is no radiation in the -direction. In other words, this pseudoperiodic structure is , i.e., different from a leaky-wave antenna [17]. When (equivalent ) and , the first and second reactive parts of (35) are the wave impedance, respectively, in the - and -direction. They represent the reactive power, respectively, in the - and -direction. The interpretation given in the above is verified by the simulation results, as shown in , and in Section IV-B and 14 for Section IV-A for . Fig. 7 shows a dispersion diagram, which is plotted using (30), (32), and (34). Fig. 7 clearly shows the difference in dispersion between the continuous lossless pure left-handed TL and , the the corresponding pseudoperiodic structure. When for the continuous pure left-handed phase velocity TL is less than the one for the corresponding pseudoperiodic structure. Therefore, it is important to use instead in the CFL stability criterion. of C. Example We consider a homogenous lossless pure left-handed TL defined by the following parameters: (38)

ZHANG AND SPIELMAN: STABILITY ANALYSIS FOR TIME-DOMAIN MoM ANALYSIS OF 1-D DOUBLE-NEGATIVE TLs

1895

TABLE III ABSOLUTE VALUES OF ROOTS FOR (41)

Fig. 7. Dispersion diagram for the continuous lossless pure left-handed TL and the corresponding pseudoperiodic structure. is the phase coefficient of the continuous lossless pure left-handed TL; and are the phase coefficient and attenuation constant of the corresponding pseudoperiodic structure, respectively.

We can write out another equation for similar to (12), as follows:

, which is

TABLE II ABSOLUTE VALUES OF ROOTS FOR (20)

(40) From (39) and (40), we obtain another quartic equation in a manner similar to that used for (20) as follows: (41) where

The spatial interval m is chosen such that it is much smaller than the wave length in the pseudoperiodic struc, where ture. The source is presented by rad s . From the above parameters, the high-pass rad s is cutoff fervency obtained. We choose a matched load. The determination of the instead of because we acmatched load is according to tually simulate the fields and in the pseudoperiodic struc, the load in Fig. 4 is a series conture. Because of and a resistance nection of a capacitance according to (33). For the TL itself, (20) is solved with different values of . As s, . This means shown in Table II, when that both the TL itself and the boundary between the source and TL are stable. We then deal with the boundary between the TL and load. We as rewrite (13) for

(39)

Equation (41) is solved with different values of , and the rewhen sults are shown in Table III. It is observable that s. This means that the boundary between the TL and load is stable. Therefore, according to Tables II and III, there should be no algorithmic instability when s.

1896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 8. Demonstration of unstable time-domain voltage variation at the first : 1 s. node of the left-handed TL as the time increases with

1 = 2 5 10

Fig. 9. Demonstration of unstable time-domain voltage distributions along the : 1 s. left-handed TL with

1 = 2 5 10

According to the CFL stability criterion, the time step needs to satisfy s to ensure stability. However, our modified CFL stability criterion requires s. Both are consistent with the above result based on the von Neumann method. Here, the modified CFL stability criterion is a little bit stricter than the original one. The lower the operating frequency, the greater the difference between the original and modified CFL stability criteria. In other words, the modified CFL stability criterion is obviously stricter than the original one when the operating frequency is low; the original and modified CFL stability criteria tend to the same when the operating frequency tends to infinity. IV. TIME-DOMAIN SIMULATIONS

Fig. 10. Demonstration of marginally stable time-domain voltage distributions : 1 s. along the left-handed TL with

1 = 2 5 10

A. Homogenous Lossless Pure Left-Handed TL With for the Traveling Wave Condition In Section III, we formulated a stability analysis for a particular pure left-handed TL. Simulation results are presented for this example using the parameters listed in (38). The voltage variation of the node as the time increases with time step s is shown in Fig. 8. The voltage is not bounded as the time iterations tend to infinity though the source is bounded. Figs. 9–11 show the voltage distributions along the TL for different values of at the same instant after reaching a steady state. Fig. 9 depicts the voltages exponentially increasing along the TL when s. This “growing wave” is the result of instability and is totally different from Pendry’s “growing evanescent wave” [2], which is due to surface resonance [6]. Fig. 10 illustrates the marginally stable result with s. The result in Fig. 11 demonstrates good stability when s. The voltage distributions along the left-handed TL with the same at different instants after reaching a steady state are as shown in Fig. 12. It is observable that the wave is a backward traveling wave. It corresponds to a

Fig. 11. Demonstration of stable time-domain voltage distributions along the : 1 s. left-handed TL with

1 = 2 5 10

steady-state response to the left-handed TL with obtained from (32).

ZHANG AND SPIELMAN: STABILITY ANALYSIS FOR TIME-DOMAIN MoM ANALYSIS OF 1-D DOUBLE-NEGATIVE TLs

1897

B. Homogenous Lossless Pure Left-Handed TL With for the Evanescent Wave Condition We consider another lossless pure left-handed TL defined by the following parameters:

Fig. 12. Demonstration of time-domain voltage distributions along the left: 1 s at different time instants. handed TL with the same

1 = 2 5 10

We choose the same and as the ones used in the previous simulation. is satisfied by the above parameters. The voltage and current distributions at along the TL after reaching a steady state are shown in Figs. 13 and 14, respectively. According to (34) and (35), we know the propagation constant and characteristic impedance . The characteristic impedance is capacitive, signifying storage of energy, in the electric field. Thus, the wave decays along the TL, as shown in Figs. 13 and 14. We emphasize that this evanescent wave results from the pseudoperiodic structure. The induction of the pseudoperiodic structure helps us to understand how numerical methods affect waves for a specific frequency range.

V. CONCLUSIONS

Fig. 13. Demonstration of time-domain voltage distributions along the left: 1 s when ! < ! for the evanescent wave handed TL with condition.

1 = 2 5 10

Independent of whether an approximation technique is a MoM or FDTD formulation, the pseudoperiodic structure affects the characteristics of original media. This effect must be included when stability conditions are considered. Both our systematic stability analysis based on the von Neumann method and our modified CFL stability criterion effect an appropriate stability condition. Our modified CFL stability criterion is more stringent than the original CFL stability criterion. Although we only derived stability conditions for lossless homogenous generalized TL, we can use our generalized TL model to represent any lossy inhomogeneous media such as a planar, layered isotropic medium, a periodically loaded TL, and a waveguide with an arbitrary discontinuity.

REFERENCES

Fig. 14. Demonstration of time-domain current distributions along the left: 1 s when ! < ! for the evanescent wave handed TL with condition.

1 = 2 5 10

[1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, 1968. [2] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966–3969, 2000. [3] A. Oliner, “A periodic-structure negative-refractive-index medium without resonant elements,” in IEEE AP-S/URSI Int. Symp. Dig., San Antonio, TX, Jun. 16–21, 2002, p. 41. [4] K. Iyer and G. V. Eleftheriades, “Negative refractive index metamaterials supporting 2-D waves,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2–7, 2002, pp. 1067–1070. [5] C. Caloz, H. Okabe, T. Iwai, and T. Itoh, “Transmission line approach of left-handed materials,” in IEEE AP-S/URSI Int. Symp. Dig., San Antonio, TX, Jun. 16–21, 2002, p. 39. [6] Alù and N. Engheta, “Pairing an epsilon-negative slab with a mu-negative slab: Anomalous tunneling and transparency,” IEEE Trans. Antennas Propag. (Special Issue), vol. 51, no. 10, pp. 2558–2570, Oct. 2003.

1898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

[7] T. Itoh and A. A. Oliner, “Guest editorial,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1413–1417, Apr. 2005. [8] R. F. Harrington, Field Computation by Moment Methods. Malabar, FL: Krieger, 1982. [9] R. E. Collin, Field Theory of Guided Waves. York, PA: McGraw-Hill, 1960, p. 363. [10] W. H. Weedon and C. M. Rappaport, “A general method FDTD modeling of wave propagation in arbitrary frequency-dispersive media,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 401–410, Mar. 1997. [11] J. A. Pereda, L. A. Vielva, A. Vegas, and A. Prieto, “Analyzing the stability of the FDTD technique by combining the von Neumann method with the Routh–Hurwitz criterion,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 377–381, Feb. 2001. [12] Y. Zhang, “A stability analysis for a time-domain method of moments analysis of a one-dimensional double-negative transmission line,” Ph.D. dissertation, Dept. Elect. Syst. Eng., Washington Univ., Saint Louis, MO, 2007. [13] J. C. Strikweda, Finite Difference Schemes and Partial Differential Equations. Belmont, CA: Wadsworth, 1989, p. 50. [14] W. C. Chew, Waves and Fields in Inhomogeneous Media. New York: IEEE Press, 1995, p. 241. [15] S. Tretyakov, Analytical Modeling in Applied Electromagnetics. Boston, MA: Artech House, 2003, p. 249. [16] R. F. Harrington, Time–Harmonic Electromagnetic Fields. York, PA: McGraw-Hill, 1961, pp. 170, 386. [17] T. Itoh, “Periodic structures for microwave engineering,” in MWE Microw. Workshop, Pacifico Yokohama, Japan, Nov. 2004. [Online]. Available: http://www.apmc-mwe.org/mwe2005/src/TL/TL06-02.pdf

Barry E. Spielman (F’95) received the B.S.E.E. degree from the Illinois Institute of Technology, Chicago, in 1964, the M.S.E.E. degree from Pennsylvania State University, State College, in 1967, and the Ph.D. degree in electrical engineering from Syracuse University, Syracuse, NY, in 1971. In 1971, he joined the Naval Research Laboratory (NRL), Washington, DC, as a Research Electronics Engineer, during which time he performed research involving application of numerical computational and classical methods of applied mathematics for analyzing isolated and coupled novel planar transmission structures leading to microwave integrated circuit (IC) components such as directional couplers, filters, and phase shifters. He corroborated analyzed performance using experimental models. In 1978, he became Head of the Solid-State Circuits Section, NRL, during which time he performed and led a variety of research projects in the areas of microwave and millimeter-wave component technology, encompassing both monolithic and hybrid IC technologies. These projects spanned research activity on electromagnetic-wave propagation in arbitrary, mixed metal, and dielectric planar media, dielectric planar resonators, fin-line Schottky mixers for the millimeter-wave regime, nonlinear MESFET device and circuit modeling leading to microwave amplifiers, oscillators, multipliers, active filters, InP millimeter-wave monolithic circuits, and microwave and millimeter-wave control components. In 1984, he became Head of the Microwave Technology Branch, NRL, during which time he performed and supervised research on and exploratory development of devices, circuits, and components for RF and signal-processing functions spanning frequencies from approximately 1–1000 GHz. More specifically, some of this work included research and development (R&D) of electrically large and heterostructure semiconductor devices, monolithic circuits in III–V’s and silicon, low-loss dielectric-based guiding and resonant structures, and superconducting mixers/detectors. This research group became the focal point for the U.S. Navy’s GaAs monolithic microwave integrated circuit (MMIC) R&D activity. In 1987, he joined Washington University, St. Louis, MO, as Professor of electrical engineering. From 1987 to 2002, he was also Chairman of the Department of Electrical Engineering. In 1999, he was a Visiting Researcher with the University of Victoria, Victoria, BC, Canada. He is currently engaged in research that is establishing a generalized surface-based electromagnetic foundation for reactance-slope parameters and factor for inhomogeneous lossy resonators, establishing new concepts for microwave components employing left-handed media, exploring generalized approaches to mixed time–frequency-domain electromagnetic computational approaches, and extending the use of investigative synthesis as a learning method to explore innovative approaches to microwave component design. Dr. Spielman has held numerous positions within the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), including serving as president of the IEEE MTT-S in 1988 and vice president in 1987. He served as a member of the IEEE MTT-S Administrative Committee (AdCom) from 1977 to 1991. He was the co-founder of the IEEE Microwave and Millimeter-Wave Monolithic Circuits Symposium and served as its first general chairman. He has served as the chairman of the IEEE MTT-S Standing Technical Committee on Microwave and Millimeter-Wave Integrated Circuits. He was also elected and served as president of the National Electrical Engineering Department Heads Association (NEEDHA) from 1998 to 1999. He was the recipient of the 1992 N. Walter Cox Award presented by the IEEE MTT-S, the 2000 IEEE Third Millennium Medal, and the 1995 IEEE St. Louis Section Education Award.

Q

Yuming Zhang received the B.S. degree in computer science and engineering from the Nanjing University of Science and Technology, Nanjing, China, in 1998, and the M.S. and Ph.D. degrees in electrical engineering from Washington University, Saint Louis, MO, in 2003 and 2006, respectively. He is currently a Post-Doctoral Researcher with Washington University. His current research interests are microwave and optical applications of complex media, metamaterials and metasurfaces, numerical methods for electromagnetics, and wireless communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1899

Double-Sided Parallel-Strip Line With an Inserted Conductor Plane and Its Applications Jian-Xin Chen, Student Member, IEEE, Ching-Hong K. Chin, Student Member, IEEE, and Quan Xue, Senior Member, IEEE

Abstract—In this paper, a novel multilayer structure using the double-sided parallel-strip line with an inserted conductor plane is investigated. A conductor plane is inserted into the middle of the substrate of the double-sided parallel-strip line in the proposed structure, which can function as virtual ground to isolate the nonidentical circuits on the top and bottom layers effectively. On the other hand, the conductor plane can be negligible for the identical circuits. Based on the new structure, a dual-band stub can be realized using the isolation characteristic of the conductor plane, where the stub can be treated as a combination of two back-to-back microstrip stubs operating at different frequencies. The common ground of the two microstrip stubs is provided by the inserted conductor plane. For demonstrating this idea, a bandpass filter with two transmission zeros is optimized, fabricated, and tested. The simulated and measured results are presented and show good agreement. The size of this filter is reduced approximately by half, as compared with that of the similar type of microstrip filters. Index Terms—Bandpass filter, double-sided parallel-strip line, dual-band stub, inserted conductor plane, transmission zero. Fig. 1. E -field distribution. (a) Conventional double-sided parallel-strip line. (b) Double-sided parallel-strip line with an inserted conductor plane. (c) Double-sided parallel-strip line with a negligible inserted conductor plane.

I. INTRODUCTION

T

ODAY, WITH the rapid growth of wireless and mobile communications, small size and high performance of microwave components are highly demanded in many systems. Recently, multilayer structure has become a hot research topic in the microwave component designs for size reduction [1]–[12] such as low-temperature co-fired ceramic (LTCC) technology [1]–[10]. With this trend, the double-sided microwave integrated circuit (MIC) has become popular for microwave components [13]–[16] using the conventional fabrication technology. The double-sided parallel-strip line, as a balanced transmission line, consists of two identical strip lines separated by a dielectric sheet shown in Fig. 1(a), which can be analyzed easily using the image theory [17]. The double-sided parallel-strip line is suitable for the double-sided MIC designs. As compared with another balanced transmission line, the coplanar stripline (CPS), the double-sided parallel-strip line has an important Manuscript received September 13, 2006; revised May 22, 2007. This work was supported by the Research Grants Council of the Hong Kong SAR, China, under Grant CityU121905. The authors are with the Wireless Communications Research Centre, Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong (e-mail: [email protected]; [email protected]; eeqxue@ cityu.edu.hk). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904055

advantage of easy realization of low characteristic impedance, which is useful for microwave component designs, such as the low-pass filter and coupler [18]–[20]. The guided wavelength of the double-sided parallel-strip line has been analyzed in [18]. Moreover, the high characteristic impedance can be realized by offsetting the top and bottom strips without decreasing the strip width, which can improve the power-handling capability [20]. The inherent out-of-phase feature of the double-sided parallel-strip line between the two strips is frequency independent, which can be applied to the balanced circuit design for performance improvement [21]. In this paper, we propose a novel multilayer structure using the double-sided parallel-strip line with an inserted conductor plane. The conductor plane, inserted into the middle of the substrate, has no effect on the identical circuits on the top and bottom layers. However, it can function as virtual ground to isolate the two nonidentical circuits, and convert them to two back-to-back microstrip circuits, in which the common ground is just provided by the inserted conductor plane. A dual-band stub is designed successfully for validating the isolation characteristic of the conductor plane. Based on the new structure, a bandpass filter with two transmission zeros using the proposed dual-band stub technique is designed, fabricated, and tested. The simulated and measured results are presented and show good agreement.

0018-9480/$25.00 © 2007 IEEE

1900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 2. 3-D view of the double-sided parallel-strip line with an inserted conductor plane.

Fig. 4. Simulated and measured results of the double-sided parallel-strip line with and without an inserted conductor plane.

Fig. 3. Sketch of the transmission line. (a) Double-sided parallel-strip line. (b) Microstrip line.

II. DOUBLE-SIDED PARALLEL-STRIP LINE WITH AN INSERTED CONDUCTOR PLANE Fig. 1(a) shows the conventional double-sided parallel-strip line with symmetric structure. For RF signal transmission, the if the voltage on RF voltage on the bottom strip is negative . According to the image theory, the the top strip is positive RF voltage is zero (0) in the middle of the substrate. Placing an infinite-size conductor plane in the middle of the substrate (the thickness of the conductor plane is small enough and can be neglected) will not change the -field distribution, and it converts the double-sided parallel-strip line into a combination of two identical back-to-back microstrip lines, as shown in Fig. 1(b) and (c). As a result, the inserted conductor plane can be treated as a virtual ground. Fig. 2 shows the 3-D view of the double-sided parallel-strip line with an inserted conductor plane. The substrate with relative and thickness of mm is dielectric constant used for all the circuits in this paper. The strip width of the top mm, corresponding to the 50and bottom layer is characteristic impedance of the double-sided parallel-strip line. The structure in Fig. 2 can be divided into two parts for analysis, one is the double-sided parallel-strip line, and another is thickness, as shown the microstrip line on a dielectric with in Fig. 3. The microstrip line is one-half of an identical back-toback microstrip line, resulting from the double-sided parallelstrip line with an inserted conductor plane. According to the and voltage image theory, there must be current on the two transmission lines. It is obvious that the relationship of the characteristic impedance between the two transmis-

Fig. 5. 3-D view of the open stub of the double-sided parallel-strip line.

sion lines can be obtained: . Thus, the design of the double-sided parallel-strip line with an inserted conductor plane can be done based on the microstrip line. In the simulation of of the structure with the structure in Fig. 2, the simulated 30 dB) in and without a conductor plane are both good ( the entire band. As a result, the characteristic impedance does not vary when the conductor plane is inserted into the middle of the substrate. Fig. 4 shows the simulated and measured results, demonstrating experimentally that the inserted conductor plane has no effect on the double-sided parallel-strip line. III. DUAL-BAND STUB USING DOUBLE-SIDED PARALLEL-STRIP LINE WITH AN INSERTED CONDUCTOR PLANE Fig. 5 shows an open stub of the double-sided parallel-strip line, which is a conventional structure to generate a transmismm is the width of the 50- double-sided sion zero. mm (56.8 ). parallel-strip line, and the stub width is Fig. 6 shows the simulated results. It is well known that the frequency of the transmission zero is controlled by the stub length , where is the guided wavelength. If the two stubs on mm the top and bottom layers have different lengths ( mm), the shorter stub mm dominates and the frequency of the transmission zero, which can be seen from Fig. 6. Fig. 7 shows the 3-D view of an open stub of the double-sided parallel-strip line with an inserted conductor plane. When the , its lengths of the top and bottom stubs are identical frequency response is the same as that of the structure without

CHEN et al.: DOUBLE-SIDED PARALLEL-STRIP LINE WITH INSERTED CONDUCTOR PLANE AND ITS APPLICATIONS

1901

Fig. 6. Simulated results of the open stub of the double-sided parallel-strip line. Fig. 8. Equivalent model for the open stub of the double-sided parallel-strip line with an inserted conductor plane.

Fig. 7. 3-D view of the open stub of the double-sided parallel-strip line with an inserted conductor plane.

the inserted conductor plane in Fig. 5, and the inserted conductor plane can be neglected. However, when the lengths of the two stubs are different, the conductor can be used as virtual ground plane to isolate them, and convert them into two back-toback microstrip stubs in which the common ground plane of the two microstrip stubs is just provided by the inserted conductor plane. It should be mentioned that the inserted conductor plane is not a conventional ground plane for shielding different parts of a circuit and diminishing unwanted coupling. It is a virtual ground plane for isolating the top and bottom nonidentical circuits, while it is used as a part of the circuit. Thus, we can conclude that the inserted conductor has two functions, which are: 1) isolating the top and bottom nonidentical circuits and 2) providing the common ground plane for the two back-to-back microstrip circuits. According to above discussion, the top and bottom stubs with different lengths in Fig. 7, isolated by the conductor plane, can generate the two transmission zeros at different frequencies. The dual-band operation implies that the proposed dual-band stub comes into being. As a result, the open stub in Fig. 7 can be divided into two microstrip stubs for analysis, and its equivalent model is shown in Fig. 8. The portion enclosed by the dash line represents the double-sided parallel-strip line with an inserted conductor plane, which can be treated as the combination of the back-to-back microstrip lines. , The characteristic impedance of the microstrip line is the characteristic impedance of the double-sided where parallel-strip line. Fig. 9 and Table I show the simulated results of the structure in Fig. 7. The widths of all strips are the mm and same as those of Fig. 5. The lengths

Fig. 9. Simulated results of the open stub of the double-sided parallel-strip line with an inserted conductor plane. TABLE I FREQUENCIES OF TRANSMISSION ZEROS VERSUS DIFFERENT STUB LENGTHS OF THE DOUBLE-SIDED PARALLEL-STRIP LINE WITH AND WITHOUT AN INSERTED CONDUCTOR PLANE

mm of the two stubs are different, generating two transmission zeros at 1.93 and 2.32 GHz. The transmission zeros can be set to arbitrary frequencies, as shown in Fig. 10, where the mm on the bottom layer is fixed. As can stub length be seen from Fig. 10, when one stub length is changed, the frequency of transmission zero resulting from this stub is varied, while another transmission zero remains unchanged. Thus, in

1902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 10. Frequency response of the stubs with different lengths using the double-sided parallel-strip line with an inserted conductor plane.

Fig. 12. Layout of the proposed bandpass filter. (a) 3-D view. (b) Dimensional layout.

IV. BANDPASS FILTER USING DOUBLE-SIDED PARALLEL-STRIP LINE WITH AN INSERTED CONDUCTOR PLANE

Fig. 11. Simulated and measured results of the proposed dual-band stub.

the proposed dual-band stub design, the top and bottom microstrip stubs can be designed separately, and then the dual-band stub can be achieved by combining them. The design procedure of the dual-band stub is much simpler as compared with that using the bandstop effect in [22]. In the proposed multilayer configuration, the inserted conductor plane has no effect on the double-sided parallel-strip line and cannot generate any discontinuities at the interface between the double-sided parallel-strip line and back-to-back microstrip lines, which means that no transition between them is required. Thus, no additional circuits are required for the combination of the top and bottom microstrip circuits, which is an advantageous characteristic in the multilayer circuit design. For demonstrating the new idea, a dual-band stub is designed and measured. The dimensions in Fig. 7 are as follows. mm. • • mm. mm. • mm. • The simulated and measured results are shown in Fig. 11, and good agreement can be observed. It should be mentioned that of the inserted conductor plane should be long the length enough so that it appears as infinite for the isolated stubs on the two layers.

Fig. 12(a) and (b) shows the 3-D view and dimension layout of the proposed bandpass filter using the double-sided parallelstrip line with an inserted conductor plane, respectively. The resonators and the probandpass filter consists of the resonators. In a similar posed dual-band stub between the type of microstrip bandpass filter, the open stub not only works as a -inverter, but it also introduces a transmission zero [23]. For realizing two transmission zeros at the low and high stopbands using the conventional design methods, two bandpass filters with different stub lengths are cascaded [23], and they ocopen stub is incorporated cupy larger circuit size. In [24], a into this type of filter design, which can be separated into two stubs, corresponding to the frequencies of low and high transmission zeros, respectively. Despite the elimination of the cascaded designs, the size of the filter is not reduced greatly. In the proposed bandpass filter, a dual-band stub, consisting of two stubs with different lengths on the top and bottom layers, can generate two transmission zeros in the low and high stopbands. The two circuits on the two layers are identical, except for the lengths of the stubs. It is obvious that the size of the proposed bandpass filter is reduced approximately by half, as compared with those using the microstrip line in [23] and [24]. This is the reason why the multilayer component and double-sided MIC are popular in the microwave circuit designs. Moreover, the proposed bandpass filter has another advantage of low radiation loss over the microstrip filter. It is well known that conventional microstrip filters have a great deal of radiation loss, which can deteriorate the insertion loss of the passband and the rolloff outside the passband. This situation must worsen at millimeter-wave frequencies. However, in the proposed bandpass filter fed by the

CHEN et al.: DOUBLE-SIDED PARALLEL-STRIP LINE WITH INSERTED CONDUCTOR PLANE AND ITS APPLICATIONS

1903

agreeable electrical performance. Some slight discrepancy between them can be attributed to the unexpected tolerances in the fabrication and implementation of the proposed bandpass filter. V. CONCLUSION This paper has presented a novel multilayer structure using the double-sided parallel-strip line with an inserted conductor plane. The inserted conductor plane can be negligible for the two identical circuits on the top and bottom layers, but it can isolate the nonidentical circuits on the two layers. Based on the technique, a dual-band stub is designed and fabricated. The simulated and measured results show good agreement and validate the proposed idea. A multilayer bandpass filter with two transmission zeros using the dual-band stub technique has been successfully designed. The size of the proposed bandpass filter is reduced approximately by half, as compared with that of the similar type of the microstrip filters. The proposed structure can find many potential applications in the microwave multilayer circuit designs. ACKNOWLEDGMENT The authors would like to thank the reviewers of this paper’s manuscript for their helpful comments and suggestions. REFERENCES

Fig. 13. Simulated and measured results of the proposed bandpass filter. (a) 11. (b) 21.

S

S

double-sided parallel-strip line, the currents along the filter are out-of-phase and in close proximity, and the far-field radiations resulting from the top and bottom circuits cancel out. Thus, the radiation loss of the proposed bandpass filter becomes small. For demonstrating the idea, a bandpass filter is designed, fabricated, and measured. The dimensions of the filter are as follows. mm. • mm. • mm. • mm. • • mm. mm. • mm. • mm. • The simulation and measurement have been conducted using IE3D and an HP 8510 network analyzer, respectively. Fig. 13(a) and (b) shows the simulated and measured results. Centered at 2.33 GHz, the fabricated bandpass filter has two transmission zeros at 2.13 and 2.52 GHz. The insertion loss of the passband is less than 1.6 dB and the return loss is better than 10 dB. There is 0.8-dB improvement of the insertion loss as compared with the microstrip filter in [23]. As can be seen from Fig. 13, the simulated and measured results show almost

[1] C.-W. Tang, J.-W. Sheen, and C.-Y. Chang, “Chip-type LTCC-MIC baluns using the stepped impedance method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2342–2349, Dec. 2001. [2] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. -band narrow band[3] B. G. Choi, M. G. Stubbs, and C. S. Park, “A pass filter using LTCC technology,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 388–389, Sep. 2003. [4] J.-H. Lee et al., “Highly integrated millimeter-wave passive components using 3-D LTCC system-on-package (SOP) technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2220–2229, Jun. 2005. [5] Y.-H. Jeng, S.-F. R. Chang, and H.-K. Lin, “A high stopband-rejection LTCC filter with multiple transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 633–638, Feb. 2006. [6] Y.-X. Guo, Z. Y. Zhang, L. C. Ong, and M. Y. W. Chia, “A novel LTCC miniaturized dual-band balun,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 143–145, Mar. 2006. [7] A. Sutono, J. Laskar, and W. R. Smith, “Design of miniature multiplayer on-package integrated image-reject filter,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 156–162, Jan. 2003. [8] T. Nishino and T. Itoh, “Evolutionary generation of 3-D line-segment circuits with a broadside-coupled multiconductor transmission-line model,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2045–2054, Oct. 2003. [9] C.-F. Chen, T.-Y. Huang, C.-H. Tseng, R.-B. Wu, and T.-W. Chen, “A miniaturized multiplayer quasi-elliptic bandpass filter with aperturecoupled microstrip resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2688–2692, Sep. 2005. [10] A. Sawicki and K. Sachse, “Novel coupled-line conductor-backed coplanar and microstrip directional couplers for PCB and LTCC applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1743–1751, Jun. 2003. [11] Y. Mu, Z. Ma, and D. Xu, “A novel compact interdigital bandpass filter using multiplayer cross-coupled folded quarter-wavelength resonators,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 847–849, Dec. 2005. [12] H.-S. Wu, H.-J. Yang, C.-J. Peng, and C.-K. C. Tzuang, “Miniaturized microwave passive filter incorporating multiplayer synthetic quasi-TEM transmission line,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2713–2720, Sep. 2005.

Ka

1904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

[13] M.-F. Wong, V. F. Hanna, O. Picon, and H. Baudrand, “Analysis and design of slot-coupled directional couplers between double-sided substrate microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 12, pp. 2121–2129, Dec. 1991. [14] J.-S. Hong and M. J. Lancaster, “Aperture-coupled microstrip openloop resonators and their applications to the design of novel microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1848–1855, Sep. 1999. [15] W. Menzel and A. Balalem, “Quasi-lumped suspended stripline filters and diplexers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3230–3237, Oct. 2005. [16] J.-S. Park, J.-S. Yun, and D. Ahn, “A design of the novel coupled-line bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002. [17] H. A. Wheeler, “Transmission-line properties of parallel strips separated by a dielectric sheet,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 3, pp. 172–185, Mar. 1965. [18] S.-G. Kim and K. Chang, “Ultrawide-band transitions and new microwave components using double-sided parallel-strip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2148–2151, Sep. 2004. [19] S. Sun and L. Zhu, “Stopband-enhanced and size-miniaturized lowpass filters using high-impedance property of offset finite-ground microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2844–2850, Sep. 2005. [20] J.-X. Chen, J. L. Li, and Q. Xue, “Lowpass filter using offset doublesided parallel-strip lines,” Electron. Lett., vol. 41, pp. 1336–1337, Nov. 2005. [21] L. Chiu, T. Y. Yum, Q. Xue, and C. H. Chan, “A wideband compact parallel-strip 180 Wilkinson power divider for push-pull circuitries,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 49–51, Jan. 2006. [22] T. Y. Yum, Q. Xue, and C. H. Chan, “Novel subharmonically pumped mixer incorporating dual-band stub and in-line SCMRC,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2538–2547, Dec. 2003. [23] J.-R. Lee, J.-H. Cho, and S.-W. Yun, “New compact bandpass filter using microstrip =4 resonators with open stub inverter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [24] L. Zhu and W. Menzel, “Compact microstrip bandpass filter with two transmission zeros using a stub-tapped half-wavelength line resonator,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 16–18, Jan. 2003.

Jian-Xin Chen (S’05) was born in Nantong, Jiangsu Province, China, in 1979. He received the B.S. degree from HuaiYin Teachers College, Huai’an City, Jiangsu Province, China, in 2001, the M.S. degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2004, and is currently working toward the Ph.D. degree at the City University of Hong Kong, Kowloon, Hong Kong. His research interests include RF/microwave active and passive circuit designs.

Ching-Hong K. Chin (S’03) was born in Hong Kong. He received the B.Eng. degree (with first-class honors) and Ph.D. degree in electronic engineering from the City University of Hong Kong, Kowloon, Hong Kong, in 2003 and 2007, respectively. He is currently with the City University of Hong Kong. His research interests include antenna and RF/microwave active and passive circuit designs. Dr. Chin was the recipient of the Institution of Electrical Engineers Hong Kong (IEE HK) Certificate of Merit presented at the IEE HK YMS Exhibition/Conference in 2004.

Quan Xue (M’02–SM’04) was born in Xichang, Sichuan Province, China. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined the UESTC, as a Lecturer. He became an Associate Professor in 1995 and a Professor in 1997. He was recognized as distinguished academic staff for his contribution in the development of millimeter-wave components and subsystems. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, Kowloon, Hong Kong, where he is currently an Associate Professor and Director of the Applied Electromagnetics Laboratory. Since May 2004, he has been the Principal Technological Specialist of the State Integrated Circuit Design Base, Chengdu, Sichuan Province, China. He has authored or coauthored over 90 internationally referred papers. His current research interests include antennas, smart antenna arrays, active integrated antennas, power amplifier linearization, microwave filters, millimeter-wave components and subsystems, and microwave monolithic integrated circuits (MMICs), and RF integrated circuits (RFICs). Dr. Xue was co-supervisor of two IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Contest Paper winners [third place (2003) and first place (2004)].

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1905

Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters Antonio Morini, Giuseppe Venanzoni, Marco Farina, Member, IEEE, and Tullio Rozzi, Life Member, IEEE

Abstract—The filter prototype of side-coupled coaxial filters, which we recently proposed, has been modified to suit an arrangement in which the coupling apertures are placed on the side opposite the coaxial end gaps. In this situation, the modified prototype fits the physical counterpart better, resulting in a further design simplification. In addition, the feeds have also been modeled and included into the prototype, thus enhancing the correspondence between the prototype and physical structure. A practical design, concerning a WIMAX application, shows the reliability of this solution.

Fig. 1. Coaxial six-pole filter where coupling windows and coaxial end gaps are located on opposite sides.

Index Terms—Coaxial filters, prototype.

I. INTRODUCTION

I

N A recent paper, we presented a filter prototype that is suitable for modeling coaxial filters, where inter-resonator coupling takes place by means of windows cut in the sidewalls. In particular, the model referred to an arrangement in which windows were cut on the same side as the coaxial end gaps, as shown in [1, Fig. 1]. An alternative practical situation, which deserves to be considered, occurs when coupling windows are placed on the side opposite the end gaps (Fig. 1). This solution is often preferred as: 1) it allows larger attenuation since the windows are less open than in the previous arrangement, although they maintain the same mechanical simplicity and 2) tuning is much easier since cavity resonances are less influenced by couplings, as can be inferred by noting that coupling end gaps and windows are physically better separated. As will be shown below, this also produces a significant design simplification compared with the original formulation [1]. To that end, this contribution shows how to modify the original prototype so as to best fit the configuration in Fig. 1. Note that although we generally refer to coaxial resonators throughout this paper, we will consider the case of square coaxial resonators. In fact, this choice allows a higher to be obtained and was, therefore, preferred. Nevertheless, the theory also applies to the “pure” coaxial case, provided that the required database is built accordingly, as will be explained below. For this reason, we use the adjective “coaxial” in a more general sense, leaving the reader to understand from the context which kind of coaxial (circular, square elliptical, or other cross section) we mean. Manuscript received February 21, 2007; revised May 9, 2007. This work was supported by MITEL Srl. The authors are with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, I60131 Ancona, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904329

As in our early paper, the main aim is the identification of the prototype with the physical structure, in such a way that there is a one-to-one correspondence between their elements. Finally, a complete design process, concerning a WIMAX application, will also be discussed in detail. II. EQUIVALENT CIRCUIT In order to get a closer correspondence with the physical filter under consideration, the well-known Cohn prototype for -line inline filters [2] can easily be modified by replacing the sections with series stubs of the same length [3], as shown in Fig. 2(a). Hereafter, it is assumed the characteristic impedances of the lines are all unity. This correspondence can be further improved by removing the shorts and loading each stub with a capacitor of normalized in order to account for the capacitance existing capacitance between the end of the inner coaxial and the metallic top. The new prototype maintains the original response provided that the lines are considerably shortened—their final electrical lengths are even shorter than 90 —and the inverters are modified correspondingly, as depicted in Fig. 2(b). Note that exactly the same results would have been obtained starting from a prototype containing open stubs, 90 long, and replacing the opens with the same capacitors ’s. The new parameters are (1) and

(2) where is the slope of the input reactance of the stub, capacitively loaded, calculated at the midband frequency

0018-9480/$25.00 © 2007 IEEE

(3)

1906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

(a)

(b) Fig. 2. (a) Original Cohn’s directly coupled cavity filter prototype. (b) New prototype with gap capacitances placed at the opposite sides of the couplings.

where

and

Fig. 3. Basic configuration is made up of two shorted coaxial cables, coupled by an aperture placed on the same side as the shorts. The reference plane of the junction is the top plane, which coincides with the cover plate of the filter. The cross section of the external conductor is rectangular or square, as it allows higher ’s to be obtained, for a given maximum size.

Q

Fig. 4. Equivalent circuit of the junction shown in Fig. 3.

The above prototype works very well, its response being identical to the original one, at first order. In fact, this degree of approximation gives excellent results in all practical cases. When the circuit is implemented as a physical structure, the -inverters are obtained by coupling two adjacent stubs by means of an aperture. Each aperture has, however, its own phase, which cannot be adjusted without affecting the corresponding amplitude. Compensation by adjusting the length of the stub placed between two successive apertures is made difficult by the fact that it acts simultaneously on both couplings. For instance, if the stub length is adjusted so as to compensate the phase of the coupling corresponding to the aperture placed on the left, the phase of the right coupling is inevitably altered. In order to overcome this problem, we have recently introduced the concept of adaptive prototype, whose basic principle is that if we do not use the possibility to change the physical structure according to the

prototype, we can adapt the latter so as to match the physical structure, while maintaining the original response. To understand this method, let us now consider coaxial cables, placed side by side and coupled to each other via apertures cut in their sidewalls. More specifically, let us focus our attention on two of these cables, as shown in Fig. 3. A junction of this type can be modeled as a reciprocal symmetric lossless two-port network, which is perfectly characterized by means of two real parameters, e.g., . the magnitude and phase of This suggests adopting the circuit model shown in Fig. 4, and . The which is characterized by two real parameters scattering matrix of the aperture is defined with reference to transmission lines (1) and (2) of this figure. The latter are coaxial cables having the same cross section as the original resonators. This perspective is alternative to the one

MORINI et al.: MODIFIED ADAPTIVE PROTOTYPE INCLUSIVE OF EXTERNAL COUPLINGS FOR DESIGN OF COAXIAL FILTERS

1907

Fig. 7. Equivalent circuit of the structure shown in Fig. 1. All the parameters l , C , K , and L are related to the geometry of the physical structure, but the last two cannot be set arbitrarily, thus making the response of the prototype of Fig. 2 difficult to obtain. The goal can be achieved by systematically changing the prototype according to the adaptive circuit proposed. Fig. 5. Resonator is coupled via two apertures to the two input coaxials. The apertures are placed on the opposite side of the pin end gap.

Fig. 6. Equivalent circuit of the case where one resonator is coupled to two coaxial cables via two apertures placed on the same side as the resonator short. The gap between the coaxial end and the box of the resonator is modeled by the capacitance C .

proposed by Hui-Wen et al. [4], who looked at each resonator as a two-port fed by two waveguides having the same cross section as the coupling apertures. , are given by Parameters and , calculated in terms of the formulas

(4)

Both the inverter and inductance depend on the aperture, going to zero when the latter is shorted. As expected, in this case, we obtain two uncoupled shorted cables (Fig. 4). The circuit can be easily modified to suit the arrangement where one cable is coupled to two adjacent cables by means of two windows placed in the sidewalls, as shown in Fig. 5. In particular, Fig. 5 shows the arrangement where the central cable has been cut, and the corresponding port shorted so as to form a capacitance across the resulting gap. An equivalent circuit capable of representing this situation is obtained by generalizing the circuit in Fig. 4, as shown in Fig. 6. Note that, in this structure, shorting only one coupling window, e.g., the left one, does not correspond to shorting the center cable, which, on the contrary, requires the shorting of both windows. This situation is modeled perfectly by the circuit, where the , above operation corresponds to shorting the left inductance unaltered. For the sake of simplicity, we have while leaving assumed that each cable is only coupled to two adjacent cables, but the extension to a more general case, where one resonator is coupled to more than two cables, such as in triplets or quadruplets, is straightforward. We are now in a position to re-combine the elements of our equivalent network and to obtain the complete equivalent circuit of the real filter, as shown in Fig. 7.

Fig. 8. Basic configuration for the external coupling. The reference plane of port 2 is the top plane, which coincides with the cover plate of the filter.

Fig. 9. Equivalent circuit of the structure shown in Fig. 8.

The next step consists of tweaking the geometry of the real structure so that its equivalent circuit, already derived, has the same response as one of the prototypes shown in Fig. 2(a) or (b). The main difficulty stems from the fact that we cannot arbitrarily set the parameters of the real structure. As already discussed, the inductances ’s depend on the geometry of the apertures that also affects the -inverter values. III. EQUIVALENT CIRCUIT FOR THE EXTERNAL COUPLING In our previous paper [1], the external coupling was considered separately and optimized by using Ansoft’s High Frequency Structure Simulator (HFSS). In the topology presented here, the external coupling has been included into the adaptive prototype synthesis procedure. Fig. 8 shows the external coupling adopted, formed by a straight (capacitive) launcher. As will be apparent in the following description, alternative coupling structures, such as loops and taps, can also be modeled easily by our method. The main difference with the coupling between two cavities (Fig. 3) is that the structure in Fig. 8 is strongly asymmetrical. In general, a nonsymmetric, reciprocal, and lossless two-port device can be fully described by a circuit containing three independent real parameters (i.e., reactances, susceptances, line sections, etc. [5]). In the case illustrated, a suitable equivalent circuit is the one shown in Fig. 9. This is very effective since it matches the topology of the prototype. In fact, the transmission line at port 1 of electrical length is connected to the feed and can be included in it. In addition, the inductance is of the same

1908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

type as the inductances of the equivalent circuit of the internal couplings, considerably simplifying the identification between prototype and physical structure after appropriate manipulation. and of the circuit in Fig. 9 can easily be Parameters of the junction computed at the derived starting from the midband frequency of the filter and solving the following system of equations:

(5) The electrical length

has the following expression:

Fig. 10. Frequency slope of the resonator the two transformers : 1 and 1 : .

n

n

X

is restored by placing it between

A problem now arises from the fact that the frequency slopes of the new resonators are different from the original ones and also different from each other, thus affecting both the bandwidth and return loss. , at the midband In fact, the slope of the input reactance frequency, is given by the expression (10)

(6)

IV. DERIVATION OF THE ADAPTIVE PROTOTYPE The solution is given by modifying the strategy developed in [1] in order to match the circuit to the physical structure described here. To calculate the apertures corresponding to the prototype inverters, with the help of Ansoft’s HFSS [6], we built a database ’s of the two-port shown in Fig. 3, which concontaining the sists of two coaxial cables coupled via an aperture, for 20 values of the aperture . The database was calculated at the midband frequency of the filter. The window height was set to a suitable value, allowing the desired range of inverters to be obtained. Thus, by interpolating the stored data, using a standard bi-cubic spline algorithm [7], and adopting (3), the aperture corresponding to each -inverter is easily derived. However, the value of the inductance , which affects the filter response considerably, is also obtained. More precisely, each resonator is connected to the left and and , as shown right side inverters by the two inductances of the resonator, calculated by in Fig. 6. The input reactance including these inductances, is given by the formula

(7)

In order to preserve resonant frequency , the stub length must be modified with respect to the original prototype value . The new value is obtained by solving the equation (8) yielding explicitly

(9)

The original slope, and consequently the design bandwidth and return loss, can be restored by placing each resonator beand , as shown tween two ideal transformers in Fig. 10, without affecting the resonance frequency . The transformer ratio is calculated so that the slope of the circuit shown in Fig. 10 is the same as that of the original susceptance . This occurs when (11) yielding the value of we are looking for. In turn, the th coupling is now formed by the cascade , the original inverter , and between a transformer . This is equivalent to an inverter of the transformer , replacing the original inverter . When all impedance the inverters are modified according to the slope requirements, we can proceed with their synthesis by choosing the apertures corresponding to their values, as discussed above, and repeating the procedure until convergence is achieved. The design points are summarized as follows. a) Select a Cohn prototype, formed by classic impedance inverters and stubs, shown in Fig. 2(a). and calculate via b) Select the nominal gap capacitance (1)–(3) both the new stub lengths and the impedance . In order to make the prototype as close as inverters possible to the real physical structure, the capacitance is just the gap capacitance between the inner conductor and the metallic enclosure for each resonator. This capacitance can easily be estimated with the help of Ansoft’s HFSS. of the two-port junction c) Compute a database for shown in Fig. 3 for a certain number of widths , e.g., 20, and for a suitable height . d) Estimate, by interpolating the stored data and by means of . The (4), the width corresponding to each inverter corresponding inductances are also obtained. e) Compute the length of each post inside the cavities by using (9). f) Calculate by means of (11) the transformer values , necessary in order to correct the slope of the inverters, altered

MORINI et al.: MODIFIED ADAPTIVE PROTOTYPE INCLUSIVE OF EXTERNAL COUPLINGS FOR DESIGN OF COAXIAL FILTERS

TABLE I VALUES OF THE NORMALIZED -INVERTERS AND OF THE CORRESPONDING COUPLINGS (SEE FIG. 12) FOR THE FILTER CONSIDERED

1909

TABLE II VALUES OF APERTURES FOR THE INTERNAL COUPLINGS AND PROBE LENGTHS FOR EXTERNAL COUPLINGS AT EACH ITERATION (ALL DIMENSIONS ARE IN MILLIMETERS)

K

by the presence of the inductances, and calculate the new inverter values

TABLE III VALUES OF POST LENGTH AT EACH ITERATION (ALL DIMENSIONS ARE IN MILLIMETERS)

(12) g) Repeat from point d) until convergence is achieved. A different approach consists in the modification of the final gap instead of post lengths. In this case, the only difference is point e), in which a different gap capacity has to be computed while the same length of the post is maintained. The convergence requires few steps (two, in most cases) and will be discussed in Section V. V. RESULTS As an application of the proposed method, we show in detail the design of a filter having a Chebyshev response, center frequency of 3.62 GHz, a bandwidth of 20 MHz, six cavities, and a minimum return loss of 20 dB. In order to be as close as possible to real cases, we considered a filter tailored for WIMAX applications. The values of the inverters and the corresponding couplings [3] of the prototype in Fig. 2(b) are reported in Table I. The radius of the cylinders is 4.1 mm, while the housing cross section (25 mm 27 mm) [8] is not perfectly squared in order to exploit all the available room and obtain the highest possible . We took the same end-capacitance of 18.72 pF for all the coaxial resonators, corresponding to a gap of 8 mm. The in impedance of the coaxial cable was approximately 75 order to minimize ohmic losses. All apertures between the resonators have the same height of 6 mm, while the width depends on the amount of coupling to be obtained. Tables II and III show the values of the apertures and resonator lengths corresponding to each iteration. In Table II, Iteration 0 shows the post lengths with reference to Fig. 2(b), after the application of (1) and before the adaptive process. It should prototype, the final be noted that, although we started from a lengths of the posts proved to be less than 0.184 at midband. As can be seen, convergence is reached even at the first step. Fig. 11 shows a comparison between the response of the ideal prototype and Ansoft’s HFSS simulation of the filter designed according to the identification process presented. It should be emphasized that the filter simulated is derived exactly from the design scheme without any additional optimization. The agreement is quite good when considering how narrow

Fig. 11. Full-wave simulation of the filter shown in Fig. 1.

the bandwidth is. In fact, the minimum return loss in the passband is 10 dB and the band is correct. The small deviation can be corrected by using tuning screws, which are always present in this kind of filter, in order to cover different bands, without the need to change the filter body. VI. COMPARISON WITH STANDARD APPROACHES It is interesting to compare the results obtained by this approach with those that are derived from a more classical design, where apertures are dimensioned on the basis of the even/odd analysis of two identical resonators coupled via a rectangular aperture cut in the separating wall, as shown in Fig. 12. Note that this configuration, with a cavity, is substantially different from the one illustrated in Fig. 3, which had a two-port device.

1910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 12. Basic configuration considered in the classical synthesis is made up of two resonators coupled via an aperture placed in the inter wall.

Fig. 13. Equivalent circuit of the two identical resonators used to design the filter, used in traditional approaches. TABLE IV VALUES OF APERTURES FOR THE CLASSICAL DESIGN (ALL DIMENSIONS ARE IN MILLIMETERS) Fig. 14. Full-wave simulation (jS 21j) of the filter designed according to the classical method, which matches the coupling between equal resonators.

The classical equivalent circuit of this configuration is shown in Fig. 13. corresponding, reFrom the two resonant frequencies , spectively, to the even and odd resonant modes, calculated by means of the eigenmode solver of Ansoft’s HFSS [6], we de[9] rive the value of the coupling coefficient Fig. 15. One of the realized WIMAX diplexers, designed with the adaptive technique discussed in this paper (courtesy MITEL, Cornate D’Adda, Milan, Italy).

The - and -parameters of a single resonator are also calculated with the help of Ansoft’s HFSS. For the dimensions conmm, capacitive gap mm), sidered (post length their values are nH and

pF

Even in this case, in order to determine the aperture widths, we made up a database containing the coupling coefficients for a set of aperture widths. Finally, by interpolating the stored data, we obtained the apertures, reported in Table IV. Note that we recalculated only the apertures, while launchers and posts 1 and 6 have not been changed with respect to the previous design. The maximum difference between the two designs is nearly 0.15 mm for the apertures, and 0.3 mm for the posts. It is obvious that, in practice, this type of deviation is easily compensated for by inserting a little more the tuning screws. However, a comparison between the HFSS simulation of the filter designed, shown in Figs. 14 and 15 and the response shown in Fig. 16, clearly shows the high accuracy of the proposed adaptive prototype.

Fig. 16. Response of the diplexer developed. A comparison with the theoretical prediction is meaningless, as the real device contains many tuning elements, which considerably alter the data.

MORINI et al.: MODIFIED ADAPTIVE PROTOTYPE INCLUSIVE OF EXTERNAL COUPLINGS FOR DESIGN OF COAXIAL FILTERS

It should also be noted that the difference is so large that we have to adopt a larger scale to represent the last results. Finally, a photograph and the experimental results for one of the diplexers developed are shown. We deliberately make no comparison between theory and experiment since the real structure is not only slightly different from the one designed, as a result of the mechanical tolerances, but also contains many tuning elements by which it would be possible to obtain almost any theoretical response. We believe that the comparisons shown in Figs. 11 and 14, obtained using an independent, reliable, and widespread software, are much more useful, as they can easily be checked by any reader. VII. CONCLUSIONS An adaptive filter prototype, particularly suited to model one type of coaxial side-coupled filter, has been shown. We have also discussed the step-by-step design of a filter, always checking the correspondence between each element of the prototype and its physical counterpart, thus improving performance and reducing development costs. ACKNOWLEDGMENT The authors are indebted to G. Cereda, Alcatel-Lucent, Vimercate, Milan, Italy, for his valuable suggestions. The authors extend special thanks to M. Villa, MITEL Srl, Cornate d’Adda, Milan, Italy, not only for helpful discussions and suggestions, but also for the development and measurement of several prototypes. REFERENCES [1] A. Morini, G. Venanzoni, and T. Rozzi, “A new adaptive prototype for the design of side-coupled coaxial filters with close correspondence to the physical structure,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1146–1153, Mar. 2006. [2] S. B. Cohn, “Direct-coupled resonator filters,” Proc. IRE, vol. 45, no. 2, pp. 187–196, Feb. 1957. [3] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, p. 432. [4] Y. Hui-Wen, K. Zaki, A. E. Atia, and R. Hershtig, “Full wave modeling of conducting posts in rectangular waveguides and its applications to slot coupled combline filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2824–2830, Dec. 1995. [5] N. Marcuvitz, Waveguide Handbook, ser. IEEE Electromagn. Waves. Piscataway, NJ: IEEE Press, 1986. [6] Ansoft HFSS. ver. 10, Ansoft Corporation, Pittsburgh, PA, 2006. [7] W. H. Press, S. A. Teukolsky, W. T. Vetterling, and B. P. Flannery, Numerical Recipes in C. Cambridge, U.K.: Cambridge Univ. Press, 1992, pp. 113–116. [8] R. Levy, Y. Hui-Wen, and K. A. Zaki, “Transitional combline/evanescent-mode microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2094–2099, Dec. 1997. [9] N. A. McDonald, “Electric and magnetic coupling through small apertures in shield walls of any thickness,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 10, pp. 689–695, Oct. 1972.

1911

Antonio Morini received the Laurea and Ph.D. degrees from Ancona University, Ancona, Italy, in 1987 and 1992, respectively. He is currently an Associate Professor of applied electromagnetism with the Università Politecnica delle Marche, Ancona, Italy. His research is mainly devoted to the modeling and design of passive microwave components, such as filters, and antennas.

Giuseppe Venanzoni received the Laurea degree and Ph.D. degree in electronic engineering from the Università di Ancona, Ancona, Italy, in 2001 and 2004, respectively. He is currently a Post-Doctoral Student of electromagnetism–microwaves with the Università Politecnica delle Marche, Ancona, Italy. His research interests include the design of waveguide passive devices, microwave filters, and antennas.

Marco Farina (M’98) was born in Luzern, Switzerland, in 1966. He received the M. Eng. (summa cum laude) degree in electronics and Ph.D. degree from the University of Ancona, Ancona, Italy, in 1990 and 1995, respectively. From 1991 to 1992, he was Technical Officer with the Italian Army. Since 1992, he has been with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche (formerly the Department of Electronics and Automatics, University of Ancona), Ancona, Italy, where he is currently an Associate Professor. He is also a Consulting Engineer in electronics. He coauthored Advanced Electromagnetic Analysis of Passive and Active Planar Structures (IEE Press 1999). He developed the full-wave software package for 3-D structures EM3DS.

Tullio Rozzi (M’66–SM’74–F’90–LM’07) received the Dottore degree in physics from the University of Pisa, Pisa, Italy, in 1965, the Ph.D. degree in electronic engineering from The University of Leeds, Leeds, U.K., in 1968, and the D.Sc. degree from the University of Bath, Bath, U.K., in 1987. From 1968 to 1978, he was a Research Scientist with the Philips Research Laboratories, Eindhoven, The Netherlands. In 1975, he spent one year with the Antenna Laboratory, University of Illinois at UrbanaChampaign. In 1978, he became the Chair of Electrical Engineering with the University of Liverpool. In 1981, he became the Chair of Electronics and Head of the Electronics Group, University of Bath, where he was also Head of the School of Electrical Engineering on an alternate three-year basis. Since 1988, he has been a Professor with the Dipartimento di Elettromagnetismo e Bioingegneria, Università Politecnica delle Marche, Ancona, Italy, where he is also Head of the department. Dr. Rozzi was the recipient of the 1975 Microwave Prize presented by the IEEE Microwave Theory and Technique Society (IEEE MTT-S).

1912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

A Tunable Bandstop Resonator Based on a Compact Slotted Ground Structure Xiao-Hua Wang, Bing-Zhong Wang, Member, IEEE, Hualiang Zhang, and Kevin J. Chen, Senior Member, IEEE

Abstract—A new slotted ground structure featuring compact size and spurious-free passband in the context of microstrip lines is proposed. The compactness of the new structure originates from the lengthened coupling gap in the ground. The spurious-free response in a wide passband is a result of combining both narrow and wide slots in the new structure, which effectively forms a stepped-impedance slot resonator. Compared to the previously proposed dumbbell- and H-shaped defected ground structure, over 40% size reduction can be realized in the new structure. The new structure also provides flexibilities in introducing additional functionalities to the bandstop resonators. By embedding varactors in the slotted ground structure, tunable bandstop resonators can be implemented and 13% tuning range centered at 2.36 or 2.67 GHz have been obtained. Index Terms—Defected ground structure, microstrip, slotted ground structure, tunable bandstop resonator.

I. INTRODUCTION RANSMISSION lines, when incorporated with patterned ground structures such as slots and holes, exhibit attractive characteristics including stopband and slow wave effect [1]–[3]. These patterned structures are sometimes referred to as “defected” ground structures or slotted ground structures [3]. When implemented in the ground plane, these structures enable the efficient usage of printed circuit boards (PCBs) in microwave hybrid modules and semiconductor substrates in monolithic microwave integrated circuits. Various slotted and defected ground structures have been proposed and applied for spurious-suppression of filters, harmonic tuning, and suppressions for amplifiers and antennas [4]–[10]. The dumbbell-shaped cell shown

T

Manuscript received February 28, 2007; revised June 9, 2007. This work was supported by the Specialized Research Fund for the Doctoral Program of Higher Education of China under Grant 20060614005, by the National Natural Science Foundation of China under Grant 90505001, by the University of Electronic Science and Technology of China under the Creative Research Team Program, and by the Hong Kong Research Grant Council under Grant CERG 611805. X.-H. Wang is with the Institute of Applied Physics, University of Electronic Science and Technology of China, Chengdu 610054, China, and also with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Kowloon, Hong Kong (e-mail: [email protected]). B.-Z. Wang is with the Institute of Applied Physics, University of Electronic Science and Technology of China, Chengdu 610054, China. H. Zhang was with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Kowloon, Hong Kong. He is now with the Department of Electrical and Computer Engineering, University of Arizona, Tucson, AZ 85721 USA. K. J. Chen is with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Kowloon, Hong Kong (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904045

in Fig. 1(a) is one of the first defected ground structure proposed [2]. Based on this structure, many other modified and new patterned ground structures have been proposed to further improve the performance [11]–[14]. In [11] and [12], wide stopbands were obtained by cascading dumbbell-shaped resonant cells with different resonance frequencies in the ground plane. A superposition of an I- and H-shaped defected resonant cell was proposed to design an ultra-wide stopband low-pass filter [13]. An H-shaped resonant cell was proposed, shown in Fig. 1(b), for its compact characteristics [14]. The circuit models for the patterned ground structures were also proposed [2], [15]. Recently, the conventional dumbbell-shaped resonant cell was modified by adding a patch in the middle of the defected holes [16], [17], as shown in Fig. 1(c). By adding a varactor between the ground and patch (where a bias can be applied with the help of external dc-bias networks), tunable bandstop resonators have been realized in the context of a coplanar waveguide (CPW). The merit of tunable resonators lies in the need of tunable amplifiers and antennas that are desired for software-defined radios or reconfigurable wireless systems. Tunable bandstop resonators can be used for the harmonic traps that can be integrated with tunable amplifiers or antennas to improve the circuit performance. In this paper, we propose a microstrip bandstop resonator with a slotted ground structure [as shown in Fig. 1(d)] featuring compact size and wide spurious-free passband. Compared to the conventional dumbbell-, H-, and modified dumbbell-shaped bandstop resonators, the proposed resonator cell exhibits 94%, 57%, and 88% size reduction, at a resonance frequency of 3.85 GHz. By introducing additional gaps in the slot, varactors can be embedded to the resonator cell and tunable bandstop resonators can be realized. The microstrip configuration also allows easy placement of the RF chokes that are required for the biasing circuits. The tunable bandstop resonator was demonstrated by implementing the proposed structure on a Rogers RT/Duroid 6010 PCB. A 13% tuning range centered at 2.36 or 2.67 GHz is achieved. The design concept, compactness, and passband response of the proposed slotted ground structure are illustrated in Section II based on full-wave electromagnetic (EM) simulation. Tunable bandstop resonators are then discussed and verified by measurement results from a fabricated circuit in Section III. Section IV provides concluding remarks. II. DESIGN AND CHARACTERISTICS OF THE PROPOSED SLOTTED GROUND STRUCTURE A. Compact Size For the typical dumbbell-shaped cell shown in Fig. 1(a), the resonance frequency depends on the physical dimensions

0018-9480/$25.00 © 2007 IEEE

WANG et al.: TUNABLE BANDSTOP RESONATOR BASED ON COMPACT SLOTTED GROUND STRUCTURE

1913

Fig. 2. Simulated results of the four structures (as drawn in Fig. 1) with the same occupying area.

Fig. 3. Equivalent-circuit model of the bandstop resonators.

Fig. 1. Schematic layout of various slotted ground structures. (a) Dumbbellshaped defected ground structure (from [2]). (b) H-shaped defect ground structure (from [13]). (c) Modified tunable dumbbell-shaped defected ground structure (from [15]). (d) Our proposed slotted ground structure. The microstrip lines are outlined by the dark lines.

of the cell. For example, can be reduced by using smaller gap , larger and , or larger distance between the two bells. Since is generally limited by PCB fabrication techniques, increasing the size of the cell is the practical approach of reducing the resonance frequency. If there are floating patches will inside the dumbbell-shaped cell, as shown in Fig. 1(c), exhibit a shift toward lower values [16]. In the H-shaped cell and and the [see Fig. 1(b)], is affected by the length of width of and . Once again, the lower is, the larger the physical dimensions. The proposed cell, as shown in Fig. 1(d), is based on moving the coupling gap to the edges of the slotted holes and lengthening the coupling slot. To compare the compactness of the four structures in Fig. 1, we simulated the transof microstrip lines on top of these mission coefficient structures. The simulations are performed using a full-wave EM simulator, i.e., Ansoft’s High Frequency Structure Simulator (HFSS). The substrate used in the simulation has the same parameters as the Rogers RT/Duroid 6010 with a board thickness , and a loss tanof 0.635 mm, a dielectric constant of gent of 0.0023. In the simulations, the width of the center metal traces in all the structures ( ) is kept at a fixed value and is the same as the width of the microstrip lines. when the rectangular occuFig. 2 shows the results of pying areas of the four structures (as drawn in Fig. 1) are all the mm, mm, mm, same, where

mm, mm, mm, mm, mm, and mm. of the proposed cell is 1.9 GHz, compared to 3.5 GHz for the H-shaped cell, 5.6 GHz for the modified dumbbell cell, and 6.6 GHz for the conventional dumbbell cell. The compactness of the proposed resonant cell can be understood based on the parameters extracted from the equivalent-circuit model (Fig. 3) proposed by Woo et al. [18]. Table I compares the extracted equivalent-circuit parameters of the proposed cell and the conventional dumbbell-shaped cell. It is observed that the capacitance of the proposed cell is approximately ten times that of the dumbbell-shaped cell, while there is little difference in the inductances. Thus, we can conclude that the extended gap length results in increased capacitance, and the increased capacitance leads to reduction and size reduction. B. Suppression of Spurious Response in a Wide Passband The proposed structure exhibits high- resonance and spurious-free wide passband. With a fixed bandstop resonance frequency of 3.85 GHz, the physical parameters of the four bandstop resonators under study were designed and the simulated transmission coefficients are plotted in Fig. 4. Table II summarizes the physical dimensions of the four bandstop resonators. The proposed structure, once again, shows significant size reduction. The rectangular occupying area of the proposed cell is approximately 6%, 43%, and 12% of the conventional dumbbell-, H-, and modified dumbbell-shaped cell, respectively. is an important parameter used The unloaded factor to evaluate the intrinsic loss of a resonant circuit. From the equivalent-circuit parameters , , and of the four cells listed in Table II, their ’s are calculated by , where

1914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I EXTRACTED EQUIVALENT-CIRCUIT PARAMETERS FOR THE TWO STRUCTURES

Fig. 4. HFSS simulation results of four bandstop resonators shown in Fig. 1.

TABLE II SIZE AND Q FACTOR COMPARISON OF FOUR RESONANT CELLS

Fig. 5. Layout of two cells and their simulation results. (a) U-slot cell. (b) Our proposed cell. (c) Simulated S . (Where b = 0:6 mm, c = 0:2 mm, l = 9 mm, x = 1 mm, and y = 7 mm.)

is the resonant angularf frequency. It is 51.2 for our proposed structure, 11.1 for the H-shaped resonant cell, 10.9 for the traditional dumbbell cell. and 17.1 for the modified dumbbell cell. Our proposed resonator structure has the highest unfactor, reflecting its low intrinsic loss. In addition, loaded the spurious response observed between the fundamental resonance frequency and 10 GHz in three previous proposed structures does not occur in the newly proposed slotted ground structure, a favorable feature for bandstop filters. This phenomenon can be understood by considering the proposed structure as a stepped-impedance (achieved by the narrow slot on the top and wide slot at the bottom) slotline resonator, which is capable of shifting the spurious harmonic responses to higher frequencies [19]. For applications that require narrowband rejections, highfactor is preferred for the bandstop resonator. Recently, it was

shown [18] that the loaded factor of a U-slot structure [as drawn in Fig. 5(a)] increases when the distance between the two slots in the U-shape decreases. The same trend of the loaded factor has also been observed in our proposed structure [as drawn in Fig. 5(b)]. On the other hand, our proposed structure offers more compact size compared to the U-slot structure. A comparison between the two structures (ours and the U-slot) is illustrated in Fig. 5(c). With the same occupying area and the same slot width, our proposed structure possesses similar (610.7 compared to 648.5), but exhibits lower resonant high frequency. III. TUNABLE BANDSTOP RESONATORS A. Slotted Ground Structure for Tunable Bandstop Resonator In Section II, we proposed a new bandstop resonator cell, which features a longer slot compared to the conventional dumbbell-shaped cell. This slot increases the effective capacresonant tank significantly, leading to size itance of the reduction. In addition, the long ground lines along the slot provide flexibilities in modifying the structure for enhanced functionalities. In Fig. 6, the original and a modified structure

WANG et al.: TUNABLE BANDSTOP RESONATOR BASED ON COMPACT SLOTTED GROUND STRUCTURE

1915

Fig. 8. C–V characteristics of the varactor used in the tunable bandstop resonators.

Fig. 6. Layout of two types of slotted ground structure.

Fig. 7 Simulated and measured results of Type I and II slotted ground structures shown in Fig. 6.

TABLE III EXTRACTED EQUIVALENT-CIRCUIT PARAMETERS FOR Type I AND II

Fig. 9. Tunable bandstop resonator with one varactor. (a) Schematic layout. (b) Measured results with three different tuning biasing voltages.

are shown. Type I is our originally proposed cell discussed in Section II. Type II, shown in Fig. 6(b), is a modified Type I in which the ground lines along the slot are disconnected from and capacitive coupled to the center ground line. The strength and , of the coupling is determined by the size of the slots shown in Fig. 6(b). We found that the value of the coupling capacitance determines the resonance frequency of the proposed cell. The two cells in Fig. 6 were designed and fabricated with

the following physical dimensions: mm, mm, mm, mm, mm, and mm. The simulated and measured results of Type I and II cells are plotted in Fig. 7. The resonance frequency is 2.20 and 3.52 GHz for the Type I and II cells, respectively. Table III summarizes the equivalent-circuit parameters of the two slotted ground structure resonators. It can be observed that the effective capacitance in the Type II resonator is reduced, leading to a higher resonance frequency. Thus, if the coupling capacitance between the arms and center ground line can be electronically controlled,

1916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 11. Measured results of the tunable bandstop resonator with two varactors under three different biasing voltages.

Fig. 10. Tunable bandstop resonator. (a) View of the ground plane. (b) Schematic drawing of the ground plane. (c) View of the signal plane.

a bandstop resonator with tunable resonance frequency can be achieved. Since Type I represents the situation when the slot ( and ) coupling capacitance is infinite, the resonance frequency of Type I represents the theoretical lower limit for the tuning range. Type II represents the case when the slot coupling capacitance is negligibly small, setting up the theoretical upper limit for the tuning range. The tuning of the slot capacitance can be achieved by inserting varactors into the slots while applying dc bias at the open ends of the arms. B. Experimental Results of the Tunable Bandstop Resonator In our study, the MV31020-46 varactors from the MDT Corporation, Westford, MA, are used in the and slots in

Fig. 6(b). Fig. 8 shows the capacitance–voltage (C–V) characteristics of this varactor. The tuning range of capacitance is from 0.5 to 10.5 pF. When one slot is open and one slot is occupied by one varactor, as shown in Fig. 9(a), 12.8% tuning range centered at 2.67 GHz is achieved in measurement, as shown in Fig. 9(b). and , as We can also apply two varactors in both of slots shown in Fig. 10. DC bias needs to be provided and RF chokes are needed between the dc voltage source and the RF current path. The placement of the dc bias and the RF chokes should have minimum impact on the resonant cell in the ground plane, thus making it difficult to be implemented in the ground plane. The microstrip configuration allows the placement of the RF chokes on the signal plane, as shown in Fig. 10(c). With the two varactors simultaneously controlled by a single biasing voltage, the measured results are shown in Fig. 11. A 13.2% tuning range centered at 2.35 GHz was obtained. The simulated and measured results are plotted together in Fig. 12 when the capacitance of the varactors are at the available minimum and maximum values, together with a simulated curve when the varactor’s capacitance was set at a unrealistic small value of 0.01 pF. The challenge in realizing large tuning range lies in obtaining varactors that can be tuned to very small capacitance value. From Fig. 12, it can be seen that there is a pF and small difference when good agreement when pF between the measured and simulated resonance frequency. The difference at a lower capacitance value is a result of the parasitics of the varactor. Due to the parasitic inductance and capacitance of varactors, there is a 0.11-GHz difference in between the simulation and measurement results. The effect of the parasitics is especially significant when the varactor is tuned to small capacitance value. Fig. 13 shows the equivalent-circuit model of the varactor. It is obvious that and would affect the effective inductance and capacitance of and in the HFSS simulation, the the varactor. By adding phenomena has been validated. Since the capacitance of the varactor cannot reach zero, it cannot work as an open component absolutely, limiting the tuning range at the upper end. The simpF is plotted in ulated result by HFSS by assuming a Fig. 12. It is found that is close to 3.5 GHz (the resonance frequency of the Type II cell in Fig. 6) if a varactor with a 0.01-pF minimum capacitance is available.

WANG et al.: TUNABLE BANDSTOP RESONATOR BASED ON COMPACT SLOTTED GROUND STRUCTURE

Fig. 12. Measured and simulated results of a tunable bandstop resonator with two varactors.

Fig. 13 Equivalent-circuit model of the varactor. Parasitic capacitance and inductance are represented by C and L , respectively.

IV. CONCLUSION We have proposed a new slotted ground bandstop resonator featuring a lengthened coupling slot that results in increased capacitance and reduced resonance frequency. Compared to other previously proposed bandstop resonator structures in the ground plane, the physical size of the new resonator is greatly reduced with the same resonance frequency. The spurious response is pushed to much higher frequencies, resulting in a spurious-free wide passband. The proposed structure also allows us to design tunable or reconfigurable bandstop resonators. By embedding varactors in the proposed structure, a tuning range of 13% centered at 2.36 and 2.67 GHz was achieved. Good agreements are achieved between simulations and measurements. The tunable bandstop resonator can be integrated with tunable amplifiers or antennas for enhanced performance.

1917

[3] C. Caloz, H. Okabe, T. Iwai, and T. Itoh, “A simple and accurate model for microstrip structures with slotted ground plane,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 133–135, Apr. 2004. [4] J.-S. Lim, H.-S. Kim, J.-S. Park, D. Ahn, and S. Nam, “A power amplifier with efficiency improved using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 4, pp. 170–172, Apr. 2001. [5] J.-S. Lim, C.-S. Kim, J.-S. Park, D. Ahn, and S. Nam, “Design of 10 dB 90 branch line coupler using microstrip line with defected ground structure,” Electron. Lett., vol. 36, no. 21, pp. 1784–1785, Oct. 2000. [6] J.-S. Lim, S.-W. Lee, C.-S. Kim, J.-S. Park, D. Ahn, and S. Nam, “A 4 : 1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [7] Y. J. Sung and Y.-S. Kim, “An improved design of microstrip patch antennas using photonic bandgap structure,” IEEE Trans. Antennas Propag., vol. 53, no. 5, pp. 1799–1804, May 2005. [8] H. Liu, Z. Li, X. Sun, and J. Mao, “Harmonic suppression with photonic bandgap and defected ground structure for a microstrip patch antenna,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 55–56, Feb. 2005. [9] Y. Chung, S.-S. Jeon, S. Kim, D. Ahn, J.-I. Choi, and T. Itoh, “Multifunctional microstrip transmission lines integrated with defected ground structure for RF front-end application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1425–1432, May 2004. [10] J.-S. Lim, C.-S. Kim, Y.-T. Lee, D. Ahn, and S. Nam, “A spiral-shaped defected ground structure for coplanar waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 330–332, Sep. 2002. [11] H.-W. Liu, Z.-F. Li, X.-W. Sun, and J.-F. Mao, “An improved 1-D periodic defected ground structure for microstrip line,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 180–182, Apr. 2004. [12] J.-S. Park, J.-S. Yun, and D. Ahn, “A design of the novel coupled-line bandpass filter using defected ground structure with wide stopband performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2037–2043, Sep. 2002. [13] H.-J. Chen, T.-H. Huang, C.-S. Chang, L.-S. Chen, N.-F. Wang, Y.-H. Wang, and M.-P. Houng, “A novel cross-shape DGS applied to design ultra-wide stopband low-pass filters,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 252–254, May 2006. [14] M. K. Mandal and S. Sanyal, “A novel defected ground structure for planar circuits,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 2, pp. 93–95, Feb. 2006. [15] J.-S. Hong and B. M. Karyamapudi, “A general circuit model for defected ground structures in planar transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 706–708, Oct. 2005. [16] A. M. E. Safwat, F. Podevin, P. Ferrari, and A. Vilcot, “Tunable bandstop defected ground structure resonator using reconfigurable dumbbell-shaped coplanar waveguide,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3559–3564, Sep. 2006. [17] C. C. Wong and C. E. Free, “DGS pattern with enhanced effective capacitance,” Electron. Lett., vol. 42, no. 8, pp. 470–471, Apr. 2006. [18] D. J. Woo, T. K. Lee, J. W. Lee, C. S. Pyo, and W. K. Choi, “Novel U-slot and V-slot DGSs for bandstop filter with improved Q factor,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2840–2847, Jun. 2006. [19] M. Makimoto and S. Yamashita, Microwave Resonators and Filters for Wireless Communications-Theory and Design. Berlin, Germany: Springer-Verlag, 2001.

ACKNOWLEDGMENT The authors would like to thank K. W. Chan, Hong Kong University of Science and Technology (HKUST), Kowloon, Hong Kong, for his valuable help with fabrication and testing.

REFERENCES [1] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [2] D. Ahn, J.-S. Park, C.-S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001.

Xiao-Hua Wang was born in Jiangsu Province, China, in 1980. He received the B.E. degree in electromagnetic field and microwave technology and M.S. degree in radio physics from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree in radio physics at UESTC. From November 2006 to May 2007, he was a Research Assistant with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology. His research interests include computational electromagnetics, transmission line theory, and microwave passive components.

1918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Bing-Zhong Wang (M’06) received the Ph.D. degree in electrical engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988. In 1984, he joined UESTC, where he is currently a Professor. He has been a Visiting Scholar with the University of Wisconsin–Milwaukee, a Research Fellow with the City University of Hong Kong, and a Visiting Professor with the Electromagnetic Communication Laboratory, Pennsylvania State University, University Park. His current research interests are in the areas of computational electromagnetics, antenna theory and techniques, electromagnetic compatibility analysis, and computer-aided design for passive microwave integrated circuits.

Hualiang Zhang was born in Wuhan, Hubei Province, China. He received the B. Eng. degree in electrical engineering and information science from the University of Science and Technology of China (USTC), Hefei, China, in 2003, and the Ph.D. degree in electronic and computer engineering from the Hong Kong University of Science and Technology (HKUST), Kowloon, Hong Kong, in 2007. In May, 2007, he joined the Department of Electrical and Computer Engineering, University of Arizona, Tucson, where he is currently a Post-Doctoral Fellow. His research interests include design and synthesis of microwave filters, microelectromechanical systems (MEMS) technologies (especially their applications to RF passive components), and optimization techniques.

Kevin J. Chen (M’96–SM’06) received the B.S. degree in electronics from Peking University, Beijing, China, in 1988, and the Ph.D. degree from the University of Maryland at College Park, in 1993. From January 1994 to December 1995, he was a Research Fellow with National Telephone and Telegraph (NTT) Large Signal Integration (LSI) Laboratories, Atsugi, Japan, where he was engaged in research and development of functional quantum effect devices and heterojunction field-effect transistors (HFETs). In particular, he developed device technologies for monolithic integration of resonant tunneling diodes and HFETs on both GaAs and InP substrates for applications in ultrahigh-speed signal processing and communication systems. He also developed the Pt-based buried gate technology that is widely used in enhancement-mode HEMT and pseudomorphic HEMT (pHEMT) devices. From 1996 to 1998, he was an Assistant Professor with the Department of Electronic Engineering, City University of Hong Kong, where he performed research on high-speed device and circuit simulations. In 1999, he joined the Wireless Semiconductor Division, Agilent Technologies, Santa Clara, CA, where he was involved with enhancement-mode pHEMT RF power amplifiers used in dual-band global system for mobile communication (GSM)/digital communication system (DCS) wireless handsets. His research with Agilent Technologies has covered RF characterization and modeling of microwave transistors, RF integrated circuits (ICs), and package design. In November 2000, he joined the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology (HKUST), Kowloon, Hong Kong, as an Assistant Professor and, in 2006, became an Associate Professor. He has authored or coauthored over 150 publications in international journals and conference proceedings. With HKUST, his group has performed research on high-speed compound semiconductor devices and ICs, novel III-nitride device technologies, III-nitride and silicon-based microelectromechanical systems (MEMS), silicon-based RF/microwave passive components, RF packing technology, and microwave filter design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1919

Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects Todd J. Spencer, Student Member, IEEE, Paul Jayachandran Joseph, Tae Hong Kim, Student Member, IEEE, Madhavan Swaminathan, Fellow, IEEE, and Paul A. Kohl, Member, IEEE

Abstract—The fabrication of low-loss transmission line structures with an air dielectric layer is described. The channels are characterized at low frequency (10 and 100 kHz) using capacitance and loss tangent and at high frequency (500 MHz to 10 GHz) using -parameter measurements. The incorporation of an air gap resulted in structures with effective dielectric constants between 1.5–1.8 and significantly lower loss tangents. The fabrication technique could be used to create more complicated air gap transmission line structures for use in monolithic microwave integrated circuits. Index Terms—Air gaps, dielectric losses, multiprocessor interconnection, transmission lines.

I. INTRODUCTION

F

UTURE generations of high-performance microprocessors require cost-effective manufacturable packages and substrates, which lower the dielectric permittivity, provide a spatially uniform electrical environment, and which address global wiring scaling issues [1]. One area of particular interest is the propagation of high-frequency signals through transmission lines without sacrificing circuit performance. This is especially important for multichip modules and high-performance servers, routers, and switches where interchip distances can be large and signal strength may be significantly degraded. A variety of material processing and signal-processing techniques are currently being pursued to achieve low-loss transmission lines for these applications. Conventional substrates used in monolithic microwave integrated circuits (MMICs), such as GaAs, or other high-performance substrates, such as Duroid, offer good loss characteristics, but are expensive relative to the much lower cost fiberglass/epoxy substrates based on resins of tetra-bromo bisphenol A (FR-4) and bismaleimide triazine (BT). While FR-4 is attractive for its low cost, it has a relatively high loss characteristic, Manuscript received November 7, 2006; revised February 19, 2007. This work was supported by the Defense Advanced Research Projects Agency (DARPA)/Microelectronics Advanced Research Corporation (MARCO) Interconnect Focus Center. This work was supported in part by MARCO, its participating companies, and DARPA under Contract 2003-IT-674. T. J. Spencer, P. J. Joseph, and P. A. Kohl are with the School of Chemical and Biomolecular Engineering, Georgia Institute of Technology, Atlanta, GA 30318 USA (e-mail: [email protected]). T. H. Kim and M. Swaminathan are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30318 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904326

which results in significant power dissipation in the dielectric. Typical FR-4 materials have dielectric constants in the range of 4.2–5.5 and a loss tangent of approximately 0.02. At high frequencies, the dielectric loss becomes the dominant loss mechanism [2]. Substrate integrated waveguides on FR-4 optimized for low loss, low crosstalk, and maximum bandwidth were reported in [3]. Such waveguide structures achieved low-loss without multimoding and were shown to be compatible with current manufacturing technologies. However, the dimensions are large (linewidths greater than 2.8 mm) and cannot be easily scaled for integration with multichip modules. Digital signal-processing techniques, which can improve data rates, are also being aggressively pursued as an alternative to passive solutions using low-loss materials. These techniques include four-layer pulse amplitude modulation (PAM-4) and duobinary signaling. However, they can increase the circuit complexity and may result in increased power consumption [4]. Previous work by Kohl et al. has presented techniques for air cavity encapsulation using an SiO encapsulant deposited by plasma enhanced chemical vapor deposition and a thermally decomposed sacrificial polymer [5]. The gaseous products from the decomposition reaction are able to permeate through the overcoat leaving an air gap with little residue. Similar encapsulation techniques using sacrificial polymers were demonstrated using Avatrel as the encapsulant material. A variety of sizes and aspect ratios were reported [6]. Fabrication of two-layer stacked air gaps was also demonstrated [7]. Work by Jayachandran et al. demonstrated air cavity formation in Avatrel with reduced decomposition temperature with the addition of small quantities of photoacid generator [8]. The acid catalyzed reaction mechanism was described and the primary products of the decomposition were shown to be acetone and carbon dioxide. The photo acid generator salts lower both the thermal and photolytic decomposition temperature via an acid-catalyzed decomposition reaction. The lower decomposition temperature has several benefits, including reduced energy needs and compatibility with organic substrates. Presented in this study for the first time is a novel fabrication process and measured results for low-loss transmission lines with air cladding. The transmission line structures were fabricated in parallel-plate and suspended ground microstrip configurations on FR-4 and BT substrates. The processing techniques are compatible with conventional MMIC fabrication. The airgapped structure significantly lowers both the effective dielectric constant and dissipation factor, resulting in lower attenuation and phase loss in the line.

0018-9480/$25.00 © 2007 IEEE

1920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

II. BACKGROUND The wave propagation factor of an exponentially decaying -direction can be electromagnetic wave propagating in the expressed in terms of its real and imaginary parts as (1) where is the attenuation constant and is the phase constant. Expressed in terms of the phase constant, the wave propagation velocity is given as (2) where is the angular frequency, is the speed of light in vacuo, and is the relative permittivity of the dielectric. Thus, increased propagation velocity and reduced phase loss are achieved in materials with low dielectric constants [9], [10]. The real component of the wave damping factor in a transmission line consists of loss contributions from the conductor and dielectric. The conductor loss in a parallel-plate waveguide can be expressed as follows:

(3) is the free-space permeability, is the relative perwhere meability, is the conductivity, is the intrinsic impedance of free space (377 ), and is the distance between conductors. The dielectric loss can be expressed by (4) is the loss tangent, or dissipation factor, of the where medium [9]. From (4) and (5), it can be seen that the conductor loss scales with the square root of frequency, while the dielectric loss scales linearly with the frequency. Similar expressions for the conductor and dielectric losses in a microstrip were expressed by Hammerstad et al. using an effective dielectric constant [11]. The dielectric loss in a microstrip line is somewhat lower than in a parallel-plate line, but is still usually the dominant loss mechanism at high frequencies. It is clear from (3) and (4) that reduction of the dielectric constant reduces the loss in both mechanisms. Transmission line structures using higher conductivity materials will have lower conductor losses, while dielectric materials with lower loss tangents diminish the contribution of the dielectric. In order to increase signal propagation velocity and reduce dielectric loss in a transmission line, an air gap can be included in the dielectric layer to lower its relative permittivity [9]. The dielectric constant of an air-gapped substrate can be calculated from (5) where is the relative permittivity of the substrate and and are the thicknesses of the substrate and air gap, respectively

Fig. 1. Simplified process flow diagram.

[12]. The effective dielectric of such an air-gapped structure approaches unity when the substrate thickness is minimized. Air-gap transmission lines using a dielectric post for structural support were demonstrated by Jeong et al. on GaAs substrates [13]. Devices were fabricated in several conventional transmission line geometries using both surface micromachining and photolithography [14], [15]. Microstrip antenna designs on Duroid and FR-4 substrates have also utilized air gaps to achieve lower dielectric constants. The incorporation of an air insulation layer reduces the substrate loss characteristic [16]–[18]. Many different techniques to create air gaps have been investigated for use in microelectronics, including oxidation of sputtered carbon [19], oxidation of photoresist and other organic materials [5], thermal decomposition of sacrificial polymers [7], reflow of photoresist [13], and dissolution of photoresist into a solvent [14]. The former methods require high temperatures C), which are incompatible with organic substrate ( processing, while the latter require a fluid path to allow for contact of the photoresist with solvent. III. FABRICATION PROCESS Air-insulated parallel-plate and suspended ground microstrip lines were created on organic substrates using CMOS-compatible processing techniques and sacrificial polymer with a photoacid generator. A copper signal line was etched with a sacrificial polymer layer defined around it. The polymer is then covered with an overcoat and an electroplated ground line Illustrated in Fig. 1 is a simplified process flow diagram of the buildup procedure used in the fabrication. The substrate used was an FR-4 or BT substrate clad with 27- m-thick copper. AZ4620 photoresist from Clariant, Somerville, NJ, was spun to a thickness of 10 m onto the substrate and soft baked at 110 C for 10 min. The resist was photopatterned and developed to define the 220- m-wide transmission lines. The exposed copper was etched with a solution of 15% H O and 5% H SO . The photoresist was then stripped, leaving only the signal lines on the board shown in Fig. 1(a). The signal lines were 58 mm in length.

SPENCER et al.: AIR-GAP TRANSMISSION LINES ON ORGANIC SUBSTRATES FOR LOW-LOSS INTERCONNECTS

1921

Fig. 2. Dimensions for electrostatic and high-frequency simulations. The dimensions for the parallel-plate structures are a = 24 m, b = 20 m, c = 15 m, d = 27 m, e = 1 m, f = 220 m, g = 220 m, and h = 600 m. For the narrow ground line case, dimensions are the same, except f = 150 m. For the suspended ground microstrip, dimensions are the same, except c = 30 m and f = 650 m.

The photosensitive sacrificial polymer, Unity 2203P from Promerus LLC, Brecksville, OH, was spun onto the board to a thickness of 15 m. Following a 10–min soft bake, the Unity was photodefined, developed on a hot plate at 110 C for 10 min, and rinsed with isopropyl alcohol leaving 600- m-wide encapsulation regions over the signal lines, as shown in Fig. 1(b). Avatrel 2000P dielectric polymer from Promerus LLC was spun onto the board at a thickness of 20 m over the encapsulated copper lines, as illustrated in Fig. 1(c). The Avatrel was nm) and developed to then dosed with 200 mJ cm ( define regions allowing access to the probe pads. An electroplating seed layer of Ti/Cu/Ti was sputtered onto the Avatrel overcoat at thicknesses of 150 Å/1000 Å/150 Å, respectively. The titanium layers are required for adhesion of the copper to the overcoat polymer and the photoresist. AZ4620 photoresist was again spun to a thickness of 10 m and exposed to define an electroplating mask for ground lines of three different widths (150, 220, and 650 m). The titanium layer was removed with a buffered oxide etch solution. The copper ground lines were electroplated to a thickness of 20 m and the photoresist was stripped. The sputtered layer of titanium was etched away with BOE, the copper was etched away with a solution of 7.5% H O and 5% H SO , and the final layer of titanium was etched with BOE. This etched line structure, including the titanium adhesion layer between the ground copper and the overcoat polymer, is shown in Fig. 1(d). The polymer overcoat was then cured in a nitrogen purged tube furnace heated to 180 C for 2 h at a ramp rate of 3 C min from 25 C to 150 C and 1 C min from 150 C to 180 C. The Avatrel cured while the decomposition products of the encapsulated Unity permeated through the overcoat, leaving the structure pictured in Fig. 1(e). The structure dimensions are shown in Fig. 2. A scanning electron microscope (SEM) cross section of the fabricated parallel-plate line is shown in Fig. 3. A line with a narrow ground line (150- m wide) is shown in Fig. 4. Slight residue from the sacrificial polymer is visible in the corner of the cavity, but is not expected to impact performance. A top view of the probe pads for the parallel-plate structure is shown in Fig. 5. IV. CAPACITANCE AND LOSS TANGENT REDUCTION The structures were characterized at low frequency using an HP4263A LCR meter to measure capacitance and loss tangent

Fig. 3. SEM of the parallel-plate line. The ground line is slightly peeled back due to delamination during the dicing process. The particle in the air gap is also a result of the dicing process.

Fig. 4. SEM of the narrow width ground line. The 150-m-wide ground line is peeled back due to delamination during the dicing process. Slight residue is seen in the right corner of the air cavity, but is not expected to impact electrical performance.

at 10 and 100 kHz. Measurements were taken before and after decomposition of the sacrificial polymer. The capacitance of the structures was simulated using a 2-D electrostatic field simulator. The material properties used in the simulation are listed in Table I. The LCR meter was calibrated according to the manufacturer’s instructions by performing open, short, and cable corrections. Probe needles were first separated by several centimeters to perform the open correction and then touched together to perform the short correction. The LCR meter also enabled accounting for effects of the 1-m cables. The structures were then probed from one end by first lowering one probe needle onto the ground probe pad and then lowering the other onto the pad for the signal line. No change in measured results was observed when the probe needle positions were reversed. For capacitance 0.1 pF. measurements, the LCR meter has an error of

1922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE II CAPACITANCE MEASUREMENTS BEFORE AND AFTER UNITY DECOMPOSITION

Fig. 5. Top view of parallel-plate structure probe pads.

TABLE I MATERIAL PROPERTIES USED IN SIMULATIONS

TABLE III LOSS TANGENT MEASUREMENTS BEFORE AND AFTER UNITY DECOMPOSITION

Summarized in Table II are the measured values of capacitance before and after decomposition of the sacrificial polymer. The formation of the air gap resulted in a reduction of capacitance of 27%–44% at 10 kHz. Results at 100 kHz were slightly less capacitive (measurements were between 0.–0.2 pF lower than 10 kHz). The capacitance reduction is consistent with the reduction in effective dielectric expected from (5). The simulated values of capacitance are somewhat higher than measured results, although air-gap inclusion results in a similar capacitance reduction. Better agreement between simulated and measured result is possible by accounting for topographical features. Simulated capacitance does not include probe pad effects and treats the line as having uniform dimensions along the 58-mm line. An air-gap parallel-plate structure has a capacitance 39% lower than an equivalent thickness of Avatrel (which has a capacitance of 9.69 pF) and 60% lower than an equivalent thickness of FR-4 (which has a capacitance of 14.77 pF). The variance observed in the measured capacitance results for similar lines are likely due to processing defects. Edge effects were particularly important for the 650- m-wide ground line due to the inability to process larger board sizes. The three measured lines with 150- m-wide ground planes have very little variance between them. It is expected that in a manufacturing environment, this variance could be further reduced.

The measured values of the loss tangent for these structures are shown in Table III. The loss tangent was read directly from the LCR meter simultaneously with the capacitance measurement. The inclusion of the air gap significantly reduced the dissipation factor of the lines. A loss tangent reduction of greater than 85% was observed for each of the structures. The reduced capacitance and loss tangent values verify the presence of the air gap. V. HIGH-FREQUENCY CHARACTERISTICS The parallel-plate structure was characterized at high frequency using an Agilent 8720ES -parameter network analyzer. The scattering parameters were measured from 100 MHz to 10 GHz using ground–signal–ground (GSG) probes with a pitch of 150 m. Simulation of the high-frequency performance of these structures was performed using the High Frequency Structure Simulator (HFSS) by Ansoft. Prior to measurement, the network analyzer and probes were calibrated using a short, open, load, thru (SOLT) calibration.

SPENCER et al.: AIR-GAP TRANSMISSION LINES ON ORGANIC SUBSTRATES FOR LOW-LOSS INTERCONNECTS

1923

The phase constant was estimated from the measured and simulated scattering parameters using the technique described in [20]. The effective dielectric constant was determined from the extracted phase constant and by rearranging the relation expressed in (2). For the parallel-plate line, the extracted dielectric constant at high frequencies was approximately 1.51. The effective dielectric calculated using (5) for an air-gap height of 15 m and a dielectric thickness of 20 m is 1.52. The characteristic impedance of the parallel-plate line was approximately 40 . This is lower than the targeted characteristic impedance of 50 as a result of a thinner overcoat film thickness over the sacrificial polymer regions. The 20- m overcoat thickness is less than the desired thickness of 31 m. The overcoat thickness is 31 m over the planar regions of the board. This effect will be accounted for in future designs to achieve a characteristic impedance of 50 . VI. CONCLUSION (a)

(b) Fig. 6. (a) Measured and simulated insertion loss for parallel-plate line. (b) Simulated and measured return loss for parallel-plate line.

-parameter measurements were made by placing the coplanar probe structures on the substrate surface and dragging toward probe pads until contact was made. The measured and simulated insertion loss for a parallel-plate line 58-mm long are shown in Fig. 6(a). Measured and simulated return loss are shown in Fig. 6(b). It was not possible to deembed these measurements due to the limitations of the research test vehicle and, as a consequence, exact matching of simulated and measured values was not possible. A resonance near 4 GHz is observed in the measurements. Other reasons for deviation from simulation may include differences in simulated and fabricated geometries, probe pad parasitics, line defects from the etching or electroplating processes, and/or calibration difficulties.

Air-gap transmission line structures on FR-4 and BT have been demonstrated using a sacrificial polymer and CMOS compatible processing techniques. These low-loss transmission line structures could be used for high-frequency interchip communication with essentially zero dielectric loss and reduced conductor loss. Multilevel signaling techniques such as those described in [4] could be combined with the described low-loss lines to achieve high data rates over long traces with low insertion loss. Extensive reliability tests including thermal, mechanical, and electrical shock tests have not been performed. However, preliminary investigation demonstrated no critical failures after repeated drops from a height of 2 m. This is sensible because the forces experienced are small as a consequence of the small masses involved. Another set of lines with similar air cavity thickness (14 m) demonstrated no change in measured capacitance after 18 months, suggesting the air cavity is not subject to degradation due to creep. Future work will include a detailed reliability study, which will include modeling of forces and stresses, including those experienced during assembly and operation. The techniques demonstrated in the fabrication process can be extended to reduce the dielectric constant further by supporting the top conductor layer from above, adhering the bottom of the overcoat to the top of the ground conductor. Such a structure would have a dielectric constant close that of free space. Additional transmission line structures could be fabricated in different geometries by layering air-gap structures, as described in [8]. Coaxial or embedded stripline designs could be fabricated in this manner, which would provide low loss, as well as providing good electrical shielding to prevent crosstalk between lines. These structures could be achieved using a buildup process or by entrenching the overcoat material to create a more planar structure. The ability to form vias between layers while minimizing reflections is essential for multilayer structures. Proper design can mitigate reflections, but additional processing steps may be required to achieve this characteristic. Techniques that may simplify this process (such as nanoimprint lithography) are currently under investigation and will be included in future work.

1924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

An additional benefit of imprint lithography is the ability to define smaller features in the sacrificial polymer. Detailed investigation of electrical performance of different structure geometries including simulated eye diagrams will be included in a future study. Comparisons will be made between embedded stripline designs and parallel-plate and suspended ground microstrip lines. The electrical characteristics of vias, as well as coupling between lines will also be addressed.

[18] G. Debatosh and J. Y. Siddiqui, “Resonant frequency of equilateral triangular microstrip antenna with and without air gap,” IEEE Trans. Antennas Propag., vol. 52, no. 7, pp. 2174–2177, Aug. 2004. [19] R. H. Havemann and S.-P. Jeng, “Multilevel interconnect structure with air gaps formed between metal leads,” U.S. Patent 5 461 003, Oct. 24, 1995. [20] W. R. Eisenstadt and Y. Eo, “S -parameter-based IC interconnect transmission line characterization,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 15, no. 4, pp. 483–490, Aug. 1992.

ACKNOWLEDGMENT This work was carried out as part of the Interconnect Focus Center Research Program. The authors gratefully acknowledge the technical support of the cleanroom staff of the Microelectronics Research Center, Georgia Institute of Technology, Atlanta.

REFERENCES [1] International Technology Roadmap for Semiconductors, , Semiconduct. Ind. Assoc., San Jose, CA, 2004. [2] A. R. Djordjevic, R. M. Biljie, V. D. Likar-Smiljanic, and T. K. Sarkar, “Wideband frequency-domain characterization of FR-4 and time-domain causality,” IEEE Trans. Electromagn. Compat., vol. 43, no. 4, pp. 662–667, Nov. 2001. [3] J. J. Simpson, A. Taflove, J. A. Mix, and H. Heck, “Substrate integrated waveguides optimized for ultrahigh-speed digital interconnects,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1983–1990, May 2006. [4] J. Sinsky, M. Duelk, and A. Adamiecki, “High-speed electrical backplane transmission using duobinary signaling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 152–160, Jan. 2005. [5] P. A. Kohl et al., “Air-gaps for electrical interconnections,” Electrochem. Solid-State Lett., vol. 1, pp. 49–51, Jul. 1998. [6] H. A. Reed, C. E. White, V. Rao, S. A. Bidstrup Allen, C. L. Henderson, and P. A. Kohl, “Fabrication of microchannels using polycarbonates as sacrificial materials,” J. Micromech. Microeng., vol. 11, pp. 733–737, Nov. 2001. [7] D. Bhusari, H. A. Reed, M. Wedlake, A. M. Padovani, S. A. Bidstrup Allen, and P. A. Kohl, “Fabrication of air-channel structures for microfluidic, microelectromechanical, and microelectronic applications,” J. Microelectromech. Syst., vol. 10, pp. 400–408, Sep. 2001. [8] J. P. Jayachandran et al., “Air-channel fabrication for microelectromechanical systems via sacrificial photosensitive polycarbonates,” J. Microelectromech. Syst., vol. 12, pp. 147–159, Apr. 2003. [9] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004, pp. 98–105. [10] A. F. A. Ayoub, “Analysis of rectangular microstrip antenna with air substrates,” J. Electromagn. Waves Applicat., vol. 17, pp. 1755–1766, Dec. 2003. [11] I. J. Bahl and D. K. Trivedi, “A designer’s guide to microstrip line,” Microwaves, pp. 174–182, May 1977. [12] K. F. Lee and J. S. Dahele, “Circular disc microstrip antenna with an airgap,” IEEE Trans. Antennas Propag., vol. AP-32, no. 8, pp. 880–884, Aug. 1984. [13] I. Jeong et al., “High-performance air-gap transmission lines and inductors for millimeter wave applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2850–2855, Dec. 2002. [14] H.-S. Lee et al., “New micromachined microstrip transmission lines for application in millimeter wave circuits,” Microw. Opt. Technol. Lett., vol. 40, no. 1, pp. 6–9, Jan. 2004. [15] H.-S. Lee et al., “Fabrication of new micromachined transmission line with dielectric posts for millimeter-wave applications,” J. Micromech. Microeng., vol. 14, pp. 746–749, May 2004. [16] M. B. Anand, M. Yamada, and H. Shibata, “Use of gas as low-k interlayer dielectric in LSI’s: Demonstration of feasibility,” IEEE Trans. Electron Devices, vol. 44, no. 11, pp. 1965–1971, Nov. 1997. [17] C. K. Queck and L. E. Devis, “Improved design of microstrip airbridges,” Int. J. RF Microw. Comput.-Aided Eng., vol. 13, pp. 246–255, May 2003.

Todd J. Spencer (S’06) received the B.S. degree in chemical engineering from the University of Pittsburgh, Pittsburgh, PA, in 2003, and is currently working toward the Ph.D. degree in chemical and biomolecular engineering at the Georgia Institute of Technology, Atlanta. He has a patent pending. His current research in the Interconnect Focus Center, Georgia Institute of Technology, includes low-temperature decomposable polymers for advanced electrical packaging on organic substrates, thermal management, high-frequency chip-to-board interconnects, and nanoimprint lithography. Mr. spencer is a member of the American Institute of Chemical Engineers (AIChE) and Tau Beta Pi. He was the corecipient of the 2005 International Interconnect Technology Conference (IITC) Best Student Paper Award, which demonstrated chip-level microfluidic cooling using conventional integrated circuit fabrication techniques.

Paul Jayachandran Joseph received the Ph.D degree in physical–organic chemistry from the University of Madras, Chennai, India, in 1997. From 1994 to 1997, he was a Council of Scientific and Industrial Research–Senior Research Fellow with the Department of Physical Chemistry, University of Madras, where he performed extensive research in phase transfer catalysis. From 1997 to 2000, he was a Visiting Scientist with the National Science Council of Taiwan. In 2001, he was a Research Scientist with the School of Chemical and Biomolecular Engineering, Georgia Institute of Technology, Atlanta. In 2005, he became a Faculty Research Scientist and Biomedical Engineering Research Coordinator with the Microelectronics Research Center, Georgia Institute of Technology. He is also a Director of Communications with the Nano@Tech Executive Board, GT-MiRC. He authored or coauthored approximately 46 publications in journals and conference proceedings. His current research interests include nanobiotechnology, bio-microelectromechanical systems (bio-MEMS), and biosensor applications as diagnostics and detection systems.

Tae Hong Kim (S’04) received the B.E. degree in electronic engineering from Pusan National University, Pusan, Korea, in 2001, the M.E. degree in electrical and computer engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2003, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta. Since 2003, he has been a Graduate Research Assistant with the Packaging Research Center (PRC), Georgia Institute of Technology. He has authored or coauthored over 15 publications in refereed journals and conferences. He has two patents pending. His research interests include signal integrity, power integrity, and high-speed package/mixed-signal system/interconnect design, modeling, test, and analysis. Mr. Kim was the recipient of the Outstanding Poster Paper Award presented at the 56th Electronic Components and Technology Conference (ECTC).

SPENCER et al.: AIR-GAP TRANSMISSION LINES ON ORGANIC SUBSTRATES FOR LOW-LOSS INTERCONNECTS

Madhavan Swaminathan (A’91–M’95–SM’98– F’06) received the B.E. degree in electronics and communication from the University of Madras, Madras, India, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from Syracuse University, Syracuse, NY, in 1989 and 1991, respectively. He is currently a Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, and the Deputy Director of the Packaging Research Center, Georgia Institute of Technology. He founded and is the Chief Scientist of Jacket Micro Devices Inc., Atlanta, GA, a company that specializes in integrated devices and modules for wireless applications. Prior to joining the Georgia Institute of Technology, he was with the Advanced Packaging Laboratory, IBM, where he was involved with packaging for super computers. He has authored or coauthored over 250 publications in refereed journals and conferences. He coauthored three book chapters. He holds 11 patents with six pending.

1925

Paul A. Kohl (A’92–M’03) received the Ph.D. degree in chemistry from The University of Texas at Austin, in 1978. He is Regents’ Professor and Hercules Inc./Thomas L. Gossage Chair of chemical engineering with the Georgia Institute of Technology, Atlanta. From 1978 to 1989, he was with AT&T Bell Laboratories, where he was involved in new materials and processing methods for semiconductor devices. In 1989, he joined the faculty of the Georgia Institute of Technology. His research interests include ultra low-k dielectric materials, interconnects for microelectronic devices, and electrochemical energy conversion devices. He is currently the Director of the Interconnect Focus Center, Georgia Institute of Technology. He has authored or coauthored over 150 journal publications. He holds 40 patents.

1926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Synthesizing Microstrip Branch-Line Couplers With Predetermined Compact Size and Bandwidth Ching-Wen Tang, Member, IEEE, and Ming-Guang Chen

Abstract—A new method for designing the microstrip branchline couplers with predetermined compact size and bandwidth is proposed in this paper. With the proposed approach, the size of the quarter-wavelength transmission line in the branch-line coupler can be reduced greatly. In addition, the proposed couplers can be easily fabricated on the printed circuit board without any lumped element. A chart concludes the relationship between bandwidth and size reduction rate. It shows that open stubs with low impedance perform better than those with high impedance; moreover, the more open stubs with low impedance utilized, the broader the bandwidth will be. Furthermore, the measured frequency responses show good agreement with the theoretical results. Fig. 1. Proposed equivalent quarter-wavelength transmission line.

Index Terms—Branch-line coupler.

I. INTRODUCTION HE COMPACT branch-line coupler is an important circuit in microwave integrated circuits and can be used as a power divider/combiner or a part of a mixer [1]. The conventional branch-line coupler was composed of four quarter-wavelength transmission lines [2]. However, adopting the quarterwavelength transmission line to design the coupler takes too much space; therefore, larger circuit area may result in higher cost. The lumped-element approach [3]–[7], which uses spiral inductors and lumped capacitors, is one of the solutions to this problem. Nevertheless, using the lumped element in the circuit design requires an empirical model, such as an inductor model, attained via precise measurement. Numerous methods have been proposed to reduce circuit size [8]–[23]. The coupler with folded branch-lines can give a very compact structure [8]. The dual-band coupler can be designed with composite right/left-handed transmission lines [9]. The combinations of high-impedance transmission lines with shunt lumped capacitors had been considered in [10]–[15]. However, adopting approaches using a lumped element will increase the difficulty of using the printed circuit board process. The photonic-bandgap structure is another way to miniaturize the circuit [16]. Nevertheless, the existence of many defect cells on the ground plane may limit the use of this technique. In

T

Manuscript received November 2, 2006; revised February 28, 2007. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 95-2221-E-194-029. C.-W. Tang is with the Department of Communications Engineering, Department of Electrical Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi 621, Taiwan, R.O.C. (e-mail: [email protected]). M.-G. Chen is with the Department of Electrical Engineering, National Chung Cheng University, Chiayi 621, Taiwan, R.O.C. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904331

[17]–[23], only microstrip lines are employed to design the compact branch-line coupler. However, only a few studies provide detailed discussion on design procedures or formulations. In this paper, a new method for designing the microstrip branch-line couplers with predetermined compact size and bandwidth has been proposed and implemented. Utilizing the proposed multiple shunted open stubs shown in Fig. 1, which can be realized with either high or low impedance, can easily miniaturize four quarter-wavelength transmission lines of the conventional branch-line couplers. The fabricated couplers not only occupy small space, but also reveal good circuit performances compared with that of the conventional branch-line one (not shown in this paper). Good agreements between the measured and simulated results are observed. In Section II, we will provide the transformed equation, which can synthesize the equivalent quarter-wavelength transmission line. Three approaches to implementing compact branch-line couplers are presented in Section III. Finally, the theoretical prediction and measured results are provided in Section IV. Our conclusions are given in Section V. II. ANALYSIS OF EQUIVALENT QUARTER-WAVELENGTH TRANSMISSION LINE Fig. 1 shows the equivalent circuit of the quarter-wavelength transmission line. With the proposed structure, the quarter-wavelength transmission line will be shorter. matrices depict the relationship between the quarter-wavelength transmission line and the shortened one, and make them equivalences. The shortened quarter-wavelength transmission line can be derived with equations in the Appendix and as follows:

0018-9480/$25.00 © 2007 IEEE

(1)

TANG AND CHEN: SYNTHESIZING MICROSTRIP BRANCH-LINE COUPLERS

1927

Fig. 3. Equivalent circuit of the open-stub line.

Fig. 4. Equivalent quarter-wavelength transmission line of T-model. Fig. 2. Simulated relations between bandwidth and size reduction rate with given impedance of open stubs.

transmission line with one, two, and three open stubs, i.e., the T-model, -model, and combination-model (combination of Tand -model), respectively, are discussed in this paper. Moreover, Fig. 3 shows the equivalent circuit of each open stub in the shortened quarter-wavelength transmission lines. If , the result can be obtained as

(4)

(2)

III. PROCEDURES FOR IMPLEMENTING COMPACT COUPLERS

where

(3) With given impedance of open stubs, Fig. 2 shows the relationship between bandwidth and size reduction rate. The bandwidth is defined as the ratio of frequency band when the return loss is great than 15 dB. As for the impedance of open stubs, lower and upper limit, 25 and 140 , respectively, and 50 are usually adopted for fabrication on the FR4 substrate. On the one hand, Fig. 2 depicts that with the same size reduction rate, the bandwidth increases with more open stubs, but the bandwidth decreases with a higher impedance of open stub. On the other hand, Fig. 2 also indicates that with the same bandwidth, the size reduction rate increases with more open stubs, but the size reduction rate decreases with a higher impedance of open stubs. Therefore, open stubs with lower impedance can be utilized for developing branch-line couplers with wide bandwidth and compact size. Although the bandwidth will increase with more open stubs, according to Fig. 2, the increase is very limited from three to four open stubs in terms of all impedance, 25, 50, and 140 . Moreover, complex structure and difficult fabrication will be expected due to the use of more than three open stubs in each shortened quarter-wavelength transmission line for compact branchline couplers. Therefore, only the shortened quarter-wavelength

As mentioned above, three types of the shortened quarter-wavelength transmission line are employed for the compact branch-line coupler, i.e., the T-model, -model, and combination-model. Following are detailed descriptions of procedures for implementing compact couplers. A. T-Model , , and , as The first type is assumes shown in Fig. 4. This is also called the method of the T-model. By substituting these assumptions into (2) and comparing the result with (1), (5) and (6) can then be obtained as follows:

(5) (6) Fig. 5 shows three curves, which are derived from (5) and (6), and and represent the relations between normalized , and between normalized and , respectively. The solid line in this figure indicates that the more compact of the equivalent quarter-wavelength transmission line (smaller ), the higher characteristic impedance (larger normalized ). For given values of normalized and (such as 2.14 and 50 , or 1.73 and 60 ), the open stub with characteristic can then be realized with two approaches on impedance

1928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 7. Diagram of T-model microstrip branch-line coupler with highimpedance approach.

Fig. 5. Relations between normalized Z and 2 , and between normalized Z and  in the equivalent quarter-wavelength transmission line of T-model. Fig. 8. Equivalent quarter-wavelength transmission line of  -model.

Fig. 6. Diagram of T-model microstrip branch-line coupler with lowimpedance approach.

their impedances such as using low or high impedance. The dotted line or gray solid line show that the lower characteristic impedance (smaller normalized ), the shorter electric length (smaller ), and vice verse. 1) Low-Impedance Approach: The first method uses the lowimpedance open stub in the shortened quarter-wavelength transmission line of the T-model. Fig. 6 shows the branch-line coupler designed at the center frequency of 2.4 GHz as an example. (and ), , and to be 30 , 35 , and 30 , Choosing respectively, , , , and can then be derived according to (5) and (6) as 61.17 , 86.41 , 33.45 , and 21.85 , respectively. 2) High-Impedance Approach: The second method uses the high-impedance open stub in the shortened quarter-wavelength transmission line of the T-model. Fig. 7 shows the branch-line coupler designed at the center frequency of 2.4 GHz as an example. Choosing (and ), , , and (and , , , , and ) to be 25 , 16.28 , 13.54 , and 100 , respectively, , , (and ), and (and ) can then be derived according to (4)–(6) as 75.74 , 107 , 30.28 , and 25.72 , respectively.

Fig. 9. Relations between normalized Z and 2 , and between normalized Z and  in the equivalent quarter-wavelength transmission line of  -model.

B.

-Model

In the first type, we assume , and in the meantime, and must not equal zero, as shown in Fig. 8. This both is also called the method of the -model. By substituting these assumptions into (2) and comparing the result with (1), (7) and (8) can then be obtained as follows:

(7) (8)

TANG AND CHEN: SYNTHESIZING MICROSTRIP BRANCH-LINE COUPLERS

1929

Fig. 12. Equivalent quarter-wavelength transmission line of combination-model.

Fig. 10. Diagram of  -model microstrip branch-line coupler with low-impedance approach.

Fig. 11. Diagram of  -model microstrip branch-line coupler with high-impedance approach.

Fig. 9 shows two curves, which are derived from (7) and (8), and represent the relations between normalized and , and between normalized and , respectively. The solid line in this figure also indicates that the more compact of the equivalent quarter-wavelength transmission line ), the higher characteristic impedance (larger nor(smaller (such as 55 ), two open malized ). For given values of stubs with characteristic impedance can then be realized with two approaches on their impedances, such as using low or high impedance. The dotted line shows that the lower characteristic impedance (smaller normalized ), the shorter electric length (smaller ), and vice verse. 1) Low-Impedance Approach: The first method uses the lowimpedance open stub in the shortened quarter-wavelength transmission line of the -model. Fig. 10 shows the branch-line coupler designed at the center frequency of 2.4 GHz as an example. to be 27.5 , 5 , 100 , and Choosing (and ), , , and 23 , respectively, , , and can then be derived according to (4), (7), and (8) as 43.12 , 60.9 , and 26.44 , respectively. 2) High-Impedance Approach: The second method uses the high-impedance open stub in the shortened quarter-wavelength transmission line of the -model. Fig. 11 shows the branch-line coupler designed at the center frequency of 2.4 GHz as an ex(and ), , , and to be 26.5 , 5 , ample. Choosing 100 , and 100 , respectively, , , and can then be derived according to (4), (7), and (8) as 44.23 , 62.47 , and 48.37 , respectively.

Fig. 13. Relations between normalized Z and 4 , between normalized Z and  , and between normalized Z and  in the equivalent quarter-wavelength transmission line of combination-model.

C. Combination-Model Here, we let , , and , but must not be zero, as shown in Fig. 12. This method is also called the combination-model. By substituting these assumptions into (2) and comparing the result with (1), (9)–(11) can then be obtained as follows:

(9) (10) (11) Fig. 13 shows three curves, which are derived from (9)–(11), and represent the relations between normalized and , and between normalized and , and between normalized and , respectively. The black solid line in this figure indicates that the more compact of the ), equivalent quarter-wavelength transmission line (smaller ). the higher characteristic impedance (larger normalized For given values of normalized and (such as 1.67 and 45 ), the three open stubs that remain can then be realized with the low- or high-impedance approach on their impedances. The dotted line or gray solid line show that the higher characteristic

1930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 14. Diagram of combination-model microstrip branch-line coupler with low-impedance approach.

Fig. 16. Amplitude and phase responses of T-model microstrip branch-line coupler with low-impedance approach.

improve the accuracy of measurement, the calibration of the thru-reflect-line is adopted. A. T-Model With Low-Impedance Approach Fig. 15. Diagram of combination-model microstrip branch-line coupler with high-impedance approach.

impedance (larger normalized or ), the longer electric length (larger or ), and vice verse. 1) Low-Impedance Approach: The first method uses the low-impedance open stub in the shortened quarter-wavelength transmission line of the combination-model, which combined both the T- and -model. Fig. 14 shows the branch-line coupler designed at the center frequency of 2.4 GHz as an example. (and ), , (and ), , and to be 25 , Choosing 5 , 40 , 100 , and 30 , respectively, , , , , and can then be derived according to (4) and (9)–(11) as 53.88 , 76.11 , 24.67 , 18.02 , and 25.64 , respectively. 2) High-Impedance Approach: The second method is using the high-impedance open stub in the shortened quarter-wavelength transmission line of the combination-model, which combined both the T- and -model. Fig. 15 shows the branch-line coupler designed at the center frequency of 2.4 GHz as an ex(and ), , , , (and ), and ample. Choosing (and , , and ) to be 22.5 , 20 , 15 , 5 , 100 , and 100 , respectively, , , , , and can then be derived according to (4) and (9)–(11) as 59.03 , 83.38 , 17.05 , 14.11 , and 40.94 , respectively.

Fig. 6 shows the layout of a microstrip branch-line coupler with a low-impedance T-model quarter-wavelength transmission line, and the sizes of mm, mm, mm, mm, mm, mm, mm, and mm. The entire size of the fabricated coupler is 14.8 mm 12.6 mm, which achieves a size reduction of 54.19% compared with that of the conventional branch-line coupler. At the bandwidth of 2.17–2.67 GHz, the measured amplitude and phase difference between and are within 1 dB and 90 5 , respectively. Fig. 16 shows the comparison of responses between the measurement and theoretical prediction. B. T-Model With High-Impedance Approach Fig. 7 shows the layout of a microstrip branch-line coupler with a high-impedance T-model quarter-wavelength transmismm, mm, sion line, and the sizes of mm, mm, mm, mm, mm, mm, mm, and mm. The entire size of the fabricated coupler is 10.6 mm 8.99 mm, which achieves a size reduction of 76.59% compared with that of the conventional branch-line coupler. At the bandwidth of 2.23–2.53 GHz, the measured amplitude and phase difference and are within 1 dB and 90 5 , respecbetween tively. Fig. 17 shows the comparison of responses between the measurement and theoretical prediction.

IV. THEORETICAL PREDICTION AND EXPERIMENTAL RESULTS

C.

According to the designed parameters mentioned in Section III, these microstrip branch-line couplers are fabricated with the FR4 substrate. Its dielectric constant, loss tangent, dielectric thickness, and metal thickness are 4.3, 0.018, 0.8, and 0.02 mm, respectively. Moreover, in order to

Fig. 10 shows the layout of a microstrip branch-line coupler with a low-impedance -model quarter-wavelength transmismm, mm, sion line, and the sizes of mm, mm, mm, mm, mm, mm, mm,

-Model With Low-Impedance Approach

TANG AND CHEN: SYNTHESIZING MICROSTRIP BRANCH-LINE COUPLERS

Fig. 17. Amplitude and phase responses of T-model microstrip branch-line coupler with high-impedance approach.

1931

Fig. 19. Amplitude and phase responses of coupler high-impedance approach.

 -model microstrip branch-line

a size reduction of 57.69% compared with that of the conventional branch-line coupler. At the bandwidth of 2.2–2.68 GHz, the measured amplitude and phase difference between and are within 1 dB and 90 5 , respectively. Fig. 19 shows the comparison of responses between the measurement and theoretical prediction. E. Combination-Model With Low-Impedance Approach

Fig. 18. Amplitude and phase responses of coupler with low-impedance approach.

 -model microstrip branch-line

and mm. The entire size of the fabricated coupler is 14.9 mm 12.6 mm, which achieves a size reduction of 54.32% compared with the conventional branch-line coupler. At the bandwidth of 2.05–2.65 GHz, the measured amplitude and are within 1 dB and and phase difference between 5 , respectively. Fig. 18 shows the comparison of re90 sponses between the measurement and theoretical prediction. D.

-Model With High-Impedance Approach

Fig. 11 shows the layout of a microstrip branch-line coupler with a high-impedance -model quarter-wavelength transmission line, and the sizes of mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The entire size of the fabricated coupler is 13.97 mm 12.33 mm, which achieves

Fig. 14 shows the layout of a microstrip branch-line coupler with low-impedance combination-model quarter-wavelength transmission lines, and the sizes of mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The entire size of the fabricated coupler is 14.18 mm 12.62 mm, which achieves a size reduction of 56.05% compared with that of the conventional branch-line coupler. At the bandwidth of 2.1–2.75 GHz, the measured amplitude and phase difference between and are within 1 dB and 90 5 , respectively. Fig. 20 shows the comparison of responses between the measurement and theoretical prediction. F. Combination-Model With High-Impedance Approach Fig. 15 shows the layout of a microstrip branch-line coupler with high-impedance combination-model quarter-wavelength transmission lines, and the sizes of mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. The entire size of the fabricated coupler is 14.66 mm 10.87 mm, which achieves a size reduction of 60.86% compared with that of the conventional branch-line coupler. At the bandwidth of 2.08–2.61 GHz, the measured amplitude and phase difference between and are within 1 dB and 90 5 , respectively. Fig. 21 shows the comparison of responses between the measurement and theoretical prediction.

1932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I SIZE COMPARISONS WITH CONVENTIONAL BRANCH-LINE COUPLER

more obvious the crosstalk. As a result, disagreement between measurement and prediction of amplitude and phase balance stands out in Fig. 17. Fig. 20. Amplitude and phase responses of combination-model microstrip branch-line coupler with low-impedance approach.

V. CONCLUSION In this paper, the conventional branch-line coupler with four sections of the quarter-wavelength transmission lines can be miniaturized easily by the newly proposed method. With the proposed method using equivalent quarter-wavelength transmission lines, six examples of compact planar branch-line couplers have been developed at the operating frequency of 2.4 GHz. The corresponding design equations have been detailed under ideal no-loss conditions. Figs. 2 and 16–21 show that open stubs with low impedance perform better than those with high impedance; moreover, the more open stubs with low impedance utilized, the broader the bandwidth will be. Furthermore, these couplers can be fabricated using a standard printed circuit board process, which can be easily applicable to the design of microwave or millimeter-wave integrated circuits. APPENDIX The shortened quarter-wavelength transmission line can be depicted with an matrix as

Fig. 21. Amplitude and phase responses of combination-model microstrip branch-line coupler high-impedance approach.

By following (4)–(11), six types of compact branch-line couplers can be fabricated as discussed in Sections IV-A–F. Table I compares their size reduction. Sizes of these fabricated couplers can be reduced to over 54% of conventional ones. Since an extra transmission zero will appear in the with open stubs in the shortened quarter-wavelength transmission lines, the available bandwidths of amplitude and phase difference between and will be narrower. This effect is especially salient when the high-impedance approach is adopted. Therefore, by comparing Figs. 16, 18, and 20 with Figs. 17, 19, and 21, respectively, it is found that open stubs with low impedance will make a broader bandwidth than those with high impedance. This finding matches well with Fig. 2. However, measured results are different from the theoretical prediction in a higher frequency band because the crosstalk among high-impedance open stubs is more serious during coupler fabrication. In addition, the more compact the coupler, the

(A1) ,

where ,

, and

, repre-

TANG AND CHEN: SYNTHESIZING MICROSTRIP BRANCH-LINE COUPLERS

sent the characteristic impedances and electric lengths of the is the shortened quarter-wavelength transmission line. characteristic impedance of the quarter-wavelength one. Four shortened quarter-wavelength transmission lines of the proposed branch-line coupler were arranged as the symmetric and , structure, such that and , and , and and . Equation (A1) can then be changed as

(A2) where (A3) (A4) (A5) (A6)

1933

[7] I. Sakagami and W. Tuya, “Impedance-transforming lumped-element co-directional couplers and their circuit structure,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, pp. 1434–1437. [8] H. Ghali and T. A. Moselhy, “Miniaturized fractal rat-race, branch-line, and coupled-line hybrids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2513–2520, Nov. 2004. [9] V. K. Tripathi, H. B. Lunden, and J. P. Starski, “Analysis and design of branch-line hybrids with coupled lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 427–432, Apr. 1984. [10] R. K. Settaluri, A. Weisshaar, C. Lim, and V. K. Tripathi, “Compact multi-level folded coupled line RF couplers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 1721–1724. [11] I. H. Lin, M. DeVincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1142–1149, Apr. 2004. [12] H. R. Ahn, I. S. Chang, and S. W. Yun, “Miniaturized 3-dB ring hybrid terminated by arbitrary impedances,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2216–2221, Dec. 1994. [13] M. C. Scardelletti, G. E. Ponchak, and T. M. Weller, “Miniaturized Wilkinson power dividers utilizing capacitive loading,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 6–8, Jan. 2002. [14] T. N. Kuo, Y. S. Lin, C. H. Wang, and C. H. Chen, “A compact LTCC branch-line coupler using modified-T equivalent-circuit model for transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 2, pp. 90–92, Feb. 2006. [15] C. Y. Ng, M. Chongcheawchamnan, and I. D. Robertson, “Lumpeddistributed hybrids in 3D-MMIC technology,” Proc. Inst. Elect. Eng. —Microw. Antennas Propag., vol. 151, no. 4, pp. 370–374, Aug. 2004. [16] F. R. Yang, K. P. Ma, and T. Itoh, “A uniplanar compact photonicbandgap (UC-PBG) structure and its applications for microwave circuit,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [17] K. O. Sun, S. J. Ho, C. C. Yen, and D. van der Weide, “A compact branch-line coupler using discontinuous microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 519–520, Aug. 2005. [18] K. W. Eccleston and S. H. M. Ong, “Compact planar microstripline branch-line and rat-race couplers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2119–2125, Oct. 2003. [19] K. K. M. Cheng and F. L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [20] I. Sakagami, R. Teraoka, and T. Munehiro, “A reduced branch-line coupler with eight stubs,” in Proc. Asia-Pacific Microw. Conf., Dec. 1997, pp. 1137–1140. [21] S. S. Liao and J. T. Peng, “Compact planar microstrip branch-line couplers using the quasi-lumped elements approach with nonsymmetrical and symmetrical T-shaped structure,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3508–3514, Sep. 2006. [22] Y. H. Chun and J. S. Hong, “Design of a compact broadband branch-line hybrid,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 997–1000. [23] J. Gu and X. Sun, “Miniaturization and harmonic suppression of branch-line and rat-race hybrid coupler using compensated spiral compact microstrip resonant cell,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 1211–1214.

(A7) Moreover, (A2) can be simplified as (2). REFERENCES [1] S. A. Maas, Microwave Mixers. Norwood, MA: Artech House, 1986. [2] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical fourport networks,” IRE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 246–252, Oct. 1956. [3] Y. C. Chiang and C. Y. Chen, “Design of a wideband lumped-element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 476–479, Mar. 2001. [4] J. Hongerheiden, M. Ciminera, and G. Jue, “Improved planar spiral transformer theory applied to a miniature lumped element quadrature hybrid,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 543–545, Apr. 1997. [5] T. Hirota, A. Minakaw, and M. Muraguchi, “Reduced-size branchline and rat-race hybrids for uniplanar MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 270–275, Mar. 1990. [6] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992.

Ching-Wen Tang (S’02–M’03) received the B.S. degree in electronic engineering from Chung Yuan Christian University, Chungli, Taiwan, R.O.C., in 1991, and the M.S. and Ph.D. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1996 and 2002, respectively. In 1997, he joined the RF Communication Systems Technology Department, Computer and Communication Laboratories, Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan, R.O.C., as an RF Engineer, where he developed low-temperature co-fired ceramic (LTCC) multilayer-circuit (MLC) RF components. In 2001, he joined Phycomp Taiwan Ltd., Kaohsiung, Taiwan, R.O.C., as a Project Manager, where he continues to develop LTCC components and modules. Since February 2003, he has been with the Department of Communications Engineering and Department of Electrical Engineering, Center for Telecommunication Research, National Chung Cheng University, Chiayi, Taiwan, R.O.C., where he is currently an Associate Professor. His research interests include microwave and millimeter-wave planartype and multilayered circuit design, and the analysis and design of thin-film components.

1934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Ming-Guang Chen was born in Pingtung, Taiwan, R.O.C., in 1983. He received the B.S.E.E. degree from the National Chin-Yi Institute of Technology, Taichung, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree in electrical engineering at National Chung Cheng University, Cahiyi, Taiwan, R.O.C. His research interests include the design and analysis of RF and microwave circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1935

Ultra-Wideband Phase Shifters Amin M. Abbosh

Abstract—A method with clear guidelines is presented to design compact planar phase shifters with ultra-wideband (UWB) characteristics. The proposed method exploits broadside coupling between top and bottom elliptical microstrip patches via an elliptical slot located in the mid layer, which forms the ground plane. A theoretical model is used to analyze performance of the proposed devices. The model shows that it is possible to design high-performance UWB phase shifters for the 25 –48 range using the proposed structure. The method is used to design 30 and 45 phase shifters that have compact size, i.e., 2.5 cm 2 cm. The simulated and measured results show that the designed phase shifters achieve better than 3 differential phase stability, less than 1-dB insertion loss, and better than 10-dB return loss across the UWB, i.e., 3.1–10.6 GHz. Index Terms—Aperture coupling, phase shifter, ultra-wideband (UWB).

I. INTRODUCTION

P

HASE shifters are common microwave devices, which are widely used in electronic beam-scanning phased arrays, microwave instrumentation and measurement systems, modulators, and many other industrial applications. In these and many other applications, and in order to get wideband performance, phase shifters are usually realized in planar (stripline or microstrip) technology due to its nondispersive and broadband propagation properties. In order to achieve the broadband operation of the phase shifters, the approach of coupled transmission lines is usually employed. One of the earliest designs that used the coupled lines method to construct broadband phase shifters is the Schiffman differential phase shifter [1]. It consists of two transmission lines: the reference transmission line and the folded edge-coupled section. By the proper selection of the length of these lines and the degree of coupling, the phase difference between them can be made constant at 90 over a broadband. However, Schiffman’s original study was based on stripline transmission structures, where the odd and even modes propagating along the coupled lines have equal phase velocities. When this type of circuit is designed in a microstrip form, the unequal oddand even-mode velocities results in poor performance [2]. Moreover, the measured results of Schiffman’s phase shifter indicate a high phase ripple 10 [1]. In order to obtain a broader bandwidth with an acceptable phase ripple using the edge-coupled method, some authors Manuscript received March 13, 2007; revised May 29, 2007. This work was supported by the University of Queensland under a Postdoctoral Research Fellowship. The author is with the School of Information Technology and Electrical Engineering, The University of Queensland, St. Lucia QLD4072, Australia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904051

proposed the use of cascaded multiple coupled sections [3]–[7]. Shelton and Mosko [4] described an approximate synthesis technique for fixed phase shifters consisting of multiple parallel-coupled quarter-wave sections. The main drawback of this procedure, which is general to edge-coupled shifters, is that in order to achieve a broadband, an extremely tight coupling is required, which may not be realizable in a given practical configuration. Shelton and Mosko [4] proposed the use of tandem coupling to minimize the effect of this problem. However, tandem configuration requires wire crossovers, which is inconvenient from the manufacturing point of view. The designed configuration in [4] suffers from another serious problem, which is the large size required for the multiple coupled sections. In order to decrease the size of the multisection coupling structure required in the design presented in [4], an optimization technique was used to calculate parameters of the edge-coupled broadband phase shifter [5]. The structure considered in [5] consists of a cascade of coupled line pairs of varying length and coupling coefficients and each connected together at one end. The main drawback of the adopted technique is that it still requires a large number of coupled line pairs to achieve the required phase performance. Some other modifications were proposed to improve performance of the edge-coupled structures using new forms of multisection coupling lines [6] and a double or parallel Schiffman phase shifter [7]. However, the design presented in [6] requires a very narrow slot (tens of micrometers), which makes the fabrication process very difficult. Moreover, the measured phase performance presented in [6] shows a phase shifter that covers only the -band (4–8 GHz) with a phase error of 6.5 . The circuit presented in [7] (referred to as a double parallel Schiffman) consists of two parallel-connected coupled sections designed to yield a 90 phase difference. The lengths and coupling values are adjusted to obtain a desired phase ripple. The measured results of the design in [7] indicate a narrowband performance with high phase instability at the lower and higher ends of the frequency band. A compact version of the Schiffman phase shifter was introduced in [8]. Although the proposed design uses a smaller area and it is a cost-effective one compared with the original design, it has a narrow bandwidth. Tresselt explained a different design procedure using a continuously tapered coupled section [9]. He noticed that the spread in coupling values between adjacent sections of the cascaded edge-coupled phase shifters, such as in [4], is large enough to produce significant reactive discontinuities in practical transverse electromagnetic line geometries, adversely affecting the phase accuracy of the devices. Tresselt described a design that could alleviate that effect by employing coupling, which is continuously tapered through the length of the device.

0018-9480/$25.00 © 2007 IEEE

1936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

He designed and constructed a phase shifter for broadband applications. However, the results indicated that the interconnecting strap parasitics, physical transitions, and etching tolerances (due to a tight coupling requirement), limited the upper frequency band and could only be partially compensated for, thus limiting the device application to around 8.5 GHz. In [10], two couplers, designed according to the tapered coupled method, were connected in tandem to form a differential phase shifter. The design required the use of a nine-section structure for the transmission lines in addition to several impedance transformers. The results presented in the paper show an insertion loss higher than 2 dB, and a phase error that is 5 and 10 in the 45 and 90 phase shifters, respectively. In another approach to improve performance of the edgecoupled phase shifters, Taylor and Prigel [11] used a wiggling technique to design a broadband phase shifter. The wiggled edged coupled microstrip lines were used as a means of slowing the odd-mode microwave-energy propagation velocity to equal the even-mode propagation velocity and achieve broadband operation. The results in [11] show narrowband characteristics and suggest fabrication difficulties because of the very narrow space required between the coupled lines to accomplish a good performance. In addition to the coupled lines structures, some other methods have been used to build planar phase shifters. Ahn and Wolff [12] presented several asymmetric ring-hybrid phase shifters. Each consists of a ring hybrid and reflecting terminations. The measured and simulated results in [12] indicated that the proposed design does not have the broadband characteristics of the edge-coupled structures. With the rapid growth of microwave integrated-circuit technology, the switched phase shifters have been largely investigated [13]–[15]. The main target behind this type of phase shifter is to get a wide range of phase variation using the same device. In [13], a switching network was combined with a Schiffman phase shifter to build 180 -bit phase shifter. The network is composed of a half-wavelength coupled line, and parallel eighth wavelength open and short stubs, which are shunted at the edge points of a coupled line. The measured performance of the design shows a high phase deviation 10 over the band, i.e., 1.5–4.5 GHz. In [14], switching diodes were used to convert a microstrip line to a rectangular waveguide, whereas in [15], a branch line coupler controlled by a varactor diode was used. The common features of the switched phase shifters are a high insertion loss and a narrow bandwidth. In another method, a 3-D electromagnetic-bandgap woodpile was used to design a phase shifter, which is equivalent to the switched type [16]. It suffers from the same limitations of the switched type. Three papers have recently appeared, which suggest modifications on the previously designed phase shifters to improve their performance [17]–[19]. A compensation technique was introduced in [17] and [18] to improve performance of the Schiffman phase shifter and the multistage design proposed by Shelton and Mosko [4]. Five compensating capacitors were used to improve the return-loss performance of the two circuits. The measured results indicated an improvement in the return-loss performance across the -band. However, the use of the compensation technique in [17] did not increase the useful phase-stable

bandwidth; actually it resulted in a 2–3-GHz bandwidth. The use of the compensation technique in [18] for the multistage phase shifter increased the insertion loss of the device. No results were given in [18] to show the effect of the additional compensating elements on the phase performance of the phase shifter. The latest modification to the Schiffman phase shifter included altering the ground plane underneath the coupled lines [19]. The ground plane under the coupled lines was removed; meanwhile an additional isolated rectangular conductor was placed under the coupled lines to act as a capacitor. This modification enabled the designer to build a compact phase shifter 3 cm 4 cm). The measured results for this (dimension device show that it covers a 2 : 1 frequency band with better than 12-dB return loss and a moderate phase imbalance 5 . It still has the problem of a need for a narrow gap between the coupled lines, especially at the high-frequency range of the ultra-wideband (UWB). Moreover, the bandwidth coverage of the device indicates that it cannot be used for UWB application where the band extends from 3.1 to 10.6 GHz (3.42 : 1 band). For the edge-coupled structure, which was used by most of the previously mentioned designs, the coupling factor is largely dependent on the gap between the two coupled lines and the dielectric constant of the substrate. Therefore, the edge-coupled phase shifters are very difficult to be fabricated using microstrip lines on printed circuit board technology for UWB applications, as the gap between the coupled lines must be very narrow to obtain a tight coupling. In a previous study by the author to build directional couplers [20], it was noticed that broadside coupling can achieve UWB characteristics without fabrication difficulties compared with edge coupling. The work presented here adopts the broadside coupling strategy using an elliptical coupled structure in the design of UWB phase shifters. There are many challenges that are addressed in this paper: how to build a two-port broadside-coupled phase shifter with minimum insertion loss and maximum return loss across the 3.1-10.6-GHz band; how to derive a theoretical model, which shows the relation between the phase shift and the coupling factor; and how to achieve a constant phase shift across the UWB. The proposed method in this paper exploits broadside coupling between top and bottom elliptical microstrip patches via an elliptical slot located in the mid layer, which forms the ground plane. Variations of the phase shift, return loss, and insertion loss of the device with the coupling factor are calculated using a simple theoretical model. The model shows that it is possible to design high-performance UWB phase shifters for the 25 –48 range using the suggested structure. The proposed method is used to design 30 and 45 phase shifters that have compact size. The simulated and measured results show that the designed phase shifters achieve better than 3 phase stability, less than 1-dB insertion loss, and better than 10-dB return loss across the UWB, i.e., 3.1–10.6 GHz. In addition to that, the presented device has a simple structure, which can be easily manufactured. II. ANALYSIS The analysis used in this paper follows the conventional approach adopted for the coupled microstrip lines [21]–[24]. The phase shifter is considered as a four-port device with two of its

ABBOSH: UWB PHASE SHIFTERS

1937

where is the physical length of the coupled structure and is the effective phase constant in the medium of the coupled structure. For the structure under investigation, it is possible to show that (3) and are the phase constants for the even and odd where is modes, respectively, is the free-space wavelength, and the dielectric constant of the substrate. Assuming that the output port (Port 2) is perfectly matched, reflected signals at ports 3 and 4 are then the incident (4) Fig. 1. Configuration of the proposed phase shifter. (a) Top layer. (b) Mid layer. (c) Bottom layer. (d) Whole structure.

(5) As ports 3 and 4 are terminated in an open circuit, then the reflection coefficient at those ports is equal to 1. Therefore, and . Using this conclusion in (1)–(5), (6) (7)

Fig. 2. Proposed phase shifter as a four-port device with two of the ports open circuited.

is the return loss of the input port, is the insertion loss from the input to the output port. Phase shift of the output signal compared to the input signal can be found from (7) as follows: where

ports open circuited. The other two ports are the input and output ports. The performance of the phase shifter is defined in terms of the return loss, insertion loss, and the differential phase shift. To calculate (or measure) the phase-shift performance of the device, a comparison is made with a reference mictrostrip transmission line with 50- impedance. Configuration of the proposed multilayer phase shifter is shown in Fig. 1. It consists of two elliptical microstrip patches, which are connected to the input and output microstrip lines, facing each other at the top and bottom layers. The coupling between these patches is achieved via an elliptical slot in the ground plane, which is located at the mid layer. The elliptical shape for the coupled structure is chosen because of its ability to achieve an almost constant coupling factor over the UWB. The analysis starts by considering the phase shifter as a fourport backward coupler with two of the output ports terminated in an open circuit (see Fig. 2). Assume the device is designed to have a coupling equal to between the top and bottom patches and that the input and and , respectively. output signals to/from the th port are Depending on odd–even modes analysis of the four-port coupler, the output signals at Port 1 (the input port) and Port 2 (the output port) can be calculated as follows [21]–[24]: (1) (2)

(8) To find the differential phase shift, which can be obtained using the proposed structure, a comparison should be made with a reference transmission line. A 50- microstrip line is assumed as a reference in this paper. The phase shift caused by a section is of microstrip line of physical length (9) and are the phase constant and effective dielectric where constant of the microstrip transmission line, respectively. The can be calculated using the well-known formulas parameter as in [25]. from (8) and (9) is The differential phase shift (10) , using (10), for different values Variation of the calculated and coupling factor is shown of the coupling length in Fig. 3. The Rogers RO4003C (with , thickness mm, and tangent loss ) was assumed as the substrate.

1938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 3. Theoretical estimation of the phase variation with the coupling length for different values of the coupling factor C .

Fig. 4. Theoretical estimation for the relation between the differential phase and phase deviation with the coupling factor C . shift

18

In Fig. 3, the physical length of the microstrip transmission is optimized to obtain a minimum deviation in the difline ferential phase shift for each value of the coupling. It was found should be around , which is the total physical length that of the top and bottom coupled patches. around the length Fig. 3 indicates an odd symmetry of . The results in Fig. 3 also reveal that it is possible to design a phase shifter with wide range of phase shift by varying value of the coupling factor . The estimated phase range using (10) extends from 0 to 90 for from 1 down to 0. There are still two parameters to be checked before judging performance of the device: the return loss and insertion loss. According to the results shown in Fig. 3, there is an inverse and . This conclusion is verified by plotrelation between and the phase deviating in Fig. 4 the average value of tion (with respect to the average value) with using the data of Fig. 3. It is also obvious from this figure that the maximum has a direct phase deviation around the nominal value of proportional relationship with .

Fig. 5. Theoretical estimation of variation of the return loss with the coupling length for different values of the coupling factor C .

Fig. 6. Theoretical estimation of variation of the insertion loss with the coupling length for different values of the coupling factor C .

Designing a high-performance phase shifter not only requires phase stability with the least deviation around the nominal value across the required bandwidth, but it also requires that the device should have a low insertion loss and a high return loss across that band. Variation of the return loss and insertion loss with the coupling length are shown in Figs. 5 and 6 for different values of the coupling factor by using the absolute value of the parameters in (6) and (7). It is clear from Figs. 5 and 6 that there is an even symmetry of . the return loss and insertion loss around the point Hence, to achieve the best performance (low insertion loss and should be equal to 90 high return loss) over a broad band, at the center frequency of operation. Referring to Figs. 5 and 6, it is important to make sure which values of give an acceptable performance over the UWB from 3.1 to 10.6 GHz. As mentioned earlier, the length of the coupled structure must be 90 at the center frequency, which is GHz. Assuming the physical length

ABBOSH: UWB PHASE SHIFTERS

1939

of the coupled structure is constant, then the coupling length is equivalent to at 3.1 GHz and at 10.6 GHz. According to Figs. 5 and 6, the return loss is more than 10 dB and the insertion loss is less than 0.5 dB across the whole UWB (3.1–10.6 GHz) when . From Fig. 4, it seems that it is possible to design high-performance 25 –48 phase shifters that cover the 3.1–10.6-GHz band . A multistage using the proposed model with phase shifter can be designed to achieve a higher range of differential phase shift when required. If, in some applications, the required bandwidth is less than the one used in this paper, other ranges of phase shifts are possible with the presented method using only one stage. III. DESIGN In order to establish the validity of the proposed method, 30 and 45 phase shifters were designed using the following steps. From Fig. 4, the coupling should be 0.81 and 0.73 for the 30 and 45 phase shifter, respectively. The return loss, from Fig. 5, is higher than 12 and 10 dB for the 30 and 45 phase shifter, respectively, across the 3.1–10.6-GHz band. The insertion loss is less than 0.4 and 0.5 dB for the 30 and 45 phase shifter, respectively, across the same band (see Fig. 6). and odd Depending on value of the coupling, the even mode characteristic impedances for the coupled patches are calculated using the following equations: (11) where is the characteristic impedance of the mifor the 30 phase crostrip ports of the coupler. Using shifter, the impedances and can be found to be 154.3 and 16.2 , respectively. If the device is designed to have 45 phase shift, and can be calculated to be 126.6 and 19.8 , respectively. To determine dimension of the coupled region, which gives these impedance values, it is possible to use the following equations [26]: (12) where is the dielectric constant of the substrate, is the first kind elliptical integral, and . The and are used to find the major diameters of parameters the elliptical coupled microstrip at the top and bottom layers and slot at the mid layer according to the following equations [20]: (13) (14) Physical length (secondary diameter) of the elliptical mimust be chosen to be equal crostrip/slot coupled structures to a quarter of the effective wavelength at the center frequency of operation, i.e., at 6.85 GHz, as proven according to Figs. 3 and 4. It is to be noted that the coupling factor was considered

TABLE I CALCULATED AND OPTIMIZED VALUES OF THE DESIGN PARAMETERS

constant when calculating each set of results shown in Figs. 3, 5, and 6. However, the measured results for the directional couplers in [20], which used a broadside coupled structure similar to the one used in this paper, show that the coupling factor tends to be lower at the two ends of the frequency band compared with its value at the center frequency. If this effect is included into the results shown in Fig. 4, the designed device is going to have a higher average phase shift at the two ends compared with its value at the center frequency. On the other hand, Fig. 3 shows that, for a certain value of the coupling, is larger than the average value at the lower frequency band and smaller at the upper frequency band. The combination of these two factors results in a worse performance at the lower end and a better performance at the upper end of the frequency band. Therefore, it is better to design the phase shifter with a length that is larger than 90 at the center frequency. A (from Fig. 3) comparative study of the mode of variation of and measured (from [20]) with frequency indicated that the widest bandwidth can be achieved when the coupling length at a center frequency of 6.85 GHz. of The last step in the design procedure is to find width the reference line and the microstrip lines that connect the phase shifter to the 50- input/output ports. This can be achieved using the standard microstrip design equations [25]. Dimension of the phase shifters calculated using the proposed method are shown in Table I. The phase shifters were assumed to use a Rogers RO4003C as a substrate. Table I also shows the final dimension after fine tuning using the optimization capability of the full electromagnetic software package Ansoft HFSSv10. There is a little difference (less than 5%) between the calculated and optimized values. This gives a high credibility to accuracy of the proposed method. IV. RESULTS AND DISCUSSIONS To prove the validity of the presented design method, the 30 and 45 phase shifters designed in Section III and aimed for the operation in the 3.1–10.6-GHz frequency band were manufactured and tested. A Rogers RO4003C, with 17- m-thick conductive coating, is selected for the devices development. A photograph for one of the manufactured phase shifters is shown in Fig. 7. Dimension of the phase shifter alone (excluding the reference transmission line) is 2.5 cm 2 cm. This reveals compactness of the proposed phase shifter. It is to be noted that, in the manufactured devices, the coupled structure of physical length and the reference transmission line of physical length are connected to the input/output subminiature A (SMA) connectors using the same additional length of microstrip transmission lines.

1940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 7. One of the manufactured phase shifters. (a) Top layer. (b) Bottom layer. The upper part of (a) and (b) is the phase shifter, whereas the reference transmission line is shown at the lower part of (a).

Fig. 9. Simulated and measured return loss for the two developed phase shifters.

Fig. 8. Simulated and measured differential phase shift for the two developed phase shifters.

The differential phase shift , return loss, and insertion loss of the designed devices were first verified using the Ansoft HFSSv10 software and then measured using a vector netof the designed work analyzer. The simulated and measured 30 and 45 phase shifters are shown in Fig. 8. It is clear that the designed phase shifter features UWB characteristics. The is 30 3 in the simulations and 30 2.5 acvalue of cording to the measured results for the 30 phase shifter across is 45 the 3.1–10.6-GHz band. For the 45 phase shifter, 3 in the simulation and 45 2.3 according to the measured results across the same UWB. Fig. 8 indicated that the measured results are close to the simulated results, and both of them are in good agreement with the theoretical prediction shown in Fig. 4, 2.7 and 45 2.4 for the which gives an estimation of 30 differential phase shift for the two phase shifters. The general shape of differential phase variation with frequency is also in good agreement with results of the theoretical analysis shown in Fig. 3. The combined effect of using a coupling length equal to 110 (instead of 90 ) and the nonconstant value of on can be at the lower frequency seen in Fig. 8. The measured value of band is almost equal to its value at the higher frequency band for

Fig. 10. Simulated and measured insertion loss for the two developed phase shifters.

the 45 phase shifter. In the case of the 30 phase shifter, is almost constant during most of the frequency band. The simulated and measured return loss for the 30 phase shifter is better than 12 dB according to the simulations and better than 10 dB in the measured results across the whole UWB (see Fig. 9). It is always better than 15 dB in the 3.7–11-GHz band. Concerning the 45 phase shifter, the return loss is better than 10 dB in the 3.3–10.6-GHz band, as shown in Fig. 9. The return loss of this phase shifter is always better than 18 dB in the 4.2–10.2-GHz band. There is a good agreement between the measured and simulated results shown in Fig. 9 and the theoretical estimation shown in Fig. 5, except a little discrepancy at the lower part of the frequency band, i.e., around 3 GHz. This discrepancy can be justified by the combined effect of using a longer coupled structure and the nonconstant value of . In Fig. 10, the simulated and measured insertion loss for the 30 and 45 phase shifters is shown. The simulated insertion loss for the 30 phase shifter is better than 0.6 dB, whereas it

ABBOSH: UWB PHASE SHIFTERS

is better than 0.85 dB according to the measured results in the 3.1–10.6-GHz band. Concerning the 45 phase shifter, the simulated and measured insertion loss is better than 0.8 and 1 dB, respectively, across the 3.1–10.6-GHz band, as shown in Fig. 10. There is a little difference between the measured and simulated results shown in Fig. 10. The measured insertion loss is more than the simulated value by approximately 0.15 dB, on average, for the two phase shifters. This additional insertion loss comes from the two SMA connectors, which were used in the measurements, but not included in the simulations. According to the data sheet of the used connectors, their insertion loss increases with frequency and becomes more than 0.1 dB per connector after 6 GHz. V. CONCLUSION Simple and clear guidelines have been presented to design compact planar phase shifters with UWB characteristics. The proposed method exploits broadside coupling between top and bottom elliptical microstrip patches via an elliptical slot located in the mid layer, which forms the ground plane. A theoretical model has been used to analyze performance of the proposed devices. The model has shown that it is possible to design highperformance UWB phase shifters for the 25 –48 range using the proposed structure. The design method has been used to design 30 and 45 phase shifters, which have compact size, i.e., 2.5 cm 2 cm. The simulated and measured results have shown that the designed phase shifters have better than 3 phase stability, less than 1-dB insertion loss, and better than 10-dB return loss across the UWB from 3.1 to 10.6 GHz. The UWB behavior, compactness, and easy of fabrication of the presented phase shifters should attract considerable interest from designers of wireless systems, in general, and UWB systems, in particular. The multilayer broadside-coupled configuration of the proposed device is especially suitable for implementation in modern multilayer structures such as the laminated multichip modules (MCMs-L) and low temperature co-fired ceramics (LTCC). In such structures, broadside coupling is much preferred from a reproducibility and loss perspective. REFERENCES [1] B. Schiffman, “A new class of broadband microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [2] C. Free and C. Aitchison, “Improved analysis and design of coupledline phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2126–2131, Sep. 1995. [3] B. Schiffman, “Multisection microwave phase-shift network,” IEEE Trans. Microw. Theory Tech., vol. 14, no. 4, p. 209, Apr. 1966. [4] J. Shelton and J. Mosko, “Synthesis and design of wideband equalripple TEM directional couplers and fixed phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 10, pp. 246–252, 462–473, Oct. 1966. [5] V. Meschanov, I. Metelnikova, V. Tupikin, and G. Chumaevskaya, “A new structure of microwave ultrawide-band differential phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 762–765, May 1994. [6] B. Schiek and J. Kohler, “A method for broadband matching of microstrip differential phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 666–671, Aug. 1977.

1941

[7] J. Quirarte and J. Starski, “Novel Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 9–14, Jan. 1993. [8] D. Chai, M. Linh, M. Yim, and G. Yoon, “Asymmetric Teflon-based Schiffman phase shifter,” Electron. Lett., vol. 39, no. 6, pp. 529–530, 2003. [9] C. Tresselt, “Broad-band tapered-line phase shift networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 1, pp. 51–52, Jan. 1968. [10] F. Minnaar, J. Coetzee, and J. Joubert, “A novel ultrawideband microwave differential phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1249–1252, Aug. 1997. [11] J. Taylor and D. Prigel, “Wiggly phase shifters and directional couplers for radio-frequency hybrid-microcircuit applications,” IEEE Trans. Parts, Hybrids, Packag., vol. PHP-12, no. 4, pp. 317–323, Dec. 1976. [12] H. Ahn and I. Wolff, “Asymmetric ring-hybrid phase shifters and attenuators,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1146–1155, Apr. 2002. [13] S. Eom, “Broadband 180 bit phase shifter using =2 coupled line and parallel =8 stubs,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 228–230, May 2004. [14] Z. Jin, S. Ortiz, and A. Mortazawi, “Design and performance of a new digital phase shifter at X -band,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 428–430, Sep. 2004. [15] S. Cheng, E. Öjefors, P. Hallbjörner, and A. Rydberg, “Compact reflective microstrip phase shifter for traveling wave antenna applications,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 7, pp. 413–433, Jul. 2006. [16] A. Weily, T. Bird, K. Esselle, and B. Sanders, “Woodpile EBG phase shifter,” Electron. Lett., vol. 42, no. 25, pp. 1463–1464, Dec. 2006. [17] S. Gruszczynski, K. Wincza, and K. Sachse, “Design of compensated coupled-stripline 3-dB directional couplers, phase shifters, and magicT’s—Part I: Single-section coupled-line circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3986–3994, Nov. 2006. [18] S. Gruszczynski, K. Wincza, and K. Sachse, “Design of compensated coupled-stripline 3-dB directional couplers, phase shifters, and magicT’s—Part II: Broadband coupled-line circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3501–3507, Sep. 2006. [19] Y. Guo, Z. Zhang, and L. Ong, “Improved wideband Schiffman phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1196–1200, Mar. 2006. [20] A. Abbosh and M. Bialkowski, “Design of compact directional couplers for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 189–194, Feb. 2007. [21] H. Riblet, “A mathematical theory of directional couplers,” Proc. IRE, vol. 35, no. 11, pp. 1307–1313, Nov. 1947. [22] B. Oliver, “Directional electromagnetic couplers,” Proc. IRE, vol. 42, no. 11, pp. 1686–1692, Nov. 1954. [23] E. Jones and J. Bolljahn, “Coupled-strip transmission line filters and directional couplers,” IEEE Trans. Microw. Theory Tech., vol. 4, no. 2, pp. 75–81, Feb. 1956. [24] J. Reed and G. Wheeler, “A method of analysis of symmetrical fourport networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 246–252, Apr. 1956. [25] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [26] M. Wong, V. Hanna, O. Picon, and H. Baudrand, “Analysis and design of slot-coupled directional couplers between double-sided substrate microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2123–2128, Dec. 1991.

Amin M. Abbosh was born in Mosul, Iraq. He received the M.Sc. degree in communication systems and Ph.D. degree in microwave engineering from Mosul University, Mosul, Iraq, in 1991 and 1996, respectively. Until 2003, he was Head of the Information Engineering Department, Mosul University. In 2004, he joined the Centre for Wireless Monitoring and Applications, Griffith University, as a Post-Doctoral Research Fellow. He is currently a Research Fellow with the School of Information Technology and Electrical Engineering, The University of Queensland, St. Lucia, Australia. His research interests include antennas, radio-wave propagation, microwave devices, and design of UWB wireless systems.

1942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers Rajarajan Senguttuvan, Student Member, IEEE, Soumendu Bhattacharya, Member, IEEE, and Abhijit Chatterjee, Fellow, IEEE

Abstract—The popularity of pulse-based transceivers can be attributed to the high information rates that can be achieved in such systems compared to traditional narrowband systems. However, such systems are usually low-power and transmission efficiency is severely affected by the interference signals from other moderate to high-power narrowband transmitters. Hence, during manufacturing, pulse-based systems must be characterized and tested for bit error rate (BER) performance in the presence of narrowband interferers. Usually, at large interference levels, the BER is moderate (10 4 ) to high (10 2 ). However, at low interference levels when very few bits are in error, the BER is low (10 6 10 10 ) and testing for the BER becomes time consuming. We propose a new measurement technique employing sinusoidal pulses such that the BER value obtained is significantly 10 4 ) even at low interference levels. BER values large (10 3 obtained using sinusoidal pulses are highly correlated to the actual BER values. Hence, the actual BER can be accurately estimated in a much smaller time without actually performing the standard test. This method was implemented in hardware using an Altera field-programmable gate-array development board. From the measurements, BER estimation error was less than 3%. In addition, significant reduction (up to 100 ) in test time was obtained using the proposed method. Index Terms—Bit error rate (BER), production test, pulse-based data transmission, test time, ultra-wideband (UWB).

I. INTRODUCTION IRELESS communication based on pulse-based data transmission is gaining popularity for its ability to provide short-range (up to 10 m) high-speed (up to 500 Mb/s) data transfer. A popular example of such a communication standard is IEEE 802.15, a standardization of Bluetooth wireless specification defined by the IEEE, used in wireless personal area networks (WPANs). Bluetooth uses very small data bandwidth ( 1 MHz) and data transfer rates are usually low. Typical applications for Bluetooth are in wireless headsets and low-speed data link between mobile devices. In contrast,

W

Manuscript received September 8, 2006; revised January 8, 2007. This work was supported in part by the National Science Foundation under the Information Technology Research Award CCR-0325555 and Gigascale Research Center/ Focus Center Research Program 2003-DT-660. R. Senguttuvan and A. Chatterjee are with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]). S. Bhattacharya was with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA. He is now with Texas Instruments Incorporated, Dallas, TX 75243 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904079

pulse-based data transmission occupies large bandwidths for data transmission while transmitting very low power and can achieve very high data rates. Such systems coexist with other existing communication standards using overlay technology—it shares the frequency spectrum with other standards and has a minimal effect on their operation [1]. A significant amount of research has been done to study and characterize co-existence capabilities of pulse-based transmission [2]–[6]. Although such sharing of the spectrum does not hamper the functionality of other standards, the operation of a pulsed transmitter can be significantly affected by interference from other wireless data transfer protocols. This type of interference can never be fully eliminated from the signal and it affects the sensitivity and performance of the pulse-based transceiver. Hence, during high-volume testing, pulsed transceivers are tested for BER at various interference levels. Pulse-based transceivers use sub-nanosecond pulses for data transmission. The occupied spectrum in this case is usually in the range of 5–7 GHz with peak transmitted power spectral density (PSD) of approximately 40 dBm/MHz. In this paper, we study how narrowband interference sources [viz. Bluetooth and wireless local area network (WLAN)] affect the BER performance of pulsed receivers and propose new methods to speed up production testing for BER. Production testing of pulsed transceivers for the BER in the presence of interference requires a minimum number of bits to be transmitted and decoded at the receiver to compute the BER. The number of bits transmitted during the production test procedure is inversely proportional to the target BER of the device. For low interference levels, the BER is small, indicating a large number of bits required for testing. This results in a long production test time. However, long test times during high-volume production increases the overall manufacturing cost of the device and may reduce profit margin considerably. Thus, it is necessary to employ new test techniques during production testing to reduce the time required to test the device. The purpose of this research is to study the effect of narrowband interference signals on pulsed receiver performance and propose a method by which the BER can be estimated at very low interference levels in a small amount of time using an alternate test stimulus suitable for production test environment. The proposed technique uses sinusoidal pulses as the alternate test stimulus to compute the BER in the presence of interferers. Using sinusoidal pulses, the BER is much larger at low interference levels compared to the typical BER values. Hence, the elevated BER can be measured in a much smaller time period during production test. Next, the actual BER can be computed from the elevated BER values using pre-computed correlation

0018-9480/$25.00 © 2007 IEEE

SENGUTTUVAN et al.: TEST METHOD FOR MEASURING BER OF PULSED TRANSCEIVERS IN PRESENCE OF NARROWBAND INTERFERERS

1943

Fig. 1. (a) Gaussian pulse. (b) Its second derivative.

functions. In this way, significant test time savings can be obtained and BER values can be computed very accurately. This paper discusses the pulse-based transmission method and discusses the theory related to the proposed method. To corroborate the theory, a simulation study is first presented to demonstrate the effectiveness of the technique, followed by an experimental verification of the proposed method, wherein the pulse generation circuitry is implemented in a field-programmable gate-array (FPGA) development board. The pulses were generated and interference signals were added to the transmitted signal. The signal was then looped back to the FPGA for decoding and the BER was computed. In this paper, we study two different types of narrowband interferers—Bluetooth signals and WLAN signals on pulsed receiver data error sensitivity. In all such cases, the proposed method showed significant test time reduction compared to the standard test method. II. PULSED TRANSMISSION METHOD A. Modulation Schemes for Pulsed Transmission A pulsed transceiver uses very short duration pulses, typically of the order of nanoseconds, to transmit data. As the duration of the pulses are small, the spectral spread of the data is really large. For this reason, this type of transmission scheme is also known as ultra-wideband (UWB) [7]. In most cases, the pulses used for transmission are Gaussian pulses or their higher derivatives. The equations for both Gaussian pulse and its second derivative are given by (1) and (2), respectively (Fig. 1), as follows: (1) (2)

B. Modulation Schemes There are mainly two modulation schemes used in pulse-based transmission—bi-phase modulation (DS-UWB) and pulse position modulation (PPM), also known as TH-UWB—where DS and TH denote direct sequence and time hopping, respectively. DS-UWB employs a transmission scheme where each bit is encoded using a spreading code that determines the time slot when the pulse is transmitted. The spreading code is kept unchanged for all the bits during DS-UWB transmission, while the polarity of the pulses is

Fig. 2. Proposed FCC mask for pulse-based transmission.

changed depending on the transmitted bit. Thus, for a spreading code of length bits and a baseband data rate of , the pulse . duration can be expressed as TH-UWB uses a more complex modulation scheme in which the pulses are transmitted at specific time slots based on the baseband data bits form the baseband data bits. Usually, spreading code, and the pulse is transmitted in the time slot that is represented by the -bit binary code. Hence, for baseband data rate of , the pulse duration is given by . Before transmission, the generated pulses are passed through a bandpass filter, which shapes the pulses and limits any out-ofband emissions to satisfy Federal Communications Commission (FCC) limits. The FCC PSD limit is shown in Fig. 2. Very low PSD ensures that the pulse-based communication systems do not affect the quality of transmission of other standards. Among the many other pulses proposed for pulse-based transmission [8], [9], the second derivative of a Gaussian pulse, as shown in (2), fit the FCC PSD spectrum without the need for any filtering and is usually preferred in this communication scheme. C. Pulse Generation The biggest challenge of the pulse-based transmission scheme is generation of Gaussian pulses and their higher derivatives and performing modulation on the continuous time-domain signal. Many such techniques have been proposed in the past. The earlier circuits were usually large in size and used very high power. This made them infeasible for integration in wireless devices. One such circuit uses spark plugs for pulse generation [10]. Other such methods use Schottky diodes, step recovery diode pulsers, and coplanar waveguides [11]–[18]. Other improved methods were proposed in [19] and [20], where impulse-shaping network using MESFETs were incorporated. Other such methods include generating pulses in the frequency domain and performing fast Fourier transform (FFT) on them prior to transmission [21], [22]. Recently, many new techniques have been proposed for pulse generation using CMOS technologies. These can be classified into two groups, i.e., (a) direct generation of pulses and (b) generating pulses via up-conversion. Most of the techniques based on (a) try to perform pulse shaping via filtering [23] and use

1944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 3. UWB receiver architecture. Fig. 4. DS-UWB receiver with standard input waveform.

delay/control signals to set the pulsewidth [24]–[34]. Typical pulsewidths obtained from these range from 150 ps–1.2 ns. A more aggressive method is demonstrated in [35] based on MOS current mode logic (MCML). In this technique, the pulses are generated by a combination of specific input transitions on the control taps of a power amplifier. Some other methods used high-speed ADCs to generate such pulses [36]. References [37] and [38] used up-conversion techniques to generate pulses from the baseband. Some techniques have been proposed based on bipolar junction transceiver (BJT)/BiCMOS technology [39]. Various design methods for designing the front-end components of pulse-based transmission have also been proposed [40], [41]. A few other novel techniques have also been proposed by various authors [42]–[46]. Overall, all the above methods indicate that pulse generation is a relatively easy task and different pulse shapes can be achieved without significant modifications to the generation circuitry. We utilize this knowledge to alleviate the production testing process using a sinusoidal pulse generator instead of the standard Gaussian monocycles. D. Receiver Architecture The UWB receiver consists of a correlator, which is composed of an integrator, followed by a sample and hold (S/H) block, a comparator, and finally a bit extractor, as shown in Fig. 3 [47]. The receiver has a reference pulse generator (also called the reference pulser) unit, which creates the transmitted pulses for bit “1.” Moreover, based on the modulation scheme used, the control signals for the threshold generator and the bit extractor are generated by the reference pulse generator. The received waveform is correlated with the reference pulser output over 1-bit duration and the correlator output is sampled at specific time points of the total bit duration. The correlator, S/H output, and the bit extractor are reset after 1-bit duration. Thus, each received bit is independent of the previous bits. For DS-UWB, the pulses for bit “0” and bit “1” have the same shape, but are inverted with reference to each other. In this case, the reference pulses generated correspond to a “1” bit only and based on the correlator output, the received bit is decoded. Thus, if a “1” is received at the correlator input, the output at the end of a 1-bit period is a positive value higher than the threshold, which is then sampled as a “1” by the receiver. If the received bit is a “0,” the corresponding correlator output at the end of the 1-bit period is negative, which is detected as a “0.” For TH-UWB, the transmitted bits and the reference bits have the same shape; hence, the correlator output is always positive.

However, bits are decoded by detecting the time period during which the correlator output reaches a high positive value and buffered in the bit extractor before sending to the digital signal processor (DSP). III. EFFECT OF INTERFERENCE ON RECEIVER We model the interference signal with a single tone, as the signal bandwidth of the pulses is much larger compared to the bandwidth of the interference signal. The following discussion assumes DS-UWB modulation is used. However, the overall approach can easily be extended to TH-UWB transceivers. The interference signal is associated with a random component (random phase) at 5.25 GHz and a peak phase deviation with a periodically varying phase term (systematic phase is modeled as shown in variation). The interference signal (3) as follows: (3) where amplitude of the interference signal, GHz, and . A. Standard Test Method In the following discussion, all the analysis presented is for a transmitted bit “1,” denoted by . The method, proposed solution and results for bit “0” remain unchanged. [asIn the presence of interference and channel noise sumed to be additive white Gaussian noise (AWGN)], the reis ceived signal (4) The reference pulse generator (Fig. 4) generates a waveform similar to the one transmitted, which is correlated with the received signal. Thus, as described in Section II-B, for a DS-UWB scheme using bits for the code word and a pulse duration of , the correlator output is given by

(5) (Note:

and

for

).

SENGUTTUVAN et al.: TEST METHOD FOR MEASURING BER OF PULSED TRANSCEIVERS IN PRESENCE OF NARROWBAND INTERFERERS

The noise component has been ignored in the equations, but was included in the simulations performed. Close observation of the above equation reveals that the first term is always positive for a bit “1” and negative for a bit “0” irrespective of the pulse waveform used for transmission. Therefore, the bit slicer uses a threshold value of 0 for bit detection in the receiver. Thus, for an error to occur for bit “1,” the second term needs to be more negative than the first term (more positive for a bit “0”). (Note: The probability of correct detection of “1” can be for. To obtain a closed-form mulated as equation for estimating the probability of error for a bit in the . presence of interference, we need to evaluate (5) to find While the first term of (5) can be evaluated, the second term, which has the form

1945

Fig. 5. Possible input waveforms for BER testing. Note: of interest is the value sampled by the bit slicer at the end of the 1-bit period.

(6) is not integrable using standard integration methods. Moreover, due to the random components involved in (5), only a statistical distribution can be derived for the probability of error. Thus, the only way to obtain an estimate of the error probability is to use numerical methods for integration. However, due to the unknown variables associated in (3) and highly nonlinear nature of (5), it is not possible to construct a direct linear relationship and the interfering signal.) between B. Proposed Production Test Method Testing the receivers for error probability at low interference levels using a standard test procedure would require a large number of bits, and thereby a long test time. The proposed method aims to adopt a strategy where the performance of the system can be degraded systematically during testing—such degradation would result in worse BER specification value and a larger number of bits would be in error. This would reduce the test time, as it would be necessary to measure only the elevated BER value during production testing and correlate the elevated BER value to the original value. This would reduce the overall test time significantly. The method needs to be nonintrusive, meaning that it would not be possible to make any changes within the device during testing—only possible changes that can be made are limited to the test stimulus and test setup. We focus on finding an alternate test stimulus that can elevate the BER within the same test setup. As indicated in Section III-A, the correlator output has a positive offset for bit “1” (negative for bit “0”) that prevents to be detected erroneously in the output of the correlator the presence of small interference and noise. The easiest way to increase the BER is to reduce this offset, making the system more prone to errors. This offset is obtained by correlating two identical waveforms—using two different waveforms with little correlation would reduce this offset. We focused on finding a waveform that is easy to generate during production testing and has a small correlation to the Gaussian pulses used in UWB. Such waveforms are limited to sinusoids, square or triangular pulses, or other Gaussian pulses used in UWB. From Fig. 5, one can see that, for such waveforms, the sampled value by the bit slicer varies considerably. For our discussion, the choice tends to select sinusoidal or

Fig. 6. Variation in correlator output for various input sinusoids with different frequencies. Also shown are the ranges of sampled values for random phase values of the interferer and noise from the channel.

triangular pulses, as the sampled value is small at the end of the integration period. A sinusoid seems more favorable, as it exhibits two benefits over triangular pulses: sinusoids are easier to generate in automated test equipment (ATE) and do not need any custom test program to be written by test engineers, and sinusoids occupy much less bandwidth compared to triangular pulses. This reduces the effect of harmonics and does not saturate the receiver. Moreover, as discussed in Section II-C, it is easy to generate sinusoidal pulses using a pulsed RF signal generator. As per the above discussion, we choose sinusoidal pulses as the alternate test stimulus for testing error probability. However, the frequency of the sinusoid needs to be carefully chosen so that the offset does not become zero (this might happen if the frequency of pulse and sinusoid are equal). This is evident from Fig. 6, where the variation in the correlator output is shown for different frequencies of the input sinusoid. Also shown are the ranges of the final sampled values for variation in the input phase of the interferer and noise. We choose the frequency of the sinusoid to be slightly larger than the pulse frequency, as the value sampled by the bit slicer exhibits a larger variation for frequencies greater than the pulse frequency. For this study, the frequency was chosen as 1.2 times the pulse frequency. The test setup is shown in Fig. 7. As per the previous discussion, when a sinusoidal pulse is used as the input, (4) changes to (7)

1946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 7. Proposed test architecture using a pulsed RF signal generator.

where . The sinusoid has a frequency , and a fixed phase of (set to 0). This changes (5) to [again, we ignore the noise in (7)]

Fig. 8. BER performance of a UWB transceiver in the presence of interference.

(8) where

and

.

IV. RESULTS A simulation study for DS-UWB modulation with WLAN interferer, followed by hardware measurements presented for TH-UWB with Bluetooth and multitone interferers is presented here. The pulsed transceiver was implemented on a Altera FPGA development board and interferer signals were added from an HP 4403B signal generator. A. Simulation Study—WLAN Interferer To demonstrate the proposed method for error sensitivity analysis of a pulse-based transceiver, transmitter and receiver models were developed first. Assuming a production test environment, we ignored the multipath components that might appear in a communication channel and modeled transmit and receive antennas as differentiators. In the simulation environment, the data rate was set to 83.33 Mb/s, and ps. When simulated without any interference pulsewidth added, all the bits were correctly decoded. If an interferer of sufficient power is added to the signal, bit errors start to appear and keep increasing as the interferer power is increased. Simulations carried out for a WLAN interference signal showed that a few bit errors were present in this case. Next, simulations were performed at various interference , where levels to find out how the BER changes with is the energy per bit; is the total amount of noise, including interference. Fig. 8 shows the performance of an UWB transceiver in the presence of AWGN noise and varying powers of the interfering WLAN signal (graph ). Also shown in Fig. 8 is the error probability for the proposed approach using sinusoidal pulses (graph ), as described in Section III-B. Next, the measured error probability value using the alternate test stimulus (sinusoidal pulses) at low interference levels was

Fig. 9. Estimating the error probability from the BER curves.

mapped to the actual error probability value using correlation functions. Multivariate adaptive regression splines (MARSs) [49] were used as the mapping function, which uses piecewise linear basis functions to create a mapping between multiple nonlinear datasets. MARS mapping techniques have been used in the past to enable faster production test of analog and RF circuits [50], [51]. We build a mapping function from graph to graph . The mapping function takes the error probability value measured using the proposed technique as input and value. outputs the actual error probability for a fixed To develop the mapping function, the error probability for the pulse-based receiver is measured first using the proposed technique (using sinusoidal pulses, as shown in Fig. 7). At the same time, the test is performed using a pulse-based transceiver 100 BER to find out the with a sufficient number of bits exact error probability value (which requires a large number of bits and, hence, longer test time). This is repeated at different power levels of the interference signal. Finally, a mapping function is developed for the region of interest from one data set to the other, as shown in Fig. 8. Using this function developed, the results were mapped back to the graph A to obtain the actual BER at low interference levels. This is shown in Fig. 9. Table I lists the error probability values for various interference power levels, the standard test method, and proposed test method.

SENGUTTUVAN et al.: TEST METHOD FOR MEASURING BER OF PULSED TRANSCEIVERS IN PRESENCE OF NARROWBAND INTERFERERS

1947

TABLE I COMPARISON OF STANDARD AND PROPOSED TEST METHODS

Fig. 10. Hardware test setup. The pulse-based transceiver was implemented in the FPGA board.

Fig. 11. Simulink test setup for pulse generation, pulse decoding, and BER computation.

B. Hardware Case Study A pulse-based transceiver was implemented in an Altera FPGA development board and the proposed method was verified for Bluetooth and multitone interference signals. The modulation scheme used was TH-UWB in this case. The transmitted pulses were looped back to the FPGA using the development board DAC and ADC pair. Using a signal combiner, the interference signal was added to the transmitted pulses. The frequencies of the interferer signals appropriately scaled down to be within the FPGA operating frequency range. The setup is shown in Fig. 10. The simulation setup for baseband pulse generation is shown in Fig. 11. The system was designed in Simulink with custom DSP blocks from an Altera DSP builder. Using the setup, the system was tested first for BER performance under AWGN. No interference signal was added. The BER curve obtained for increasing noise level is shown in Fig. 12. Next, BER measurements were made in presence of a Bluetooth interferer signal. This was generated from the signal generator and added to the transmitted pulse via the signal combiner. First, Gaussian pulses were used to measure the BER for various SNR values. At low interference levels, there were few or no bit errors, as is evident from Fig. 13. However, as the interference power is increased, bit errors start to appear. This is shown in Fig. 14, where few bit errors can be seen in the received bits. Next, the BER was measured at various interference power levels by replacing the Gaussian pulses with sinusoidal pulses. In both cases, the tests were repeated many times and the BER and SNR values were noted. Next, for each SNR point within the knee region of the BER curve, a function was computed to map the elevated BER values to the actual BER values. As can be seen from Figs. 15 and 16, at low interference levels, the BER

Fig. 12. BER curve for AWGN noise.

Fig. 13. Data captured from the FPGA development board. At low interference power, the correlator output is clean, and no bit errors occur.

is much higher when sinusoidal pulses were used compared to standard Gaussian pulses. Thus, the time required to perform BER measurements was significantly reduced. Moreover, using the mapping function, actual BER values could be predicted with an accuracy of 2%. The test lengths, obtained speedup, and test accuracy (for predicting from the mapping function) are listed in Table II. We limit our mapping procedure to BER

1948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE II BER PERFORMANCE FOR STANDARD AND PROPOSED TEST IN PRESENCE OF BLUETOOTH INTERFERER

Fig. 14. Data plot shown at a higher interference power. Few bit errors can be seen in the received bits.

TABLE III BER PERFORMANCE FOR STANDARD AND PROPOSED TEST IN PRESENCE OF MULTITONE INTERFERER

The same experiments were repeated for a multitone stimulus, similar to that of an orthogonal frequency-division multiplexing (OFDM) signal, used as an interferer. The BER plots for Gaussian and sinusoidal pulses show that the proposed technique elevates the BER at low interference levels, thereby reducing the test time (Fig. 13). The details of the test procedure are given in Table III. V. CONCLUSIONS Fig. 15. BER curves for Bluetooth interferer for Gaussian and sinusoidal pulses.

In this paper, we have discussed a new method to estimate the error probability for received bits of pulse-based transceivers in the presence of narrowband interference. The proposed approach uses much fewer bits to estimate very low error probability values compared to the standard test methods, thus providing test time savings. In a production test environment, this method can predict the actual error probability accurately ( 5% error in prediction), while reducing the test time significantly ( six times), thus lowering the overall test cost. A hardware case study was presented to support the proposed method. REFERENCES

Fig. 16. BER curves for multitone interferer for Gaussian and sinusoidal pulses.

values smaller than 10 , as the BER values for the standard and proposed method above 10 are comparable to each other and no significant test time saving can be obtained.

[1] D. Porcino and W. Hirt, “Ultra-wideband radio technology: Potential and challenges ahead,” IEEE Commun. Mag., vol. 41, no. 7, pp. 66–74, Jul. 2003. [2] M. Hamalainen, V. Hovinen, R. Tesi, J. H. J. Iinatti, and M. Latva-aho, “On the UWB system coexistence with GSM900, UMTS/WCDMA, and GPS,” IEEE J. Sel. Areas Commun., vol. 20, no. 9, pp. 1712–1721, Dec. 2002. [3] L. Zhao and A. M. Haimovich, “Performance of ultra-wideband communications in the presence of interference,” IEEE J. Sel. Areas Commun., vol. 20, no. 9, pp. 1684–1691, Dec. 2002. [4] J. Bellorado, S. S. Ghassemzadeh, L. J. Greenstein, T. Sveinsson, and V. Tarokh, “Coexistence of ultra-wideband systems with IEEE-802.11a wireless LANs,” in IEEE Global Telecommun. Conf., 2003, vol. 1, pp. 410–414. [5] A. Swami, B. Sadler, and J. Turner, “On the coexistence of ultra-wideband and narrowband radio systems,” in IEEE Military Commun. Conf., Oct. 28–31, 2001, vol. 1, pp. 16–19.

SENGUTTUVAN et al.: TEST METHOD FOR MEASURING BER OF PULSED TRANSCEIVERS IN PRESENCE OF NARROWBAND INTERFERERS

[6] D. K. Borah, R. Jana, and A. Stamoulis, “Performance evaluation of IEEE 802.11a wireless LANs in the presence of ultra-wideband interference,” IEEE Wireless Commun. Networking, vol. 1, pp. 83–87, Mar. 16–20, 2003. [7] R. J. Fontana, “Recent system applications of shortpulse ultra-wideband (UWB) technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2087–2104, Sep. 2004. [8] B. Godara, G. Blamon, and A. Fabre, “UWB: A new efficient pulse shape and its corresponding simple transceiver,” in 2nd Int. Wireless Commun. Syst. Symp., Sep. 5–7, 2005, pp. 365–369. [9] S. Krishnan, O. Kyaw, L. W. Ning, V. P. Kumar, and N. L. Leng, “Tunable monocycle doublet generator,” in IEEE Radio Wireless Symp., Jan. 17–19, 2006, pp. 387–390. [10] V. G. Shpak, M. R. Oulmascoulov, S. A. Shunailov, and M. I. Yalandin, “Active former of monocycle high-voltage subnanosecond pulses,” in 12th IEEE Int. Pulsed Power Conf. Tech. Dig., 1999, vol. 2, pp. 1456–1459. [11] T. Buchegger, G. Ossberger, A. Reisenzahn, A. Stelzer, and A. Springer, “Pulse delay techniques for PPM impulse radio transmitters,” in IEEE Ultra Wideband Syst. Technol. Conf., Nov. 16–19, 2003, pp. 37–41. [12] J. Han and C. Nguyen, “Ultra-wideband electronically tunable pulse generators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 112–114, Mar. 2004. [13] J. Han and C. Nguyen, “Coupled-slotline-hybrid sampling mixer integrated with step-recovery-diode pulse generator for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 1875–1882, Jun. 2005. [14] J. Han and C. Nguyen, “On the development of a compact sub-nanosecond tunable monocycle pulse transmitter for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 285–293, Jan. 2006. [15] S. Krishnan, O. Kyaw, L. Z. Ning, V. P. Kumar, and N. L. Leng, “Tunable monocycle doublet generator,” in IEEE Radio Wireless Symp., Jan. 17–19, 2006, pp. 387–390. [16] S. H. Pepper, Sr., “Quadrature/correlating sampler and pulse generator for mmwave UWB QAM modulation and wideband signaling,” in Eur. Microw. Conf., Oct. 4–6, 2005, vol. 3, 3 pp. [17] P. Rulikowski and J. Barrett, “Truly balanced step recovery diode pulse generator with single power supply,” in 2004 IEEE Radio Wireless Conf., Sep. 19–22, 2004, pp. 347–350. [18] S. Yilmaz and I. Tekin, “Ultra-wideband n-bit digitally tunable pulse generator,” in IEEE Int. Ultra-Wideband Conf., Sep. 5–8, 2005, pp. 438–441. [19] J. S. Lee and C. Nguyen, “Novel low-cost ultra-wideband, ultra-shortpulse transmitter with MESFET impulse-shaping circuitry for reduced distortion and improved pulse repetition rate,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 5, pp. 208–210, May 2001. [20] J.-W. Han, M. Miao, and C. Nguyen, “Recent development of SRDand FET-based sub-nanosecond pulse generators for ultra-wideband communications,” in IEEE Top. Wireless Commun. Technol. Conf., Oct. 15–17, 2003, pp. 441–442. [21] G. S. Gill, H. F. Chiang, and J. Hall, “Waveform synthesis for ultra wideband radar,” in IEEE Nat. Radar Conf. Rec., 1994, pp. 240–245. [22] G. S. Gill, “Ultra-wideband radar using Fourier synthesized waveforms,” IEEE Trans. Electromagn. Compat., vol. 39, no. 2, pp. 124–131, May 1997. [23] K. Li, D. Kurita, and T. Matsui, “A novel UWB bandpass filter and its application to UWB pulse generation,” in IEEE Int. Ultra-Wideband Conf., Sep. 5–8, 2005, pp. 446–451. [24] A. E.-C. Tan, M. Y.-W. Chia, and S.-W. Leong, “Sub-nanosecond pulse-forming network on SiGe BiCMOS for UWB communications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1019–1024, Mar. 2006. [25] A. Azakkour, M. Regis, F. Pourchet, and G. Alquie, “A new integrated monocycle generator and transmitter for ultra-wideband (UWB) communications,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 12–14, 2005, pp. 79–82. [26] S. Bagga, G. de Vita, S. A. P. Haddad, W. A. Serdijn, and J. R. Long, “A PPM Gaussian pulse generator for ultra-wideband communications,” in Proc. Int. . Circuits Syst. Symp, May 23–26, 2004, vol. 1, pp. I-109–I-112. [27] S. Bagga, W. A. Serdijn, and J. R. Long, “A PPM Gaussian monocycle transmitter for ultra-wideband communications,” in Joint Int. Ultra Wideband Syst. Workshop/Ultrawideband Syst. Technol. Conf., May 18–21, 2004, pp. 130–134.

1949

[28] H. Kim, D. Park, and Y. Joo, “Design of CMOS Scholtz’s monocycle pulse generator,” in IEEE Ultra Wideband Syst. Technol. Conf., Nov. 16–19, 2003, pp. 81–85. [29] J. Han and C. Nguyen, “A new ultra-wideband, ultra-short monocycle pulse generator with reduced ringing,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 206–208, Jun. 2002. [30] J. Lee, Y.-J. Park, M. Kim, C. Yoon, J. Kim, and K.-H. Kim, “System-on-package ultra-wideband transmitter using CMOS impulse generator,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1667–1674, Jun. 2006. [31] K. Marsden, H.-J. Lee, D. Ha, and H.-S. Lee, “Low power CMOS re-programmable pulse generator for UWB systems,” IEEE Ultra Wideband Syst. Technol. Conf., pp. 443–447, Nov. 16–19, 2003. [32] T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, Y. Ookuma, M. Hayakawa, S. Kobayashi, N. Koshizuka, and K. Sakamura, “A novel UWB impulse-radio transmitter with all-digitally-controlled pulse generator,” in Proc. 31st Eur. Solid-State Circuits Conf., Sep. 12–16, 2005, pp. 267–270. [33] I. D. O’Donnell and R. W. Brodersen, “An ultra-wideband transceiver architecture for low power, low rate, wireless systems,” IEEE Trans. Veh. Technol., vol. 54, no. 5, pp. 1623–1631, Sep. 2005. [34] P. K. Saha, N. Sasaki, and T. Kikkawa, “A CMOS UWB transmitter for intra/inter-chip wireless communication,” in IEEE 8th Int. Spread Spectrum Tech. Applicat. Symp., Aug. 30–Sep. 2, 2004, pp. 962–966. [35] K. M. Marsden, “A study of a versatile low power CMOS pulse generator for ultra wideband radios,” Master Sci. thesis, Dept. Elect. Comput. Eng., Virginia Polytech. Inst./State Univ., Blacksburg, VA, 2003. [36] R. Blazquez, P. P. Newaskar, F. S. Lee, and A. P. Chandrakasan, “A baseband processor for pulsed ultra-wideband signals,” in Proc. IEEE Custom Integrated Circuits Conf., Oct. 3–6, 2004, pp. 587–590. [37] C. Fang, Y. Zheng, and C. L. Law, “An ultra wideband transmitter based on up conversion architecture,” in Proc. IEEE Int. Radio-Frequency Integration Technol. Workshop, Nov. 30–Dec. 2, 2005, p. 4. [38] M. Demirkan and R. R. Spencer, “A low-sensitivity on-chip impulse radio pulse generation method,” in 38th Asilomar Signals, Syst., Comput. Conf. Rec., Nov. 7–10, 2004, vol. 1, pp. 1020–1024. [39] D. D. Wentzloff and A. P. Chandrakasan, “A 3.1–10.6 GHz ultra-wideband pulse-shaping mixer,” in IEEE Radio Frequency Integrated Circuits Symp. Dig., Jun. 12–14, 2005, pp. 83–86. [40] M. Shen, T. Koivisto, T. Peltonen, L.-R. Zheng, E. Tjukanoff, and H. Tenhunen, “UWB transceiver circuits design for WPANs applications,” in Int. Signals, Circuits, Syst. Symp., Jul. 14–15, 2005, vol. 1, pp. 255–258. [41] M. Shen, T. Koivisto, T. Peltonen, L.-R. Zheng, E. Tjukanoff, and H. Tenhunerf, “UWB radio module design for wireless sensor networks,” in 23rd NORCHIP Conf., Nov. 21–22, 2005, pp. 184–187. [42] R. S. Dilmaghani, M. Ghavami, and A. H. Aghvami, “UWB multiplepulse generator and transmitter,” in Int. Ultra Wideband Syst. Technol. Workshop, May 18–21, 2004, pp. 117–121. [43] H. Kim, D. Park, and Y. Joo, “All-digital low-power CMOS pulse generator for UWB system,” Electron. Lett., vol. 40, no. 24, pp. 1534–1535, Nov. 25, 2004. [44] L. Zhang and Z. Zhou, “A novel synthesis design and implementation for generating UWB narrow pulse based on wavelet,” in IEEE Int. Commun. Inform. Technol. Symp., Oct. 26–29, 2004, vol. 2, pp. 1228–1231. [45] Y. H. Choi, “Gated UWB pulse signal generation,” in Int. Ultra Wideband Syst. Workshop, May 18–21, 2004, pp. 122–124. [46] R. Zimmer, P. Waldow, and A. Beyer, “An improved method of generating UWB pulses for high datarate communication,” in IEEE Radio Wireless Symp., Jan. 17–19, 2006, pp. 143–145. [47] S. Roy, J. R. Foerster, V. S. Somayazulu, and D. G. Leeper, “Ultrawideband radio design: The promise of high-speed, short-range wireless connectivity,” Proc. IEEE, vol. 92, no. 2, pp. 295–311, Feb. 2004. [48] S. Bhattacharya, R. Senguttuvan, and A. Chatterjee, “Production test method for evaluating the effect of narrowband interference on data errors in ultra-wide band (UWB) receivers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 12–17, 2005, pp. 1513–1516. [49] J. H. Friedman, “Multivariate adaptive regression splines,” Ann. Stat., vol. 19, no. 1, pp. 1–128, 1991. [50] P. N. Variyam, S. Cherubal, and A. Chatterjee, “Prediction of analog performance parameters using fast transient testing,” IEEE Trans. Comput.-Aided Design Integrated Circuits Syst., vol. 21, no. 3, pp. 349–361, Mar. 2002. [51] A. Halder, S. Bhattacharya, G. Srinivasan, and A. Chatterjee, “A system-level alternate test approach for specification test of RF transceivers in loopback mode,” in 18th Int. VLSI Design Conf., Jan. 2005, pp. 289–294.

1950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Rajarajan Senguttuvan (S’02) received the B.E. degree (Hons.) in electrical and electronics engineering and M.Sc. degree (Hons.) in biological sciences from the Birla Institute of Technology and Science, Pilani, India, in 2001, the M.S. degree in electrical and computer engineering from Oregon State University, Corvallis, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology, Atlanta. During Summer 2003, he was with Maxim Integrated Products, Hillsboro, OR, where he performed jitter characterization of clock data recovery (CDR) circuits. During Summer 2005, he was involved with the signal integrity analysis of microprocessor boards and chipsets at the Intel Corporation, Chandler, AZ. His current research interests include mixed-signal/RF circuit design and modeling, design-for-test (DFT) and built-in self-test (BIST) techniques for high-speed wired/wireless transceivers, and reconfigurable circuits.

Soumendu Bhattacharya (S’00–M’06) received the B.Tech. degree in electrical engineering from the Indian Institute of Technology, Kharagpur, India, in 2000, and the M.S. and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2002 and 2005, respectively. In Summer 2001, he was an Intern with the National Semiconductor Corporation, Santa Clara, CA, where he was involved in test development for rapid production testing of audio power amplifiers. He was a Post-Doctoral Fellow with the Georgia Institute of Technology, where he was involved in the development of efficient production testing techniques for RF and high-speed SERDES devices using designfor-testability (DfT) mechanisms, and modeling biosensors for next-generation sensor networks. He is currently a Product and Test Engineer with Texas Instruments Incorporated, Dallas, TX. His research interests are low-cost test development for production testing of mixed-signal and RF circuits/systems and design-for-test.

Abhijit Chatterjee (SM’95–F’06) received the B.Tech. degree in electrical engineering from the Indian Institute of Technology, Kanpur, India, in 1981, the M.S. degree in electrical engineering and computer science from the University of Illinois at Chicago, in 1983, and the Ph.D. degree in electrical and computer engineering from the University of Illinois at Urbana–Champaign, in 1990. From 1983 to 1992, he was with the General Electric Corporate Research and Development Center, Schenectady, NY (on leave from 1985 to 1989 while working toward the doctoral degree). Since 1993, he has been with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where is currently a Professor. In 2000, he cofounded Ardext Technologies Inc., to commercialize rapid production test of mixed-signal ICs. He currently directs a major program at the Georgia Institute of Technology in mixed-signal design, which is test funded by MARCO, the Defense Advanced Research Projects Agency (DARPA), the National Science Foundation (NSF), the Scientific Research Council (SRC), and industry. He has authored or coauthored over 250 papers in refereed journals and meetings. His research interests are computer algorithms, reliable design and test of analog and mixed-signal integrated circuits (ICs)/systems-on-packages/printed wiring boards, and design of low-power ICs and systems. Dr. Chatterjee serves on the Program Committees of several conferences. He was the recipient of the 1993 NSF Research Initiation Award, the 1995 NSF CAREER Award, four Best Paper Awards, and three Best Paper Award nominations. In 1996, he was the recipient of the Outstanding Faculty for Research Award presented by the Georgia Institute of Technology Packaging Research Center and the 2000 Outstanding Faculty for Technology Transfer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1951

Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration Dylan F. Williams, Fellow, IEEE, Tracy S. Clement, Senior Member, IEEE, Kate A. Remley, Senior Member, IEEE, Paul D. Hale, Senior Member, IEEE, and Frans Verbeyst, Member, IEEE

Abstract—We use traceable swept-sine and electrooptic-sampling-system-based sampling-oscilloscope calibrations to measure the systematic error of the nose-to-nose calibration, and compare the results to simulations. Our results show that the errors in the nose-to-nose calibration are small at low frequencies, but significant at high frequencies. Index Terms—Calibration, electrooptic sampling, impedance mismatch, mismatch correction, nose-to-nose calibration, sampling oscilloscope, swept-sine calibration.

I. INTRODUCTION

T

HE “nose-to-nose” sampling-oscilloscope calibration determines the impulse response of the oscilloscope’s sampler by using that sampler to measure the “kickout” or “kickback” pulses [1] generated by a similar sampler. The calibration is based upon the assumption that the impulse response of the first sampler and the kickout pulse of the second sampler are the same to within a constant multiplicative factor. This allows the impulse response of the oscilloscope to be estimated form the measured convolution of the oscilloscope’s impulse response and kickout pulses. In this paper, we present experimental evidence showing that, while errors in the nose-to-nose calibration are small below 15 GHz, differences in the kickout pulses and impulse response of our 50-GHz oscilloscopes lead to readily measurable systematic errors in the nose-to-nose calibration above 25 GHz. Over a decade ago, Rush et al. [1] noticed that when they applied a charge to the hold capacitor of their oscilloscopes’ balanced sampling circuits, the sampler generated an electrical pulse each time the sampling gate was closed. They observed that these kickout pulses were generated by charge flowing from the hold capacitor through the sampling gate to the oscilloscope’s output when the sampling gate was closed. Fig. 1, which was derived from our earlier simulation study [2], compares SPICE simulations of the temporal impulse response and kickout pulses of a balanced 20-GHz sampling circuit. Manuscript received February 8, 2007; revised May 31, 2007. D. F. Williams, T. S. Clement, K. A. Remley, and P. D. Hale are with the National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). F. Verbeyst was with the Vakgroep ELEC, Faculteit Ingenieurswetenschappen, Vrije Universiteit Brussel, Brussels B-1050, Belgium. He is now with NMDG Engineering BVBA, Bornem B-2880, Belguim (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904333

Fig. 1. Temporal impulse response and kickout pulses calculated from SPICE simulations. Data from [2].

Based on the notion that the oscilloscope’s impulse response is determined by the flow of charge through the same sampling gate, Rush et al. [1] hypothesized that the sampling gate’s conductance, kickout pulses, and oscilloscope impulse response should all have approximately the same duration and shape. Based on the assumption that the kickout pulses and impulse response of the samplers are proportional to each other, they then proposed the nose-to-nose sampling-oscilloscope calibration in [1]. The nose-to-nose oscilloscope calibration has become quite popular, in part because it is relatively easy to perform. A constant voltage is applied to the hold capacitor of one sampler, usually by adjusting the offset voltage of the sampler in oscilloscopes with that option [1]. This generates a kickout pulse each time the sampler’s sampling gate closes. In its simplest implementation, a second nearly identical sampler is then used to measure these kickout pulses. The second sampler measures the convolution of the kickout pulse from the first sampler and its own impulse response. While the shape of the kickout pulse is not known a priori, if the kickout pulses and impulse response are the same to within a constant multiplicative factor, the impulse response of the oscilloscope can be determined to within a constant multiplicative factor from the measurement of their convolution. The frequency-domain representation of the impulse response of the oscilloscope’s sampling circuit is typically determined by calculating the Fourier transform of the measured temporal convolution and taking the square root of the result. The square root of the Fourier transform of the convolution is proportional to the Fourier transform of the

0018-9480/$25.00 © 2007 IEEE

1952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

impulse response of the sampler when the kickout pulses and impulse response of the two samplers are proportional. Once the frequency response of the sampler has been determined in this fashion, it can be used directly to calibrate the oscilloscope in the frequency domain, or it can be transformed back into the time domain by means of an inverse Fourier transform. Even before the early study of [3], which investigated the nose-to-nose calibration, the National Physical Laboratory (NPL), Middlesex, U.K., began developing alternative oscilloscope calibrations. NPL developed both oscilloscope calibrations [4]–[8] and direct on-wafer measurement systems [9]–[11] based on electrooptic phenomena. A number of experiments on the nose-to-nose calibration were also performed at the National Institute of Standards and Technology (NIST), Boulder, CO, and Gaithersburg, MD, over the same time period to examine and improve its stability and accuracy [12]–[17]. In 2003, NIST and NPL conducted a measurement comparison of the parameters of pulses with a roughly 15-ps transition duration time measured with 20-GHz oscilloscopes and found agreement within their stated uncertainties [18]. While the NIST oscilloscopes were calibrated with the nose-to-nose calibration and NPL oscilloscopes were calibrated by electrooptic means, the speeds of the 20-GHz oscilloscopes and roughly 15-ps transition-duration pulses used in these comparisons were quite low, and potential systematic errors in the nose-to-nose calibration were not investigated. NIST also performed a preliminary uncertainty analysis of the nose-to-nose calibration in 2003 that did not consider systematic error [13]. Verspecht and Rush suggested in [19] and [20] that sampler asymmetry and nonlinear capacitance in the sampler diodes may lead to differences in the shapes of the kickout pulses and impulse response of sampling oscilloscopes. The studies of [3] and [21] observed discrepancies of 0.5 dB or greater in the magnitude response of the nose-to-nose calibrations and calibrations traceable to fundamental power measurements. The authors concluded, considering other uncertainties involved in the measurements, that the swept-sine and nose-to-nose calibration were in good agreement, but did not include uncertainty analyses upon which to base firm conclusions. Our parametric studies [22], which summarize the SPICEbased results of [23] and [24], and the analytic model of [25], also indicated that the nonlinear capacitance of the sampling diodes used in the sampling circuits could cause differences between the kickout pulses and the impulse response of the oscilloscope’s sampling circuit. These numerical studies predicted that the nonlinear capacitance of the sampling diodes should give rise to measurable systematic error in the nose-to-nose calibrations at high frequencies. Due to a lack of intimate knowledge of the internal circuitry of the sampling oscilloscopes, we were unable to make definitive statements concerning the accuracy of the nose-to-nose calibration when applied to oscilloscopes with a bandwidth greater than 20 GHz. Nevertheless, these numerical studies encouraged us to also develop alternatives to the nose-to-nose calibration at NIST based on electrooptic sampling [26]–[33]. Despite a move away from the nose-to-nose calibration at NIST and NPL, the nose-to-nose calibration is still used in other

settings. Furthermore, the electrical engineering community has not yet reached a consensus on the accuracy of the nose-to-nose calibration, in large part due to a lack of definitive experimental results in the literature. This paper fills this gap. We present new experimental evidence of systematic error in the nose-to-nose sampling-oscilloscope calibrations obtained by comparing the nose-to-nose oscilloscope calibration to two other oscilloscope calibrations, both traceable to fundamental units. Furthermore, we use a rigorous uncertainty analysis to show that the nose-tonose calibration exhibits statistically significant and easily measurable systematic error, at least with our 50-GHz oscilloscopes. We then repeat the experiments in a second laboratory to confirm our experimental results. Finally, we compare our experimental results to systematic errors we predicted earlier with SPICE models, adding further insight and weight to our conclusions. II. MAGNITUDE CALIBRATION The swept-sine calibration measures the magnitude response of a sampler by applying sine waves of known amplitude to the input of the oscilloscope’s sampler. The swept-sine calibration can be made traceable by determining the amplitude of the sine waves with a traceable power meter, as was done in [3], [16], [19], [21], and [34]. Henderson et al. [3] first compared the swept-sine calibration (called a “stepped frequency measurement” in [3]) to an oscilloscope calibration based on electrooptic sampling and to the nose-to-nose calibration in 1992. They observed deviations of up to 0.5 dB in their magnitude comparisons. Nevertheless, these observations were consistent with the 0.7 dB uncertainties Henderson et al. estimated for their swept-sine calibration to 30 GHz, and they reported good agreement between the methods. It is important to keep in mind that, at this early stage, corrections for impedance mismatch and for differences between the samplers were not available. We have found these necessary to reduce the frequency-domain uncertainties to a level where definitive statements can be made regarding the significance of the discrepancies in the nose-to-nose calibration. Henderson et al. also compared temporal aspects of measurements performed with an oscilloscope calibrated with the nose-to-nose calibration to measurements performed by an electrooptic sampling system in [3]. While they found reasonable agreement between the two temporal measurements, it is difficult to draw conclusions regarding the responses in the frequency domain. The magnitude of the nose-to-nose calibration was later compared to swept-sine measurements in [19]. These authors also observed differences of up to 0.5 dB in the nose-to-nose and swept-sine calibrations. However, they also were unable to draw firm conclusions regarding the significance of these discrepancies because they had not corrected for mismatch, time-base distortion, or jitter and because they did not develop an uncertainty analysis. Later experiments performed at NIST [16] did include the oscilloscope time-base distortion, jitter, and mismatch corrections unavailable in [3] and [19], but also lacked an uncertainty analysis. These results also show deviations in the magnitude of the

WILLIAMS et al.: SYSTEMATIC ERROR OF NOSE-TO-NOSE SAMPLING-OSCILLOSCOPE CALIBRATION

Fig. 2. Comparison of the sampler’s measured magnitude response determined by the swept-sine calibration, the electrooptic sampling system calibration (labeled “EOS calibration” in this figure), and the nose-to-nose calibration performed at NIST. The “error bars” in the figure correspond to 95% confidence intervals.

two calibrations of 0.5 dB or greater above 20 GHz, but did not allow the authors to draw statistically based conclusions. Recently, Scott reported comparisons in [21] of swept-sine and nose-to-nose calibrations that used an improved time base that dramatically reduces time-base distortion and jitter. Scott also observed deviations of roughly 0.5–1 dB in the two calibrations. Scott reported good agreement in light of the uncertainties in his measurements. Scott attributed much of this uncertainty to impedance mismatches in the system and was unable to make definitive statements about the sources of the discrepancies he observed because he did not perform a full uncertainty analysis. More recently, we performed new experiments at NIST to assess the agreement of the nose-to-nose and swept-sine calibrations. We performed the nose-to-nose calibration with the approach described in [15] and [16], correcting for distortion and jitter in the oscilloscope time base using the methods described in [16] and [35]–[37] and correcting for mismatch using the method of [15]. We also used three samplers in our nose-tonose calibration to account for differences between them, as explained in [16] and [19]. When performing the swept-sine calibration, we corrected for impedance mismatches using the method of [15]; we also performed an uncertainty analysis of our results. Fig. 2 compares the response of a commercial 50-GHz sampling oscilloscope determined with the nose-to-nose calibration performed at NIST with a traceable swept-sine calibration. To generate the third curve, labeled “EOS calibration” in Fig. 2, we calibrated the oscilloscope with photodiodes characterized by the traceable NIST electrooptic sampling system [26]–[29] using the procedures outlined in [31]. The photodiode was calibrated to 110 GHz, and has significant energy at that frequency. We also corrected for impedance mismatch and for distortion and jitter in the oscilloscope time base, and performed an uncertainty analysis. Fig. 2 shows very good agreement between all of the calibrations below 15 GHz, and agreement on the order of 0.1 dB between the swept-sine and electrooptic sampling system calibrations up to 40 GHz. While the 3-dB bandwidth estimates

1953

Fig. 3. Comparison of the sampler’s measured magnitude response determined by the swept-sine calibration, the electrooptic sampling system calibration (labeled “EOS calibration” in this figure), and the nose-to-nose calibration performed at NMDG Engineering BVBA, Bornem, Belgium. No uncertainties were available for these data, which were also presented in [34].

derived from the three calibrations are quite close (not shown), this figure also shows a smooth, but significant deviation of as much as 1 dB between the nose-to-nose calibration and both the traceable swept-sine and the traceable electrooptic-samplingsystem-based oscilloscope calibrations above 15 GHz. Finally, we note that the impact of the frequency-domain deviations shown in Figs. 2 and 3 on the temporal impulse response of these oscilloscopes are difficult to assess because the impulse responses of these oscilloscopes have significant energy above 50 GHz, the highest frequency at which we performed comparisons. To better quantify our comparison, we plot 95% confidence intervals in Fig. 2. We derived the confidence intervals for the electrooptic-sampling-system-based oscilloscope calibration from [31] and our uncertainty in the swept-sine calibration from [16] and [38]. We did not account for potential additional uncertainty in the swept-sine calibration due to the discrepancies in results obtained with the random sampling technique we used and the uniform sampling method noted in [34]. If we treated those discrepancies as a source of error in the analysis, the uncertainties in the swept-sine calibration increase 0.3 dB over most of the band. to approximately The nose-to-nose results plotted in Fig. 2 were derived from the mean of 18 measurements (as opposed to the five measurements employed in [16]), allowing us to greatly reduce our uncertainty due to repeatability. Our estimate of the uncertainty in the nose-to-nose calibration also only included those components of uncertainty unrelated to systematic error in the assumption that the kickout pulses and impulse response are proportional to each other, which is the hypothesis we are studying here. This is in contrast to the electrooptic-sampling-systembased and swept-sine calibrations, whose uncertainties contain significant systematic components. Thus, the uncertainty in the mean of the 18 nose-to-nose calibrations plotted in Fig. 2 is quite low compared to the uncertainties of the EOS-system-based and the swept-sine calibrations.

1954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

As we stated earlier, we used three samplers in our nose-tonose calibrations, allowing us to form several nose-to-nose estimates of the response of our sampler from each experiment. We included these variations in our statistical analysis of the uncertainty due to repeatability. Thus, our repeatability estimate includes not only the repeatability in the measurements, but perhaps some other errors in the nose-to-nose calibration that manifest themselves as differences between calibrations based on using different combinations of oscilloscopes. To this we added our estimate of the uncertainty in the nose-to-nose calibration due to the uncertainty in the NIST Measurement Service Test 61263S reflection coefficient measurements we employed to perform the mismatch corrections. While the 95% uncertainty intervals we estimate for the nose-to-nose calibration do not include contributions due to the time-base distortion and jitter corrections we applied, these missing components of the overall uncertainty are small and do not greatly affect the confidence intervals plotted in Fig. 2. In the case of the swept-sine measurement, traceability is achieved via careful calorimetric measurements, while in the electrooptic sampling system, traceability is achieved via the very fast response time of the opto-electronic crystals that translate voltages to optical polarization changes [26]–[29]. The swept-sine and electrooptic-sampling-system-based calibrations agree reasonably well and are within the 95% confidence intervals for the two calibrations over the entire frequency range. This is expected, as these two calibrations are traceable to fundamental physical phenomena. However, Fig. 2 also shows that the 95% confidence intervals for the nose-to-nose and swept-sine calibrations do not overlap, an indication that the differences in the two calibrations are statistically significant. As we discussed earlier, both SPICE simulations and analytic models identify significant potential sources of systematic error in the nose-to-nose calibration [2], [22]–[25], [39], while no such systematic error sources have been identified in the swept-sine or electrooptic sampling system calibrations. Thus, our statistical uncertainty analysis leads us to conclude that this deviation is due to systematic error in the nose-to-nose calibration. To further confirm our results, NMDG Engineering BVBA repeated the comparison of the nose-to-nose and swept-sine calibrations in Belgium following the approach outlined in [34]. Results of the measurements performed at NMDG Engineering BVBA are shown in Fig. 3. The oscilloscope and plug-in were of the same model. However, none of the equipment or the methods used in the nose-to-nose and swept-sine calibrations performed at NMDG Engineering BVBA were the same as those performed at NIST, including the vector network analyzers used for the mismatch corrections, the power meters to which the swept-sine calibrations were traceable, and the algorithms used to correct for mismatch and time-base distortion and jitter. Finally, the oscilloscope plug-in used at NMDG Engineering BVBA was also calibrated with a photodiode calibrated on the NIST electrooptic sampling system. While the measurements of the photodiode were performed at NIST, the oscilloscope was calibrated with NMDG software and algorithms.

Fig. 4. Measured phase response as determined by the nose-to-nose and electrooptic-sampling-system calibrations. Measurements performed at NIST.

Fig. 3 shows the results of the comparison performed at NMDG Engineering BVBA. Two swept-sine calibrations are shown. The oscilloscope’s magnitude response determined with a swept-sine calibration performed by taking random samples and building up a histogram of the sinusoids are indicated by a solid line in Fig. 3. This same approach was used at NIST to perform the swept-sine calibration shown in Fig. 2. Reference [34] outlines several disadvantages of this approach: ensuring that the time axis is sampled with a uniform probability density function is difficult, it is difficult to identify harmonic and subharmonic content when samples are taken randomly, and the noise added by the sampling oscilloscope must be measured with no signal present and assumed to be independent of the signal level. In addition, no time-base corrections are applied when taking random samples, while time-base corrections must be applied when performing other measurements with the oscilloscope. The magnitude response indicated by a dashed line in Fig. 3 was determined with the swept-sine calibration was determined from equally spaced measurements of the sine wave. This approach circumvents the disadvantages listed in the previous paragraph [34]. This may explain the better agreement between this variation of the swept-sine calibration and the electrooptic-sampling-system-based oscilloscope calibration. While the measurements performed at NMDG Engineering BVBA did not include an uncertainty analysis, this experiment does further confirm our previous results. These measurements not only indicate that the differences between the nose-to-nose and the traceable swept-sine calibration are repeatable, even within different oscilloscope plug-ins, but confirm the accuracy of the magnitude of the electrooptic-sampling-system-based oscilloscope calibration. III. PHASE CALIBRATION We are unaware of any experimental work comparing the phases of nose-to-nose and electrooptic-sampling-system-based oscilloscope calibrations with a statistical analysis. Fig. 4 plots the phase responses of the NIST 50-GHz oscilloscope determined with NIST’s nose-to-nose calibration and our traceable calibration based on the NIST electrooptic sampling system.

WILLIAMS et al.: SYSTEMATIC ERROR OF NOSE-TO-NOSE SAMPLING-OSCILLOSCOPE CALIBRATION

1955

error to be of the same sign and the same order of magnitude. We noted similar agreement for the magnitudes of the responses (not shown). This indicates that at least the principal systematic errors in the nose-to-nose calibration due to the nonlinear capacitance of the sampling diodes were identified correctly by the SPICE simulations. IV. CONCLUSION

Fig. 5. Measured difference between the sampler’s phase response as determined by the nose-to-nose and electrooptic-sampling-system calibrations. The uncertainties apply only to the NIST measurements. The measurement performed at NMDG Engineering BVBA was also presented in [34].

The differences in these phases are small below 15 GHz, but begin to grow significantly at approximately 20 GHz. Fig. 5 plots the differences in the phases determined by these two calibrations alongside the uncertainty in that difference. Here we temporally aligned the calibrations by subtracting a linear phase that minimizes deviations below 15 GHz. A comparison of the measured differences of the nose-to-nose and electrooptic-sampling-system-based calibrations to our estimated 95% uncertainty interval for this difference leads us to conclude that the differences between the two calibrations are indeed statistically significant at higher frequencies. Again, because we have not been able to identify any significant systematic sources of measurement error in the traceable electrooptic-sampling-system-based calibration, our statistical analysis leads us to conclude that the measured differences are due to high-frequency systematic errors in the nose-to-nose calibration. To help confirm our results, we also plot in Fig. 5 the differences in the phase of the nose-to-nose and electrooptic-sampling-system-based oscilloscope calibrations measured at NMDG Engineering BVBA, and label them with triangles. Here again, we subtract a linear phase from the result so as to minimize deviations below 15 GHz. This allows the NIST and NMDG Engineering BVBA deviations to grow at the high frequencies. Nevertheless, the differences in the phase response of the nose-to-nose and electrooptic-sampling-system-based oscilloscope calibrations determined in the two laboratories are quite similar, despite the differences in algorithms and equipment used at NIST and at NMDG Engineering BVBA to perform the nose-to-nose calibrations. Also plotted in Fig. 5 is the error in the phase of the nose-tonose calibration predicted with SPICE models in the parametric study of [22]. As explained in [22], the SPICE models were based on the equivalent circuit of [40] modified to better correspond to the circuitry used in the 50-GHz samplers we employed in our experiments. The agreement seems reasonable considering the approximations that had to be employed in the SPICE models. In particular, the SPICE simulations show the

We used a rigorous uncertainty analysis to compare the nose-to-nose, swept-sine, and electrooptic-sampling-systembased calibrations. While our magnitude measurements were generally consistent with previous measurements, our uncertainty analysis allowed us to state that the differences we observed were statistically significant, and indicate the presence of systematic error in the magnitude of the nose-to-nose oscilloscope calibration. We also compared the phase response of the nose-to-nose and electrooptic-sampling-system-based calibrations for the first time, allowing us to further characterize the systematic error in the nose-to-nose calibration. Our analysis showed that nose-to-nose calibrations of our 50-GHz oscilloscopes are accurate to at least 15 GHz: this suggests the possibility of using nose-to-nose calibrations to extend to lower frequencies electrooptic-sampling-system-based oscilloscope calibrations, which are currently limited in the NIST system to approximately 600 MHz and higher. Our analysis also showed that the nose-to-nose calibration has statistically significant and easily measurable high-frequency systematic errors. We further verified our results by performing them in two different laboratories with different oscilloscopes and with different oscilloscope calibration algorithms. We also compared our experimental results with simulations we performed previously, and showed that the systematic errors in the nose-to-nose phase calibration we measured were consistent with errors due to the nonlinear capacitance of the sampling diodes predicted from SPICE models. Finally, all of our results were limited to oscilloscopes with a 50-GHz bandwidth. Our SPICE simulations do not indicate that the systematic error of the nose-to-nose calibration necessarily grows smaller as the bandwidth of the oscilloscope is increased. Thus, we are unable to extrapolate these results to other oscilloscopes with a greater bandwidth. REFERENCES [1] K. Rush, S. Draving, and J. Kerley, “Characterizing high-speed oscilloscopes,” IEEE Spectr., pp. 38–39, Jan. 1990. [2] D. F. Williams, K. A. Remley, and D. C. DeGroot, “Nose-to-nose response of a 20-GHz sampling circuit,” in Automat. RF Tech. Group Conf. Dig., Dec. 1999, vol. 54, pp. 64–70. [3] D. Henderson, A. G. Roddie, and A. J. A. Smith, “Recent developments in the calibration of fast sampling oscilloscopes,” Proc. Inst. Elect. Eng., vol. 139, no. 5, pt. A, pp. 254–260, Sep. 1992. [4] D. Henderson and A. G. Roddie, “Calibration of fast sampling oscilloscopes,” Meas. Sci. Technol., pp. 673–679, Jan. 1990. [5] A. J. A. Smith, A. G. Roddie, and D. Henderson, “Electro-optic sampling of low temperature GaAs pulse generators for oscilloscope calibration,” Opt. Quantum Electron., vol. 28, pp. 933–943, Jul. 1996. [6] A. J. A. Smith, A. G. Roddie, and P. D. Woolliams, “Electro-optic sampling of coplanar to coaxial transitions to enhance the calibration of fast oscilloscopes,” in Automat. RF Tech. Group Dig., Nov. 2000, vol. 56, pp. 190–195.

1956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

[7] A. J. A. Smith, A. G. Roddie, and P. D. Woolliams, “Optoelectronic techniques for improved high speed electrical risetime,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 3, pp. 1501–1504. [8] A. J. A. Smith, M. R. Harper, and A. Basu, “Progress in high-speed time domain metrology at NPL,” in Automat. RF Tech. Conf. Dig., Dec. 2003, vol. 26, pp. 35–43. [9] R. A. Dudley, A. G. Roddie, D. Bannister, F. Facon, and T. Krems, “Electro-optic probing of microwave circuits,” in Proc. Precision Electromagn. Metrol. Conf., Jul. 1998, p. 489. [10] R. A. Dudley, F. Rodriguez-Llorente, and A. G. Roddie, “Non-invasive measurement of MMICs using electro-optic techniques from 100 MHz–60 GHz,” IEE Colloq. Microw. Meas., pp. 4-1–4-6, Feb. 1999. [11] R. A. Dudley, A. G. Roddie, D. Bannister, A. D. Gifford, T. Krems, and P. Facon, “Electro-optic S -parameter and electric-field profiling measurement of microwave integrated circuits,” Proc. Inst. Elect. Eng. —Sci., Meas., Technol., vol. 146, no. 3, pp. 117–122, Jul. 1999. [12] N. G. Paulter and D. R. Larson, “An examination of the spectra of the ‘kick-out’ pulses for a proposed sampling oscilloscope calibration method,” IEEE Trans. Instrum. Meas., vol. 51, no. 5, pp. 1221–1223, Oct. 2001. [13] N. G. Paulter and D. R. Larson, “Sources of uncertainty in the nose-tonose sampler calibration method,” IEEE Trans. Instrum. Meas., vol. 52, no. 4, pp. 1618–1626, Aug. 2003. [14] D. R. Larson and N. G. Paulter, “The effects of offset voltage on the amplitude and bandwidth of kick-out pulses used in the nose-to-nose sampler impulse response characterization method,” IEEE Trans. Instrum. Meas., vol. 50, no. 4, pp. 872–876, Aug. 2001. [15] D. C. DeGroot, P. D. Hale, M. Vanden Bossche, F. Verbeyst, and J. Verspecht, “Analysis of interconnection networks and mismatch in the nose-to-nose calibration,” in Automat. RF Tech. Group Conf. Dig., Jun. 2000, vol. 55, pp. 116–121. [16] P. D. Hale, T. S. Clement, K. J. Coakley, C. M. Wang, D. C. DeGroot, and A. P. Verdoni, “Estimating the magnitude and phase response of a 50 GHz sampling oscilloscope using the ’nose-to-nose’ method,” in Automat. RF Tech. Group Conf. Dig., Jun. 2000, vol. 55, pp. 35–42. [17] N. G. Paulter and D. R. Larson, “Improving the uncertainty analysis of NIST’s pulse parameter measurement service,” in Automat. RF Tech. Group Dig., Nov. 2000, vol. 56, pp. 16–24. [18] N. G. Paulter, A. J. A. Smith, D. R. Larson, T. M. Souders, and A. G. Roddie, “NIST–NPL interlaboratory pulse measurement comparison,” IEEE Trans. Instrum. Meas., vol. 52, no. 6, pp. 1825–1833, Dec. 2003. [19] J. Verspecht and K. Rush, “Individual characterization of broadband sampling oscilloscopes with a nose-to-nose calibration procedure,” IEEE Trans. Instrum. Meas., vol. 43, no. 2, pp. 347–354, Apr. 1994. [20] J. Verspecht, “Calibration of a measurement system for high frequency nonlinear devices,” Ph.D. dissertation, Dept. ELEC., Free Univ. Brussels, Brussels, Belgium, 1995. [21] J. B. Scott, “Rapid millimetre-wave response characterization to well beyond 120 GHz using an improved nose-to-nose method,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 1511–1514. [22] K. A. Remley, “Nose-to-nose oscilloscope calibration phase error inherent in the sampling circuitry,” in Automat. RF Tech. Group Conf. Dig., Dec. 2002, vol. 60, pp. 85–97. [23] K. A. Remley, D. F. Williams, D. C. DeGroot, J. Verspecht, and J. Kerley, “Effects of nonlinear diode junction capacitance on the nose-tonose calibration,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 196–198, Jun. 2001. [24] K. A. Remley, “The impact of internal sampling circuitry on the phase error of the nose-to-nose oscilloscope calibration,” NIST, Boulder, CO, NIST Tech. Note 1528, Aug. 2003. [25] D. F. Williams and K. A. Remley, “Analytic sampling-circuit model,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1013–1019, Jun. 2001. [26] D. F. Williams, P. D. Hale, T. S. Clement, and J. M. Morgan, “Mismatch corrections for electro-optic sampling systems,” in Automat. RF Tech. Group Conf. Dig., Nov. 2000, vol. 56, pp. 141–145. [27] D. F. Williams, P. D. Hale, T. S. Clement, and J. M. Morgan, “Calibrating electro-optic sampling systems,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 3, pp. 1527–1530. [28] T. S. Clement, P. D. Hale, D. F. Williams, and J. M. Morgan, “Calibrating photoreceiver response to 110 GHz,” in 15th Annu. Meeting IEEE LEOS Conf. Dig., Nov. 2002, pp. 877–878. [29] D. F. Williams, P. D. Hale, T. S. Clement, and C. M. Wang, “Uncertainty of the NIST electrooptic sampling system,” NIST, Boulder, CO, NIST Tech. Note 1535, Dec. 2004.

[30] D. F. Williams, P. D. Hale, T. S. Clement, and J. M. Morgan, “Calibrated 200 GHz waveform measurement,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1384–1389, Apr. 2005. [31] T. S. Clement, P. D. Hale, D. F. Williams, C. M. Wang, A. Dienstfrey, and D. A. Keenan, “Calibration of sampling oscilloscopes with highspeed photodiodes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3173–3181, Aug. 2006. [32] D. F. Williams, A. Lewandowski, T. S. Clement, C. M. Wang, P. D. Hale, J. M. Morgan, D. A. Keenan, and A. Dienstfrey, “Covariancebased uncertainty analysis of the NIST electro-optic sampling system,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 481–491, Jan. 2006. [33] D. F. Williams, T. S. Clement, P. D. Hale, and A. Dienstfrey, “Terminology for high-speed sampling-oscilloscope calibration,” in Automat. RF Tech. Group Conf. Dig., Dec. 2006, vol. 68, pp. 9–14. [34] F. Verbeyst, “Contributions to large-signal network analysis,” Ph.D. dissertation, Vakgroep ELEC, Vrije Univ. Brussel, Brussels, Belgium, 2006. [35] C. M. Wang, P. D. Hale, and K. J. Coakley, “Least-squares estimation of time-base distortion of sampling oscilloscopes,” IEEE Trans. Instrum. Meas., vol. 48, no. 6, pp. 1324–1332, Dec. 1999. [36] C. M. Wang, P. D. Hale, K. J. Coakley, and T. S. Clement, “Uncertainty of oscilloscope timebase distortion estimate,” IEEE Trans. Instrum. Meas., vol. 51, no. 1, pp. 53–58, Feb. 2002. [37] K. J. Coakley, C. M. Wang, P. D. Hale, and T. S. Clement, “Adaptive characterization of jitter noise in sampled high-speed signals,” IEEE Trans. Instrum. Meas., vol. 52, no. 5, pp. 1537–1547, Oct. 2003. [38] A. Dienstfrey, P. D. Hale, D. A. Keenan, T. S. Clement, and D. F. Williams, “Minimum-phase calibration of sampling oscilloscopes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3197–3208, Aug. 2006. [39] K. A. Remley, D. F. Williams, and D. C. DeGroot, “Realistic sampling-circuit model for a nose-to-nose calibration,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, vol. 3, pp. 1473–1476. [40] S. Riad, “Modeling of the HP-1430A feedthrough wideband (28-ps) sampling head,” IEEE Trans. Instrum. Meas., vol. IM-31, no. 3, pp. 110–115, Jun. 1982.

Dylan F. Williams (M’80–SM’90–F’02) received the Ph.D. degree in electrical engineering from the University of California at Berkeley in 1986. In 1989, he joined the Electromagnetic Fields Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he develops metrology for the characterization of monolithic microwave integrated circuits and electronic interconnects. He has authored or coauthored over 80 technical papers. Dr. Williams is co-editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Department of Commerce Bronze and Silver Medals, two Electrical Engineering Laboratory’s Outstanding Paper Awards, two Automatic RF Techniques Group (ARFTG) Best Paper Awards, the ARFTG Automated Measurements Technology Award, and the IEEE Morris E. Leeds Award.

Tracy S. Clement (S’89–M’92–SM’05) received the Ph.D. degree in electrical engineering from Rice University, Houston, TX, in 1993. Her doctoral research involved the development and study of a variety of ultrashort pulse and very short wavelength lasers. Since 1998, she has been with the Optoelectronics Division, National Institute of Standards and Technology (NIST), Boulder, CO. Her current research interests include the development of measurement systems for high-speed electrooptic components, as well as ultrashort pulse laser measurements. Prior to joining the Optoelectronics Division, she was an Associate Fellow of the Joint Institute for Laboratory Astrophysics (JILA), the Quantum Physics Division, NIST, and was an Assistant Professor Adjoint with the Department of Physics, University of Colorado at Boulder. From 1993 to 1995, she was a Director’s Post-Doctoral Fellow with the Los Alamos National Laboratory, Los Alamos, NM.

WILLIAMS et al.: SYSTEMATIC ERROR OF NOSE-TO-NOSE SAMPLING-OSCILLOSCOPE CALIBRATION

Kate A. Remley (S’92–M’99–SM’06) was born in Ann Arbor, MI, in 1959. She received the Ph.D. degree in electrical and computer engineering from Oregon State University, Corvallis, in 1999. From 1983 to 1992, she was a Broadcast Engineer in Eugene, OR. From 1989 to 1991, she was Chief Engineer of an AM/FM broadcast station. In 1999, she joined the Radio-Frequency Technology Division (now the Electromagnetics Division), National Institute of Standards and Technology (NIST), Boulder, CO, as an Electronics Engineer. Her research activities include metrology for wireless systems, characterizing the link between nonlinear circuits and system performance, and developing methods for improved radio communications for the public safety community. Dr. Remley was the recipient of the Department of Commerce Bronze and Silver Medals and the Automatic RF Techniques Group (ARFTG) Best Paper Award.

Paul D. Hale (M’01–SM’01) received the Ph.D. degree in applied physics from the Colorado School of Mines, Golden, CO, in 1989. Since 1989, he has been with the Optoelectronics Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he conducts research on broadband opto-electronic device and signal metrology. He has been Leader of the High-Speed Measurements Project of the Sources and Detectors Group since 1996. He has authored or coauthored over 50 technical publications. His current technical research focuses on extending both time- and frequency-domain opto-electronic measurements to beyond 110 GHz, implementing a novel covariance-based uncertainty analysis that can be used for both time- and

1957

frequency-domain quantities, and disseminating NIST traceability through high-speed electronic and opto-electronic measurement services. Dr. Hale was an associate editor of optoelectronics/integrated optics for the IEEE JOURNAL OF LIGHTWAVE TECHNOLOGY from June 2001 to March 2007. He was the recipient of the Department of Commerce Bronze, Silver, and Gold Awards, two ARFTG Best Paper Awards, and the NIST Electrical Engineering Laboratory’s Outstanding Paper Award.

Frans Verbeyst (M’07) was born in Merchtem, Belgium, in 1963. He received the Electrotechnical-Mechanical Engineer degree from the Vrije Universiteit Brussel (VUB), Brussels, Belgium, in 1986, and the Werktuigkundig Elektrotechnisch Burgerliijk Ingenieur and Doctor in Engineering Sciences degrees from the Vrije Universiteit Brussel, Brussels, Belgium, in 1986 and 2007, respectively. After four years with Philips (1990–2003), he joined the Network Measurement and Description Group (NMDG), Agilent Technologies (Hewlett-Packard). Since he joined Hewlett-Packard/Agilent Technologies, he has been involved in large-signal RF and microwave measurements and behavioral modeling. He has also been involved with a streamlined implementation of the “nose-to-nose” calibration procedure as an essential part of the phase calibration of large-signal network analyzers and its transfer into other groups within Agilent Technologies and into the National Institute of Standards and Technology (NIST). Since 2003, he has been with NMDG Engineering BVBA, Bornem, Belgium. From July 2004 to June 2006, he worked part-time with the Vakgroep ELEC, Faculteit Ingenieurswetenschappen, Vrije Universiteit Brussel, Brussels, Belgium, during completion of his doctoral dissertation “Contributions to Large-Signal Network Analysis.” Dr. Verbeyst was a corecipient of the 2002 ARFTG Technology Award. He was the recipient of two ARFTG Best Paper Awards.

1958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion Hao Chi and Jianping Yao, Senior Member, IEEE

Abstract—An approach to generating chirped microwave pulse based on optical spectral shaping and nonlinear chromatic-dispersion-induced wavelength-to-time mapping using all-fiber components is proposed and demonstrated. In the proposed approach, the spectrum of a femtosecond pulse is shaped by a two-tap Sagnacloop filter that has a sinusoidal spectrum response. The spectrum shaped pulse is then sent to a dispersive element that has firstand second-order chromatic dispersions. Thanks to the nonlinear wavelength-to-time mapping of the dispersive element, a temporal pulse that has a central frequency in the microwave band with a large chirp is generated, which provides the potential for applications in high-speed communications and radar systems. Numerical and proof-of-concept experimental results are presented. Index Terms—Chirped pulse, chromatic dispersion, frequency modulation, pulse shaping, radar, Sagnac-loop filter, waveform generation.

I. INTRODUCTION

PTICAL technology with advantageous features such as wideband width and low loss has been extensively researched for applications in high-speed communications and radars [1]. In a modern radar system, to increase the range resolution, a pulse compression technique is largely used. To achieve pulse compression, radar pulses are usually chirped or phase encoded. Chirped RF pulses can be generated in the electrical domain by using a voltage-controlled oscillator (VCO) [2], or a surface acoustic wave (SAW) dispersive delay line [3]. Chirped RF pulses can also be generated using digital electronic circuits [4]. The major difficulty associated with the above techniques for chirped RF pulse generation is that the central frequency of the generated chirped pulse is limited to a few gigahertz. For

O

Manuscript received November 26, 2006; revised June 25, 2007. This work was supported by The Natural Sciences and Engineering Research Council of Canada. The work of H. Chi was supported in part by the National Natural Science Foundation of China under Grant 60407011 and by the Zhejiang Provincial Natural Science Foundation of China under Grant Y104073. H. Chi is with the Department of Information and Electronic Engineering, Zhejiang University, Hangzhou 310027, China, and also with the Microwave Photonics Research Laboratory, School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada K1N 6N5. J. Yao is with the Microwave Photonics Research Laboratory, School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904084

many applications, the central frequency should be in the tens or hundreds of gigahertz bands [5]. To generate electrical pulses with a high central frequency, optical techniques are usually used. Among the numerous approaches, spatial light modulator (SLM)-based pulse shaping has been widely adopted [6], [7], in which arbitrary RF pulses are generated by modulating the spatially dispersed spectrum of an input ultrashort optical pulse at the SLM. The key advantage of the SLM-based approaches is that the SLM can be updated in real time, which makes the generation of arbitrary electrical pulses possible. The major difficulty associated with an SLM-based pulse-shaping system is that the system requires fiber-to-space and space-to-fiber coupling, which makes the system bulky and complicated. To generate high-frequency chirped pulses without using free space optics, Zeitouny et al. [8] proposed a method using pure fiber-optic components. This approach is based on the interference of two optical pulses that are reflected from two chirped fiber Bragg gratings (CFBGs) with different chirp rates. Depending on the chirp rate difference between the two CFBGs, a chirped RF pulse with a different chirp rate was generated. The central frequency of the chirped pulse can also be adjusted by tuning the time delay between the two reflected chirped optical pulses. The major advantage of this approach is that the system is implemented using pure fiber-optic devices, which has the potential for integration using photonic integrate circuit technology. High-frequency phase-coded pulses can also be generated using pure fiber-optic components. We recently proposed an approach to generating phase-coded microwave pulses using a mode-locked fiber laser and a fiber-based unbalanced Mach–Zehnder interferometer (MZI) [9], in which the phase coding was realized using an optical phase modulator that was incorporated in one arm of the MZI. It is known that a temporal pulse can be generated based on wavelength-to-time mapping in a dispersive element. If the dispersive element has only the first-order dispersion, the temporal pulse would have an envelope that is a scaled version of the spectrum profile of the spectrum-shaped pulse [10]. Based on this concept, we have recently demonstrated a system to generate microwave and millimeter-wave signals for radio-overfiber (RoF) applications [11]. In the approach, a femtosecond pulse is spectrum shaped by a two-tap Sagnac-loop filter that has a sinusoidal spectral response. A temporal pulse is generated through wavelength-to-time mapping in a first-order-only dispersive element. A temporal pulse signal with a sinusoidal carrier that has a frequency in the millimeter-wave frequency

0018-9480/$25.00 © 2007 IEEE

CHI AND YAO: ALL-FIBER CHIRPED MICROWAVE PULSES GENERATION

1959

III. APPROXIMATE MODEL

Fig. 1. Chirped microwave pulse generation based on nonlinear wavelength-to-time mapping. (a) System configuration. (b) Two-tap Sagnac-loop  and 8 are, refilter. (c) Intensity transmission of the Sagnac-loop filter. 8 spectively, the first- and second-order dispersions in the dispersive element. (femtosecond pulse laser: FSPL; Sagnac-loop filter: SLF; optical coupler: OC; photodetector: PD; oscilloscope: OSC; polarization maintaining fiber: PMF; polarization controller: PC.

band is generated. Since the RF carrier of the pulse is pure sinusoidal, it is suitable for RoF communications applications. However, for the same system in [11], if the dispersive element also has a second-order dispersion, the carrier of the temporal pulse would be chirped. In this paper, we propose to generate chirped microwave pulses based on spectral shaping and wavelength-to-time conversion using a dispersive element with both first- and second-order dispersions for potential applications in high-speed communications and radar systems. The remainder of this paper is organized as follows. In Section II, an all-fiber pulse-shaping system to generate chirped microwave pulses is provided. In Section III, an approximate model to characterize the instantaneous frequency of the generated pulse is then presented. Numerical and experiment results are provided in Section IV. A conclusion is drawn in Section V. II. SYSTEM CONFIGURATION The proposed chirped microwave pulse generation system is shown in Fig. 1. It consists of a femtosecond pulsed laser (FSPL), a two-tap Sagnac-loop filter, a dispersive element that has both first- and second-order dispersions, and a high-speed photodetector (PD). A femtosecond pulse generated from the FSPL is first spectrum shaped by the two-tap Sagnac-loop filter. It is known that a two-tap Sagnac-loop filter has a frequency response that is sinusoidal. The spectrum shaped pulse is then sent to the dispersive element to perform wavelength-to-time mapping. Thanks to the second-order dispersion of the dispersive element, a chirped microwave pulse with a chirp rate dependent on the value of the second-order dispersion is generated. In the proposed approach, the central frequency of the chirped pulse is determined by the value of the first-order dispersion. Therefore, by designing a dispersive element with the desired values of first- and second-order dispersions, a chirped microwave pulse with the required central frequency and the chirp rate can be generated.

Wavelength-to-time mapping using a dispersive element has been used to generate optical pulses with arbitrary waveforms [6], [9]. In an arbitrary waveform generation system based on wavelength-to-time mapping, spectrum shaping should be implemented first. The spectrum shaping can be implemented in free space using an SLM-based configuration [6], [7]; it can also be realized using a fiber-based optical filter [9]. The linear wavelength-to-time mapping is realized by using a linear dispersion device. Assume that the intensity spectrum profile of a spec, after wavelength-to-time mapping trum-shaped pulse is in a linearly dispersive element, an electrical current is generated at the output of a PD, which has a shape that is a scaled . The linear wavelength-to-mapping can also be version of explained by the so-called time-domain Fraunhofer diffraction [12]. The linear mapping relation comes from the linear group-delay expression of a linear dispersion medium , where is the spectral phase of the transfer function of the linear dispersion is the mean group delay, and element, is the first-order dispersion. In our system, however, a dispersive element having second-order dispersion is employed to achieve nonlinear wavelength-to-time mapping for the generation of chirped microwave pulses. A strict analysis on the propagation of a spectrum-shaped femtosecond pulse in a dispersive element with higher order dispersions is extremely complicated [13]. Therefore, in this paper, a simplified treatment based on nonlinear wavelength-to-time mapping is employed in which the dispersive element having both first- and second-order dispersions is considered. In the configuration shown in Fig. 1(a), the optical spectrum shaping is realized using a Sagnac-loop filter. Since a single section of polarization maintaining fiber (PMF) is used, the Sagnacloop filter is a two-tap filter with an intensity transfer function , where is the time-delay difference given by between the two optical paths along the fast and slow axes determined by the birefringence and the length of the PMF [14]. For simplicity, we assume that the input ultrafast pulse is a . Therefore, the intensity spectrum of Dirac delta function the shaped pulse at the output of the Sagnac-loop filter is given by (1) On the other hand, the group delay of the pulse passing , if only the first- and through the dispersive element second-order dispersions are considered, can be expressed as (2) is the spectral phase of the transfer function of where , , , the dispersive element and denote the mean group delay, first-order dispersion, and second-order dispersion, respectively. Note that is the relative angular frequency with respect to the central frequency of the

1960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

pulse. According to (2), the nonlinear time-to-frequency mapping relation can be expressed as

(3) where the sign corresponds to the cases of positive and negative , respectively. For simplicity, we use to replace the in the following expressions. term of relative time delay After the signal propagates through the dispersion medium and is detected by the PD, the spectral waveform is mapped into a , and is given by time-domain waveform as

(4)

is a constant phase. where The obtained signal current is a continuous wave since the input ultrafast pulse is assumed to be a Dirac delta function. In fact, if the input pulse has a nonzero width, the width of the output waveform is time limited. Taking into account the nonzero width of the input pulse, the detected temporal waveform should be confined in an envelope, which can be written as (5) is the pulse envelope. Assuming that the input short where , where pulse is Gaussian shaped as is the half-width at maximum, the output pulse envelope can be analytically expressed using the Airy function. Re, readers may garding the detailed analysis of the property of refer to [15] and [16]. It is known that for a small , the output is approximately Gaussian with only a slight pulse envelope [17]. shift of the pulse peak, with a pulsewidth of The instantaneous RF carrier frequency of the waveform can be written as (6) It is shown that the waveform is nonlinearly chirped. The central frequency at can be calculated to be , which means that the central frequency of the generated chirped pulse is only dependent upon the first-order dispersion for a two-tap Sagnac-loop filter with a given time delay different . determines the chirp rate of the generated The ratio pulse, which can be seen more directly from the first-order approximation of (6) as follows: (7) Therefore, a microwave pulse with the required central frequency and the chirp rate can be generated using the proposed

 = 440 ps , 8 = 14 ps , ! =2 = 29 GHz. Fig. 2. Numerical results, 8 (a) Envelope of the generated pulse. (b) Spectrum (inset: zoom-in display). (c) Instantaneous frequency versus time (solid line: predicted by (6), circle: obtained from numerical result). (d) Compressed pulse obtained by autocorrelation (inset: zoom-in display). system by selecting a dispersive element with suitable first- and second-order dispersions. It should be noted that a linear frequency modulation is not always necessary in a pulse-compression radar. The frequency modulation can be of almost any form, provided that the pulse compression filter is designed to match the transmitted waveform.

IV. RESULTS AND DISCUSSIONS Numerical simulations and a proof-of-concept experiment are implemented to verify the proposed approach. In the numerical simulations, we use a transform-limited Gaussian pulse with a full width at half maximum (FWHM) of 350 fs. The central wavelength of the optical pulse is 1558 nm. The free spectral range (FSR) of the Sagnac-loop filter is 0.1 nm, which corresponds to a time-delay difference of 80.9 ps. The first-order dispersion is chosen to be 440 ps . Therefore, the central frequency of the generated waveform is calculated to be approximately 29 GHz. In the first numerical simulation, the second-order dispersion is set to be 14 ps . The simulation results are shown in Fig. 2. The envelope of the generated chirped pulse is shown in Fig. 2(a), which is close to a Gaussian pulse with a slight asymmetry. The electrical spectrum of the pulse is shown in Fig. 2(b), in which the sideband is broadened thanks to the frequency chirping induced by the second-order dispersion. The instantaneous frequency versus time of the chirped pulse obtained from the numerical result by a Hilbert transform [18] is shown in Fig. 2(c), which matches well with the prediction given by (6). According to the temporal waveform and its spectrum, the time-bandwidth product of the generated chirped pulse is estimated to be around 26.0. Fig. 2(d) shows the compressed pulse,

CHI AND YAO: ALL-FIBER CHIRPED MICROWAVE PULSES GENERATION

1961

 = 440 ps , ! =2 = 29 GHz. (a) Solid: waveFig. 4. Numerical results, 8 form envelope for 8 = 42 ps , dotted: waveform envelope for 8 = 28 ps . (b) Autocorrelation waveforms, solid: 8 = 28 ps , dashed: 8 = 42 ps , dotted: 8 = 56 ps .

 = 440 ps , 8 = 28 ps , ! =2 = 29 GHz. Fig. 3. Numerical results, 8 (a) Envelope of the generated pulse. (b) Spectrum (inset: zoom-in display). (c) Instantaneous frequency versus time (solid line: prediction by (6), circle: obtained from numerical result). (d) Compressed pulse obtained by autocorrelation (inset: zoom-in display). which is obtained by calculating the autocorrelation of the generated chirped pulse (equivalent to matched filtering). By comparing the FWHM widths of the waveforms in Fig. 2(a) and (d), the compression ratio is estimated to be around 23.9. In the second numerical simulation, we change to be 28 ps . Simulation results are shown in Fig. 3. The envelope of the generated chirped pulse is shown in Fig. 3(a). It is seen that the pulse envelope is more asymmetrical compared to that shown in Fig. 2(a) due to a larger second-order dispersion in this case. The larger also leads to a greater spectrum broadening, as shown in Fig. 3(b). The time-bandwidth product and the compression ratio in this case are estimated to be around 43.3 and 47.0, respectively. Again, the instantaneous frequency versus time, as shown in Fig. 3(c), is identical to the prediction given by (6). Therefore, the effectiveness of the given theoretical model for characterizing the generated chirped microwave pulses is verified. To generate pulses with a larger time-bandwidth product (i.e., larger chirping rate), a large second-order dispersion is required. However, a higher would lead to unexpected ripples in the generated waveforms. As shown in Fig. 4(a), strong ripples are observed at the left side of the generated waveform when a larger is used, where is set to be 42 ps while keeping as 440 ps . The zoom-in display of the oscillating part is shown in the inset of Fig. 4(a). For comparison, the pulse envelope for ps is also shown in Fig. 4(a) (the dotted curve). These unwanted ripples would degrade the pulse compression performance. We find, however, that the major spectral components of these ripples are higher than 100 GHz, which can be removed by using a low-pass filter. Fig. 4(b) shows the autocorrelation results, where are 28, 42, and 56 ps , respectively. Note that we have filtered out the oscillating part in the generated waveforms before performing the autocorrelation. It is shown that a higher leads to better suppression of the autocorrelation side-

Fig. 5. (a) Filtered optical spectrum of the femtosecond laser pulse by the Sagnac-loop filter. (b) Zoom-in display of (a).

lobes, which is important to improve the range resolution of a ps , the first sidelobe of the radar system. In the case of autocorrelation waveform is suppressed to below the half maximum of the main peak, shown as the dotted curve in Fig. 4(b). In this case, the pulse compression ratio is as high as 410, obtained by comparing the FWHM of the generated chirped pulse and the FWHM of the autocorrelation waveform. An experiment based on the setup shown in Fig. 1 is then performed to verify the proposed chirped pulse generation method. Due to the lack of a dispersive element with a high second-order dispersion, in the experiment we use a length of 20-km standard single-mode fiber (SSMF) as a dispersion element. The first- and second-order dispersions and of the SSMF are approximately 446 ps and 0.8 ps , respectively. A femtosecond pulse laser with an FWHM of 350 fs and a central wavelength of 1558 nm is used as the ultrashort pulse source. The time-delay difference of the Sagnac-loop filter is set to be around 67.5 ps (which is equivalent to an FSR of 0.12 nm in the 1558-nm band). The filtered optical spectrum of the femtosecond laser pulse by the Sagnac-loop filter is shown in Fig. 5(a) with a zoom-in display shown in Fig. 5(b). The central frequency of the generated microwave pulse is estimated to be around 24 GHz based on (6). The experimental results are shown in Fig. 6. As can be seen from Fig. 6(a), the FWHM of the generated pulse is around 4.4 ns, which is measured by using a sampling oscilloscope. The spectrum of the pulse is given in Fig. 6(b). The FWHM of the spectrum is around 0.7 GHz. Therefore, the time-bandwidth product is estimated to be around 3.1. Fig. 6(c) shows the instantaneous frequency versus time, where the circles are the experimental results and the solid curve is the theoretical prediction according to (6). A good agreement between

1962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

time mapping technique, the higher order dispersions in the dispersive element should be eliminated or kept as small as possible. V. CONCLUSION

Fig. 6. Experimental results. (a) Generated pulse. (b) Spectrum (inset: zoom-in display). (c) Instantaneous frequency versus time (solid line: prediction by (6), circle: obtained from experimental result. (d) Compressed pulse obtained by autocorrelation.

the experimental results and the theoretical prediction is observed. Fig. 6(d) shows the autocorrelation result of the generated chirped pulse, the FWHM of which is around 1.7 ns. Therefore, a pulse compression ratio of 2.6 is achieved. Despite that the time-bandwidth product of the generated pulse and the achieved compression ratio are low due to the limited second-order dispersion in the SSMF, the second-order dispersion-induced pulse chirping is observed and verified by the experimental results, which also agree well with the theoretical predictions. For practical applications where a high pulse compression ratio is required, a dispersive element with a high second-order dispersion is necessary. A possible solution is to use a fiber Bragg grating (FBG) with both first- and second-order dispersions (nonlinearly CFBG) [19]. The central frequency and chirp rate of the generated chirped pulse are determined, respectively, by the first- and second-order dispersions. Another solution is to use a specially designed photonic crystal fiber (PCF) that has a larger second-order dispersion. Up to now, several types of PCFs with different dispersion properties have been successfully designed for different applications [20], [21]. It is known that the large tailorability in the design of the PCFs, by controlling the air-hole sizes, shapes, and arrangements, provides a fruitful means to tune the dispersion curve to obtain the expected dispersion properties. We have recently demonstrated the generation of 18- and 36-GHz pulses using a similar setup in Fig. 1 [11]. The key difference between this approach and the approach in [11] is that the approach here can generate a chirped microwave pulse based on nonlinear wavelength-to-time mapping, while the approach in [11] can only generate a pure sinusoidal microwave pulse (without chirping), which was based on linear wavelength-totime mapping. From the results given here, it is clear that to generate nonchirped microwave pulses based on the wavelength-to-

We have proposed a method for the generation of chirped microwave pulses based on optical spectral shaping and nonlinear wavelength-to-time mapping. In the proposed system, the spectrum shaping was implemented using a two-tap Sagnac-loop filter with a sinusoidal spectrum profile. The chirped microwave pulse was then generated using a dispersive element with both first- and second-order dispersions. The first-order dispersion determines the central frequency and the second-order dispersion determines the chirp rate. The key advantage of this approach is that the system can be implemented using all-fiber components, which has the potential for integration. The effectiveness of the approach was verified via numerical simulations and a proof-of-concept experiment. Chirped pulses with a central frequency of 24 GHz were experimentally generated and a pulse compression of approximately 2.6 was demonstrated. To achieve a high compression ratio for practical applications, a dispersive element with a much higher second-order dispersion is required, which could be realized by using a nonlinearly CFBG or a specially designed PCF with a high second-order dispersion. The numerical results showed that a compression ratio as high as 410 could be achieved if a dispersive element with a first-order dispersion of 440 ps and a second-order dispersion of 56 ps is available. The approach offers a potential solution for chirped pulse generation with high central frequency and large time-bandwidth product for applications in pulse-compression radar systems. REFERENCES [1] H. Zmuda and E. N. Toughlian, Photonic Aspects of Modern Radar. Boston, MA: Artech House, 1994. [2] H. Kwon and B. Kang, “Linear frequency modulation of voltage-controlled oscillator using delay-line feedback,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 6, pp. 431–433, Jun. 2005. [3] A. M. Kawalec, “SAW dispersive delay lines in radar signal processing,” in IEEE Int. Radar Conf., May 1995, pp. 732–736. [4] H. D. Griffiths and W. J. Bradford, “Digital generation of high timebandwidth product linear FM waveforms for radar altimeters,” Proc. Inst. Elect. Eng.—Radar and Signal Processing, vol. 139, no. 2, pt. F, pp. 160–169, Apr. 1992. [5] M. I. Skolnik, Introduction to Radar. New York: McGraw-Hill, 1962. [6] J. Chou, Y. Han, and B. Jalali, “Adaptive RF-photonic arbitrary waveform generator,” IEEE Photon. Technol. Lett., vol. 15, no. 4, pp. 581–583, Apr. 2003. [7] J. D. McKinney, D. E. Leaird, and A. M. Weiner, “Millimeter-wave arbitrary waveform generation with a direct space-to-time pulse shaper,” Opt. Lett., vol. 27, no. 15, pp. 1345–1347, Aug. 2002. [8] A. Zeitouny, S. Stepanov, O. Levinson, and M. Horowitz, “Optical generation of linearly chirped microwave pulses using fiber Bragg gratings,” IEEE Photon. Technol. Lett., vol. 17, no. 3, pp. 660–662, Mar. 2005. [9] H. Chi and J. P. Yao, “An approach to photonic generation of highfrequency phase-coded RF pulses,” IEEE Photon. Technol. Lett., vol. 19, no. 5, pp. 768–770, May 2007. [10] B. Jalali, J. Chou, and Y. Han, “Optically sculpt UWB waveforms,” Microw. RF Mag., vol. 43, no. 8, pp. 54–62, Aug. 2004. [11] H. Chi, F. Zeng, and J. P. Yao, “Photonic generation of microwave signals based on pulse shaping,” IEEE Photon. Technol. Lett., vol. 19, no. 5, pp. 668–670, May 2007. [12] M. A. Muriel, J. Azana, and A. Carballar, “Real-time Fourier transformer based fiber grating,” Opt. Lett., vol. 24, no. 1, pp. 1–3, Jan. 1999.

CHI AND YAO: ALL-FIBER CHIRPED MICROWAVE PULSES GENERATION

[13] W. Zhao and E. Bourkoff, “Femtosecond pulse propagation in optical fibers: Higher order effects,” IEEE J. Quantum Electron., vol. 24, no. 2, pp. 365–372, Feb. 1988. [14] X. Fang and R. O. Claus, “Polarization-independent all-fiber wavelength-division multiplexer based on a Sagnac interferometer,” Opt. Lett., vol. 20, no. 20, pp. 2146–2148, Oct. 1995. [15] M. Miyagi and S. Nishida, “Pulse spreading in a single-mode fiber due to third-order dispersion,” Appl. Opt., vol. 18, no. 5, pp. 678–682, Mar. 1979. [16] M. Amemiya, “Pulse broadening due to higher order dispersion and its transmission limit,” J. Lightw. Technol., vol. 20, no. 4, pp. 591–597, Apr. 2002. [17] G. P. Agrawal, Nonlinear Fiber Optic. San Diego, CA: Academic, 1989. [18] B. Boashash, “Estimating and interpreting the instantaneous frequency of a signal—Part 1: Fundamentals,” Proc. IEEE, vol. 80, no. 4, pp. 520–538, Apr. 1992. [19] T. Komukai and M. Nakazawa, “Fabrication of non-linearly chirped fiber Bragg gratings for higher-order dispersion compensation,” Opt. Commun., vol. 154, no. 1, pp. 5–8, Aug. 1998. [20] D. Mogilevtsev, T. A. Birks, and P. S. J. Russell, “Group-velocity dispersion in photonic crystal fibers,” Opt. Lett., vol. 23, no. 21, pp. 1662–1664, Nov. 1998. [21] J. C. Knight, J. Arriaga, T. A. Birks, A. Ortigosa-Blanch, W. J. Wadsworth, and P. S. J. Russell, “Anomalous dispersion in photonic crystal fiber,” IEEE Photon. Technol. Lett., vol. 12, no. 7, pp. 807–809, Jul. 2000. Hao Chi received the Ph.D. degree in electronic engineering from Zhejiang University, Hangzhou, China, in 2001. In 2003, he joined the Department of Information and Electronic Engineering, Zhejiang University. Prior to that, he spent a half year with the Hong Kong Polytechnic University, as a Research Assistant, and two years with Shanghai Jiaotong University, as a Post-Doctoral Fellow. Since July 2006, he has also been with the Microwave Photonics Research Laboratory, University of Ottawa, Ottawa, Ontario, Canada. His research interests include optical communications and networking, microwave photonics, fiber-optic sensors, and optical signal processing.

1963

Jianping Yao (M’99–SM’01) received the Ph.D. degree in electrical engineering from the Université de Toulon, Toulon, France, in 1997. From 1999 to 2001, he held a faculty position with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. In 2001, he joined the School of Information Technology and Engineering, University of Ottawa, Ottawa, Ontario, Canada, where he is currently a Professor and Director of the Microwave Photonics Research Laboratory. He is a Guest Professor with Shantou University, Shantou, China, and Sichuan University, Sichuan, China. In 2005, he was an Invited Professor with the Institut National Polytechnique de Grenoble, Grenoble, France. He has authored or coauthored over 160 papers in refereed journal and conference proceedings. His research has focused on microwave photonics, which includes all-optical microwave signal processing, photonic generation of microwave, millimeter-wave, and terahertz, RoF, UWB-over-fiber, FBGs for microwave photonics applications, and optically controlled phased-array antennas. His research interests also include fiber lasers, fiber-optic sensors, and bio-photonics. Dr. Yao is a member of The International Society for Optical Engineers (SPIE) and the Optical Society of America (OSA). He is a Senior Member of the IEEE Lasers and Electro-Optics Society (IEEE LEOS) and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

1964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator Hitoshi Kiuchi, Tetsuya Kawanishi, Senior Member, IEEE, Masumi Yamada, Takahide Sakamoto, Member, IEEE, Masahiro Tsuchiya, Member, IEEE, Jun Amagai, and Masayuki Izutsu, Fellow, IEEE

Abstract—Research into optical modulators has made remarkable progress in recent years. This paper discusses the possibility of applying the high extinction ratio optical modulator to a high-stability and high-frequency (over 100 GHz) optical reference signal generator. High-frequency reference signals are generated by a highly stable optical two-tone generator, which is used for high-rate communication and astronomical application. One method to generate two optical signals is producing them from a pair of laser sources using an optical phase-locked loop for feed back control; however, the optical phase-locked loop has a stability problem in its operation. A good alternative method to the optical phase-locked scheme is the LiNbO3 Mach–Zehnder (MZ) optical intensity modulator, which is capable of generating two highly stable optical signals (upper sideband and lower sideband components) by applying a sinusoidal microwave signal to an input laser signal. The two optical signals require phase stability better than 10 13 in the Allan standard deviation, vibration robustness, and polarization maintaining capability. The signal coherence loss estimated from the phase stability of the two optical signals generated by the MZ modulator shows that the optical MZ modulator has the ability to generate highly stable optical signals. Index Terms—Allan variance, high-frequency signal generator, lithium niobate Mach–Zehnder (MZ) modulator, phase stability.

I. INTRODUCTION

H

IGH-FREQUENCY signals have been used in recent high-rate communication [1]–[5], National frequency standard distribution, and astronomical application. Atacama Large Millimeter/sub-millimeter Array (ALMA) is a high-frequency radio interferometer array currently under development, and each of the ALMA antennas has a ten-band receiver, and its highest receiving frequency reaches 950 GHz. To receive such high frequencies, higher reference frequency is required for the first local (as much as over 100 GHz), and stability to maintain the signal coherence is also required. To address these issues, we have developed a new method to generate and transmit a reference signal in the form of frequency difference between two coherent light waves. The reference frequency is calculated using heterodyne conversion. Current optical two-tone generators are based on mode-lock or an optical phase-locked schemes [6].

Fig. 1. Simplified structure of an optical modulator with two arms and electrodes. Optical phase of each arm is controlled by applying dc bias to the electrodes. Amplitude imbalance due to fabrication error is compensated with sub-MZ trimmers. When two lightwaves are in phase, the output optical signals are strengthened each other. On the other hand, when the phases of the input lightwaves are shifted, the phase-shifted lightwaves are radiated away as higher order waves, and do not reach the optical waveguide. This is the main feature of the MZ modulator.

In the high-extinction ratio lithium–niobate Mach–Zehnder (MZ) intensity modulator [7]–[23], the optical frequency difference between two optical signals is exactly twice (or four times) the modulation frequency, and the output signal is equivalent to frequency shift-keying (FSK) spectrum. Compared to the optical phase-locked scheme, the MZ modulator (shown in Fig. 1) has significant advantages in terms of robustness to mechanical vibration and acoustic noise, stability (free from the influence of the input laser linewidth), and capability of maintaining the polarization state of the input laser. The MZ modulator is so reliable that it has been used for optical submarine cables. The estimated lifetime of the MZ modulator extends to several decades. The coherence loss due to phase noise and the estimated performance budget are also covered in this paper. II. TWO OPERATION MODES OF THE MZ MODULATOR The output spectrum depends on the dc-bias voltage applied to the electrodes in the MZ structure. The MZ modulator has the following two operation modes. A. Null-Bias Point Operation Mode

Manuscript received December 28, 2006; revised May 12, 2007. H. Kiuchi and M. Yamada are with the National Astronomical Observatory of Japan, Tokyo 181-8588, Japan (e-mail: [email protected]). T. Kawanishi, T. Sakamoto, M. Tsuchiya, J. Amagai, and M. Izutsu are with the National Institute of Information and Communications Technology, Tokyo 184-8795, Japan. Digital Object Identifier 10.1109/TMTT.2007.904070

When the bias of the MZ modulator is set to a minimum transmission point (null-bias point), the first-order upper sideband (USB) and lower sideband (LSB) components are strengthened, and the carrier is suppressed (Fig. 2). The frequency difference between the two spectral components is twice the modulation

0018-9480/$25.00 © 2007 IEEE

KIUCHI et al.: HIGH EXTINCTION RATIO MZ MODULATOR APPLIED TO HIGHLY STABLE OPTICAL SIGNAL GENERATOR

1965

Fig. 3. When the bias of the MZ modulator is at full-bias point, the odd-order sideband components are suppressed. In this case, the optical frequency of evenorder (zeroth- and second-order) components remains (left chart). Eliminating the zeroth-order component (carrier: input lightwave), the remaining is a twotone optical spectrum whose frequency is four times the modulation frequency (right chart). Fig. 2. When the bias of the MZ modulator is set to a minimum transmission point (null-bias point), the first-order USB and LSB components are strengthened, and the carrier is suppressed. The frequency difference between the two spectral components is exactly twice the modulation signal frequency. Each sideband signal spectrum shows a copy of the input laser spectrum. High carrier suppression ratio of 50 dB was demonstrated by the null-bias point operation mode using the integrated MZ modulator with an intensity trimmer in each arm (sub-MZ interferometer).

as as follows in (3) [30]:

, and the optical output is expressed

(1) sinusoidal signal frequency. As the spectral components generated by the optical modulation are phase-locked, it is possible to construct a robust system without using any complicated feedback control technique. However, as the modulation frequency is limited by the frequency response of the modulator, the frequency upper limit of the two optical signals cannot be higher than 100 GHz in the null-bias point operation mode. For this reason, the null-bias point operation mode is suitable for the low-frequency application.

(2)

B. Full-Bias Point Operation Mode When the bias is set to a maximum transmission point (fullbias point), the second-order USB and LSB are strengthened, and the carrier is not suppressed. If the extinction ratio of the MZ modulator is high, undesired odd-order USB and LSB components can be successfully suppressed with this technique. When the odd-order sideband components are suppressed in this mode, the optical frequency of even-order (zeroth- and second-order) components is remained (Fig. 3, left side). Eliminating the zeroth-order component (carrier), the remaining is a two-tone optical spectrum whose frequency is four times the modulation frequency or ( is the modulation frequency of the RF signal applied to the modulator). The frequency difference between the zeroth- and second-order components is . When GHz, the frequency difference is large enough that the zeroth-order component can be eliminated with a conventional optical filter (Fig. 3, right side). The optical signal filtered by the optical filter is amplified by an optical amplifier. At this point, the first-order components are suppressed by the MZ modulator with high extinction ratio to prevent undesired spurious signals. C. Harmonic Generation Process The input lightwave is assumed to be monochromatic, and can be described by , where is the optical transmittance in the waveguide. Lightwaves with an RF signal can be obtained by modulation of sinusoidal RF signals into USB and LSB components using an optical intensity modulator. Assuming the RF signal is a sinusoidal signal, it is expressed

(3) The output optical intensity , which is detected by a highspeed photo-mixer, is expressed by (4) as follows:

(4) where the high-order components are neglected assuming , and the high-order components are neglected. By using Taylor’s expansion of the Bessel function, (5) is obtained as follows:

(5)

1966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

where loss of coherence; angular frequency of local oscillator; Allan variance standard deviation) of white phase noise at 1 s; Allan variance standard deviation of white frequency noise at 1 s; constant Allan variance standard deviation of flicker frequency noise; integration time (in seconds).

Fig. 4. Phase noises of a highly stable signal.

The intensities of the fundamental component and the second-order harmonic can be controlled by the dc-bias . The fundamental and second-order and , respeccomponents are proportional to tively. The ratio between the average power and RF signal component largely depends on the conversion efficiency from lightwaves to RF signals at the photo-mixer. The ratios for the fundamental and second-order components are expressed in (6) and (7) as follows: (6) (7) , the even-order components in the In the case of output signal , including the carrier components , are suppressed and the average power is reduced to a minimum of , where the dominant components are first-order USBs and LSBs. In the case of , the odd-order components are suppressed, and the dominant components are zerothand second-order USBs and LSBs.

Coherence loss and time error are calculated by the Allan standard deviation. One of the stability measurement methods in time domain is the dual-mixer time difference (DMTD) method [25], which is adopted by the National Institute of Standards and Technology (NIST), Boulder, CO, National Institute of Communications and Technology (NICT), Tokyo, Japan, and other time/frequency standard institutes. Using this method, the phase stability of a device-under-test can be obtained without influence of unstable local frequencies of the measurement system. The DMTD method allows time measurements and frequency and frequency stability measurements for sample times as short as a few milliseconds or longer without dead time. Moreover, the phase noise in the measurement system can be canceled out with this method. The total system instability is calculated by a root sum square (RSS) of the Allan standard deviation of each component. Time error of phase noise is calculated as follows: Time error s s

III. PHASE NOISE The behavior of phase noise can be analyzed by the Allan standard deviation [24], [25]. The frequency instability is the frequency change induced by internal or external factors within a given time interval. In other words, the frequency instability is defined as the degree to which the output frequency remains constant over a specified period of time. Characteristics of phase noises are shown in Fig. 4. Noises are classified into the following five types according to the noise generation mechanism: white phase modulation noise , flicker phase modulation noise , white frequency , flicker frequency modulation noise modulation noise , and random walk frequency modulation noise [26]. Some of these noises are generated by electronic equipment or by changes in the environment (such as temperature change). A. Estimation of Coherence Loss and Time Error by the Allan Standard Deviation The coherence loss due to the instability in the frequency standard for -s integration times is estimated by (8) as follows [27]–[29]: (8)

s

in white phase modulation noise in white frequency modulation noise s

(9) (10)

in flicker frequency modulation noise). (11)

IV. STABILITY MEASUREMENT In the case of the interferometer, we use the hydrogen maser, which has the best short-term stability among existing atomic clocks as the reference signal source if necessary. There is also a method to measure the phase noise of components without using the hydrogen maser. We can estimate the total phase noise of the interferometer system, using the covariance that is obtained by: 1) measuring the phase noise of a single unit independent from the reference signal and the reference signal phase noise that is separately measured and 2) taking the RSS of these phase noises. We should use the time-domain Allan standard deviation measurement with the DMTD method instead of the frequencydomain SSB phase noise measurement method, which measures the phase noises of all signals as a whole. The Allan standard deviation in the time domain is used to calculate the coherence loss and time error.

KIUCHI et al.: HIGH EXTINCTION RATIO MZ MODULATOR APPLIED TO HIGHLY STABLE OPTICAL SIGNAL GENERATOR

Fig. 5. Block diagram of a time-domain stability measurement system for the null-bias point operation mode (the first-order optical signal). This phase-noise measurement system is free from the influence of reference signal phase noise and frequency conversion signal phase noise.

A. Time-Domain Phase Measurement Method for the Null-Bias Point Operation Mode Fig. 5 shows a time-domain stability measurement system to measure the differential phase between the second harmonic of the reference synthesizer and the first-order modulated signal (null-bias point operation mode). This figure shows the experimental setup of the DMTD system (mixers, filters, and a time interval analyzer: TSC-5110A) for phase-noise measurement using a 22-GHz signal. The origin of the source signal is a 11-GHz synthesizer. The 11-GHz signal is used as a modulation signal, and the 22-GHz signal (spurious signal of 11 GHz, Fig. 5) is used as a reference signal (on the lower arm). These signals are coherent since the 22-GHz signal is a harmonic of the 11-GHz signal. Two coherent optical signals with 22-GHz difference are generated by optical modulation of the optical source signal using the MZ modulator. These two signals are subsequently converted to a 22-GHz microwave signal (on the upper arm) by the photo-mixer. The frequencies of the two 22-GHz signals (on both arms) are converted to 20 MHz with a common 21.98-GHz signal. After these processes, the phase difference between the two 20-MHz signals is measured by the DMTD system. In this experimental setup, the 21.98-GHz synthesizer, the hybrid, and mixers compose a type of DMTD system. During these operations, the 20-MHz signals are free from the instability of the 11- and 21.98-GHz synthesizers. B. Time-Domain Phase Measurement Method for the Full-Bias Point Operation Mode Fig. 6 shows a time-domain stability measurement system to measure the differential phase between the multiplied ( 4) reference signals and the second-order modulated signal (full-bias point operation mode). In the case of 100-GHz measurement, the source signal is generated from the 25-GHz sinusoidal synthesizer, and the generated 25-GHz signal is used as a modulation signal and a multiplied reference signal. The microwave multiplier generates 100 GHz. Two coherent optical signals with 100-GHz difference are generated by optical modulation of the optical source signal using the MZ modulator. These two signals are subsequently converted to a 100-GHz microwave signal by the photo-mixer. The frequencies of the two 100-GHz signals are converted to 10 MHz by harmonic mixers (multiplied number is 10) with a common 9.999-GHz synthesizer signal. After these processes, the differential phase between the two

1967

Fig. 6. Block diagram of a time-domain stability measurement system using the multiplier signal for the full-bias operation mode (the second-order optical modulation signal). This phase-noise measurement system is free from the influence of reference signal phase noise and frequency conversion signal phase noise. This method is also regarded as a DMTD method. The measured phase stability is the covariance of the MZ modulator and multiplier phase noises.

10-MHz signals is measured by the DMTD system. In this experimental setup, the 9.999-GHz synthesizer, the hybrid, and harmonic mixers in the figure compose a type of common noise system. During these operations, the 10-MHz signals are free from the instability of the 25- and 9.999-GHz synthesizers. The measured phase noise is the covariance of the two systems (MZ modulator and multiplier). We used an NTT photo-mixer, an unitraveling-carrier photodiode (UTC-PD) [31], [32]. Responsibility of the photodiode is approximately 0.4 A/W. The typical output power (100 GHz) is approximately 0.5 mW. C. Measured Stability To make the DMTD method available, it is required that the phase stability of the multiplier be better than that of the MZ modulator, or the stability of the two systems be almost equivalent. The results of the SSB phase-noise measurement method include not only the phase noises of the lithium–niobate (LN) modulator (or multiplier), but also those of the reference signal generator (synthesizer). Therefore, the measured SSB phase noise heavily depends on the reference signal phase noise. On the other hand, the DMTD method measures differential phase noise between the measurement signal and the reference signal. In our system, the measurement signal and the reference signal are generated from the same source, which means we can offset the phase noise of the signal source, or the common noise, when obtaining the covariance between the modulator and multiplier. If the phase noises of the modulator and multiplier are almost equivalent or that of the modulator is better, we can use the obtained Allan standard deviation as the phase noise after dividing it with the square root of 2. If the multiplier has much better phase noise, the obtained covariance should be considered as the phase noise of the modulator. We made a comparison between SSB phase noises of the multiplier and the MZ modulator signals using the SSB phase-noise measurement system, as shown in Fig. 6. Since the current system does not have two identical LN modulators, we cannot perform the phase-noise measurement between two identical LN modulators with the DMTD method. Consequently, it is meaningless to use the DMTD method if the phase noise of the multiplier to be compared is extremely bad. The obtained results show at least the modulator has phase noise that is equivalent to or better than that of the multiplier in

1968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 8. Measured phase stabilities of the MZ modulator, first-order 22-GHz signal, and second-order 100-GHz signal.

Fig. 7. Measured SSB phase noise at 100 GHz (upper). The lower figures show expanded SSB phase noise (multiplier: left, LN modulator: right). Common beats are generated by the measurement system. The obtained results show at least the modulator has phase noise that is equivalent to or better than that of the multiplier in 1-kHz and higher frequency. In the lower frequency region (lower than 1 kHz), it is masked by the synthesizer phase noise.

1 kHz and higher frequency. The lower frequency phase noise is masked by the synthesizer phase noise. The measurement results of SSB phase noise shown in Fig. 7 is no more than a criterion for judgment of effectiveness of the measured Allan standard deviation with the DMTD method. Phase stability of the MZ modulator measured using the Allan standard deviation is shown in Fig. 8. The stability is independent of the input laser linewidth, the input lasers are a DFB laser (10-MHz linewidth) and a fiber laser (1-kHz linewidth). V. DIFFERENTIAL POLARIZATION ANGLE BETWEEN TWO LIGHTWAVES The theme of this paper covers optical signal generation, but the ultimate goal of the photonic system is generation of highly stable optical signal and its transmission with fiber system. The delay compensation must be performed on the delay caused during the optical signal transmission through an optical fiber cable in order to keep the signals coherent. In the photonic local (LO) system, two optical signals are transmitted and converted by a photo-mixer at a remote antenna into a microwave signal. During the signal transmission through the fiber cable, the cable length delay is caused, including polarization mode dispersion (PMD), a bottleneck in performing successful phase compensation (delay change compensation). PMD is the state of polarizations (SOP) dispersing randomly in the cable. PMD is caused when the SOP of the two optical signals is absolutely changed by the movement of the cable through which the signals are

Fig. 9. Block diagram of the polarization measurement. One of the two optical signals is selected by the ITU grid switch for polarization and transmitted to the polarization meter.

transmitted. The magnitude of PMD is inversely proportional to the degree of the polarization alignment of the two optical signals. Since the generation of PMD contributes to the emergence of the differential group delay (DGD) (synonymous with LO phase jitter), the SOP of the two signals needs to be coincident so as to reduce the second-order PMD effect on DGD. We measured the differential polarization angle between two lightwaves generated by the MZ modulator. The measurement block diagram is shown in Fig. 9. In this measurement, the two lightwaves are transmitted to the ITU-grid programmable optical filter (Peleton QTM050C), which selects one of the two lightwaves for polarization. The polarization is measured by the polarization meter (Polarimeter). The differential angle is calculated by (12) as follows (spherical trigonometry): (12) The measured polarization angles in degrees are ( in azimuth, in elevation) and ( , ). The calculated differential polarization angle: is 0.90 . VI. ASTRONOMICAL APPLICATION A. Requirements When the master laser wavelength is 1556.2 nm, the wavelength of the lightwaves for the ALMA first local reference shall be in the range of 1556–1558 nm. This is because the responsiveness of the high-frequency photomixer deteriorates significantly at wavelength below 1500 nm. However, the wavelength

KIUCHI et al.: HIGH EXTINCTION RATIO MZ MODULATOR APPLIED TO HIGHLY STABLE OPTICAL SIGNAL GENERATOR

TABLE I REQUIRED FREQUENCY RANGE

TABLE II ESTIMATED COHERENCE LOSS. LOWER FREQUENCY ( 50 GHz) SIGNALS ARE GENERATED BY NULL-BIAS POINT OPERATION MODE, OTHERS ARE GENERATED BY FULL-BIAS POINT OPERATION MODE. IN THIS TABLE, VALUES WITH PARENTHESES ARE NOT USED



of the fixed and tunable laser of the optical two-tone generator is not necessarily restricted to the 1556–1558-nm range as long as the beatnote frequency is within the appropriate range since the photomixer is responsive in the entire range of 1500–1600 nm. The modulation signal is transmitted via one optical fiber in the form of frequency difference between two coherent optical signals. These two optical signals are subsequently converted into millimeter-wave signal by the photo mixer. The region from 27 to 122 GHz (Table I) is used for operational frequency for ten-band receivers. The two-tone generator requires polarization maintaining capability, as well as mechanical vibration and acoustic noise robustness to avert the impact of the polarization effect on the photo-mixer and that of the PMD on the transmission fiber. According to the system-level technical requirements of ALMA, the instrumental delay/phase error of the first local system should be 53 fs in the short time period, and the difference between 10-s averages at intervals of 300 s should be 17.7 fs in rms. When these values are converted to the Allan standard deviation using (9)–(11), it turns out that the noises are white phase modulation noise and flicker frequency modulation noise. The short time stability of white phase . modulation noise is obtained (9): Calculating from (11) and 10-s averaging, the required stability (flicker phase modulation noise) is in the long time period. B. Estimated Coherence Loss The measured stability of the null-bias point operation mode (white phase modulation noise) with 1.3 10 is 2.4 10 (white frequency modulation noise) at s, while the sta(white bility of the full-bias point operation mode is 3 10 phase modulation noise). The coherence loss calculated from (8) is shown in Table II. multiplier, the equation is usually exWith respect to a pressed as output

input

1969

dB

(13)

is defined as the normalized Allan standard deviation value by the center frequency. In the case of a frequency doubecomes fourbler, according to (13), SSB phase noise: fold and the central frequency also becomes fourfold. Therefore, is not affected by the multiplied number. Allan variance This is, however, an idealized condition. Since we would like to

estimate the worst value, we used the covariance of two multiplied incoherent signals whose phase noise stabilities are equivalent to each other. In this case, the phase stability is decreased by the amount of the root square of the multiplied number be. cause of the equivalence in phase stability In this calculation, multiplied phase noise [33] should also be considered as follows: Multiplied phase noise

Measured phase noise Multiplied number

(14)

In the DMTD system for the null-bias point operation mode shown in Fig. 5, phase noise of the measurement system (supposedly white frequency modulation noise) is not canceled out as common noise because the signal phase becomes unstable and incoherent in the amplification process by the AMP in the figure. The mild peak in 22 GHz around 30 s is thought to be due to white frequency modulation noise or instability of the amplifier, as the similar peak is not detected in the full-bias point operation (80- and 100-GHz measurements). Assuming the white frequency modulation noise is caused by any component other than the MZ modulator, the phase noise of the MZ modulator . In this case, the coherence will be loss due to the phase noise will be constant because the loss due to white phase modulation noise is independent of integration time. However, even if both of these noises are considered, the MZ modulator is still applicable to the most advanced systems such as ALMA and a very long baseline interferometer (VLBI). VII. DC-BIAS DRIFT OF THE MZ MODULATOR DC-bias drift is a concern for the long-term operation of the MZ modulator. In an ordinary air-conditioned room, it is necessary to control a few millivolt dc biases every 3 h (in the worst case) because the dc-bias drift generates undesired optical signals (i.e., zeroth-order optical signals in the null-bias point operation mode, and first-order optical signals in the full-bias point operation mode). These undesired optical signals are usually suppressed by the interferometer of the MZ modulator.

1970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

signals are suppressed. The block diagram of the dc-bias controller is shown in Fig. 11. The undesired optical signal detection system consists of a photo-mixer, a filter, and an A/D converter. The photo-mixer of the detection system covers the frequency range up to 30 GHz. The effectiveness of this method was confirmed by checking that undesired signals can be suppressed to less than 30 dB. In addition, the result of the phase-noise measurement shows that the dc-bias drift does not have any bad influence on phase stability. VIII. CONCLUSION

Fig. 10. Desired and undesired signals on a 120-GHz optical signal. The undesired signals include first-order optical signals of the full-bias point operation mode.

Fig. 11. Block diagram of the dc-bias control system. The undesired optical signal detection system consists of a photo-mixer, a filter, and a digital multimeter. The purpose of this system is to detect the undesired optical signal power via the converted microwave signal power that has the same frequency as the modulation RF signal.

The ratio between the desired and undesired signals cannot be made maximum only by measuring the desired signal power. The proposed method measures the beat signal power between the desired and undesired signals (Fig. 10) output from the photo-mixer. In the case of a null-bias point, a beat signal power between the modulated first-order signal (desired) and zeroth-order signal (undesired) is measured. In the case of a full-bias point, a beat signal power between the modulated second-order signal (desired) and the modulated first-order signal (undesired) is measured. The point is that the beat signals in the desired and undesired signals are equivalent to the modulation frequency in either case. The minimum value of this beat signal power can be detected by slightly changing the dc-bias value around the current dc-bias value in both higher and lower voltages. In our MZ modulator, the initial condition can be reproduced only by controlling the voltage of electrode C (main MZ), dc offset obtained at a certain frequency can be used for other frequencies in reference to the frequency and bias table of the initial condition, and then the initial condition can be reproduced by minor adjustment. In this experiment, the measured microwave power is reduced to minimum by controlling dc bias so that undesired optical

Based on our experiment results, we have proposed a new high carrier suppression optical double-sideband intensity modulation technique using the integrated LiNbO MZ modulator, which is capable of compensating the imbalance of the MZ arms with a pair of active trimmers (null-bias operation mode). The full-bias point operation mode introduced in this paper is also a novel modulation technique for the second-order harmonic generation. The MZ modulator can generate two coherent lightwaves with frequency difference equivalent to four times the modulation frequency. Photonic local signals of 120 GHz can also be generated using this technique. The phase stability over 100 GHz could not be measured due to the reduction of the multiplier power; however, estimated phase stability and coherence loss indicate that this method is applicable to ALMA and VLBI experiments. The two spectral components of the two optical signals generated with this technique are phase-locked without using any complicated feedback control. All of the measurements were carried out on a table (without vibration isolation) in a normally air-conditioned room without acoustic noise isolation. In short, all of the measurements were performed under normal environment. Temperature change and mechanical vibrations may have affected the output lightwaves to some degree; however, there was no chaotic phenomenon such as mode hopping or mode competition during the experiments. Based on these results, we concluded that the proposed techniques will be useful to construct a robust, low-cost, and simple setup for the photonic local signals. REFERENCES [1] A. H. Gnauck, G. Raybon, S. Chandrasekhar, J. Leuthold, C. Deoerr, L. Stulz, and E. Burrows, “25 40-Gb/s copolarized DPSK transmission over 12 100-km NZDF with 50-GHz channel spacing,” IEEE Photon. Technol. Lett., vol. 15, no. ??, pp. 467–469, 2003. [2] N. Yoshikane and I. Morita, “1.14 b/s/Hz spectrally efficient 50 85.4 Gb/s transmission over 300 km using copolarized RZ-DQPSK signals,” J. Lightw. Technol., vol. 23, no. 1, pp. 108–114, Jan. 2005. [3] W. Idler, A. Klekamp, R. Dischler, and B. Wedding, “Advantages of frequency shift keying in 10-Gb/s systems,” presented at the IEEE/ LEOS Adv. Modulation Formats Workshop, 2004, Paper FD3. [4] T. Kawanishi, T. Sakamoto, S. Shinada, M. Izutsu, K. Higuma, T. Fujita, and J. Ichikawa, “ LiNb high-speed optical FSK modulator for optical packet labeling,” J. Lightw. Technol., vol. 23, no. 1, pp. 87–94, Jan. 2005. [5] K. Vlachos, J. Zhang, J. Cheyns, S. Nan Chi, E. Van Breusegem, I. Tafur Monroy, J. G. L. Jennen, P. V. Holm-Nielsen, C. Peucheret, R. O’Dowd, P. Demeester, and A. M. J. Koonen, “An optical IM/PSK coding technique for the implementation of a label-controlled arrayed waveguide packet router,” J. Lightw. Technol., vol. 21, no. 11, pp. 2617–2628, Nov. 2003.

2

2

2

KIUCHI et al.: HIGH EXTINCTION RATIO MZ MODULATOR APPLIED TO HIGHLY STABLE OPTICAL SIGNAL GENERATOR

[6] J. Cliche and B. Shillue, “Precision timing control for radioastronomy, maintaining femtosecond synchronization in Atacama large millimeter array,” IEEE Control Syst. Mag., vol. 26, no. 1, pp. 19–26, Feb. 2006. [7] T. Kawanishi, T. Sakamoto, and M. Izutsu, “All-optical modulation format conversion from frequency-shift-keying to phase-shift-keying,” Opt. Express, vol. 13, no. 20, pp. 8038–8044, Oct. 2005. [8] T. Kawanishi, T. Sakamoto, S. Shinada, M. Izutsu, K. Higuma, T. Fujita, and J. Ichikawa, “ LiNb high-speed optical FSK modulator,” Electron. Lett., vol. 40, pp. 691–692, 2004. [9] B. Onillon, S. Constant, and O. Llopis, “Optical links for ultra low phase noise microwave oscillators measurement,” in IEEE Freq. Control Symp., 2005, 6 pp. [10] Q. Jiang and M. Kavehrad, “A sub-carrier-multiplexed coherent FSK system using a Mach–Zehnder modulator with automatic bias control,” IEEE Photon. Technol. Lett., vol. 5, no. 8, pp. 941–943, Aug. 1993. [11] T. Kawanishi, T. Sakamoto, T. Miyazaki, M. Izutsu, T. Fujita, S. Mori, K. Higuma, and J. Ichikawa, “High-speed optical DQPSK and FSK modulation using integrated Mach–Zehnder interferometers,” Opt. Express, vol. 14, no. 10, pp. 4469–4478, May 2006. [12] T. Sakamoto, T. Kawanishi, and M. Izutsu, “Optical minimum-shiftkeying with external modulation scheme,” Opt. Express, vol. 13, pp. 7741–7747, 2005. [13] M. Izutsu, S. Shikamura, and T. Sueta, “Integrated optical SSB modulator/frequency shifter,” J. Quantum Electron., vol. 17, pp. 2225–2227, 1981. [14] T. Kawanishi and M. Izutsu, “Linear single-sideband modulation for high-SNR wavelength conversion,” Photon. Technol. Lett., vol. 16, no. 6, pp. 1534–1536, Jun. 2004. [15] D. D. Fonseca, P. Monteiro, A. V. T. Cartaxo, and M. Fujita, “Single sideband demonstration using a four phase-modulators structure,” presented at the IEEE/LEOS Adv. Modulation Formats Workshop, 2004, Paper FC2. [16] J. J. Vegas Olmos, I. Tafur Monroy, and A. M. J. Koon, “High bit-rate combined FSK/IM modulated optical signal generation by using GCSR tunable laser sources,” Opt. Express, vol. 11, pp. 3136–3140, 2003. [17] C. Lim, A. Nirmalathas, D. Novak, and R. Waterhouse, “Optimisation of baseband modulation scheme for millimeter-wave fibre-radio systems,” Electron. Lett., vol. 36, pp. 442–443, 2000. [18] T. Kawanishi, H. Kiuchi, M. Yamada, T. Sakamoto, M. Tsuchiya, J. Amagai, and M. Izutsu, “Quadruple frequency double sideband carrier suppressed modulation using high extinction ratio optical modulators for photonic local oscillators,” presented at the Microw. Photon. Workshop, 2005, Paper PDP-03. [19] T. Kawanishi, M. Sasaki, S. Shimotsu, S. Oikawa, and M. Izutsu, “Reciprocating optical modulation for harmonic generation,” IEEE Photon. Technol. Lett., vol. 13, no. 8, pp. 854–856, Aug. 2001. [20] T. Kawanishi, T. Sakamoto, S. Shinada, and M. Izutsu, “Stable photonic millimeter-wave generation using an integrated reciprocating optical modulator,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 12, pp. 566–568, Dec. 2004. [21] T. Kawanishi, S. Oikawa, K. Yoshihara, T. Sakamoto, S. Shinada, and M. Izutsu, “Low noise photonic millimeter-wave generation using integrated reciprocal optical modulator,” IEEE Photon. Technol. Lett., vol. 17, no. 3, pp. 669–671, Mar. 2005. [22] R. C. Alferness, “Waveguide electro-optic modulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 8, pp. 1121–1137, Aug. 1982. [23] M. Izutsu, Y. Yamane, and T. Sueta, “Broad-band traveling-wave modulator using LiNbO optical waveguide,” IEEE J. Quantum Electron., vol. QE-13, no. 4, pp. 287–290, Apr. 1977. [24] D. W. Allan, “Statistics of atomic frequency standards,” Proc. IEEE, vol. 54, no. 2, pp. 221–230, Feb. 1966. [25] D. W. Allan, “Report on NBS dual mixer time difference system (DMTD) built for time domain measurements associated with phase 1 of GPS,” Nat. Bureau Standards, Gaithersburg, MD, Tech. Rep. NBS IR 75 827, Jan. 1976. [26] D. J. Healey, III, “Flicker of frequency and phase and white frequency and phase; Fluctuations in frequency sources,” in Proc. 25th Annu. Freq. Control Symp., Jun. 1972, pp. 29–42. [27] A. E. E. Rogers and J. M. Moran, “Coherence limits for very-longbaseline interferometry,” IEEE Trans. Instrum. Meas., vol. IM-30, no. 4, pp. 283–286, Dec. 1981. [28] A. E. E. Rogers, A. T. Moffet, D. C. Backer, and J. M. Moran, “Coherence limits in VLBI observation at 3-millimeter wavelength,” Radio Sci., vol. 19, no. 6, pp. 1552–1560, Nov. 1984.

1971

[29] N. Kawaguchi, “Coherence loss and delay observation error in verylong-baseline interferometry,” J. Radiat. Res. Labs., vol. 30, no. 129, pp. 59–87, Mar. 1983. [30] T. Kawanishi, T. Sakamoto, and M. Izutsu, “High-speed control of lightwave amplitude, phase, and frequency by use of electrooptic effect,” IEEE J. Sel. Topics Quantum Electron., vol. 13, no. 1, pp. 79–91, Jan./Feb. 2007. [31] Y. Hirota, T. Ishibashi, and H. Ito, “1.55-m wavelength periodic traveling-wave photodetector fabricated using unitraveling-carrier photodiode structures,” IEEE J. Lightw. Technol, vol. 19, no. 11, pp. 1751–1758, Nov. 2001. [32] H. Ito, T. Furuta, S. Kodama, and T. Ishibashi, “InP/InGaAs uni-travelling-carrier photodiode with 310 GHz bandwidth,” Electron. Lett., vol. 38, no. 21, pp. 1809–1810, 2000. [33] R. Vanblerkom and S. L. Aneman, “Considerations for the short term stability of frequency multiplication,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-2, no. 1, pp. 36–47, Jan. 1966.

Hitoshi Kiuchi received the B.E. and Ph.D. degrees in electronic engineering from the University of Electro-Communications, Tokyo, Japan, in 1982 and 2001, respectively. In 1982, he joined the Radio Research Laboratory, Ministry of Posts and Telecommunications [now the National Institute of Information and Communications Technology (NICT)], Tokyo, Japan. His was in charge of very long baseline interferometry (VLBI), especially the correlation processing system, data-acquisition system and reference frequency system. In 2004, he joined the National Astronomical Observatory, Tokyo, Japan, where his research is concerned with a photonic local system for an ALMA radio interferometry.

Tetsuya Kawanishi (M’06–SM’06) received the B.E., M.E., and Ph.D. degrees in electronics from Kyoto University, Kyoto, Japan, in 1992, 1994, and 1997, respectively. From 1994 to 1995, he was with the Production Engineering Laboratory, Matsushita Electric Industrial (Panasonic) Company Ltd. During 1997, he was with the Venture Business Laboratory, Kyoto University, where he was engaged in research on electromagnetic scattering and near-field optics. In 1998, he joined the Communications Research Laboratory, Ministry of Posts and Telecommunications [now the National Institute of Information and Communications Technology (NICT)], Tokyo, Japan, where he is currently a Research Manager. During 2004, he was a Visiting Scholar with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla. His current research interests include high-speed optical modulators and RF photonics. Dr. Kawanishi was the recipient of the 1999 URSI Young Scientists Award.

Masumi Yamada received the Ph.D. degree in physics (in millimeter and submillimeter-wave spectroscopy) from Ibaraki University, Mito, Japan, in 2004. In 2004, he joined the National Astronomical Observatory of Japan, Tokyo, Japan, where he is currently a Post-Doctoral Fellow. His current research involves the development of millimeter-wave holographic metrology receiver.

1972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Takahide Sakamoto (S’98–M’03) was born in Hyogo, Japan, in 1975. He received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Tokyo, Tokyo, Japan, in 1998, 2000, and 2003, respectively. Since 2003, he has been with Communications Research Laboratory [now the National Institute of Information and Communications Technology (NICT)], Tokyo, Japan, where he is engaged in optical fiber communications. His current research interest are electrooptic devices, such as LiNbO modulators, and their applications to future photonic communication systems. Dr. Sakamoto is a member of the IEEE Lasers and Electro-Optics Society (IEEE LEOS) and the Institute of Electronics, Information and Communication Engineering (IEICE), Japan.

Masahiro Tsuchiya (M’97) was born in Shizuoka, Japan, on September 28, 1976. He received the B.E.,M.E., and Ph.D. degrees in electronic engineering from the University of Tokyo, Tokyo, Japan, in 1983, 1985, and 1988, respectively. His doctoral dissertation concerned resonant tunneling phenomena in ultra-thin semiconductor heterostructures and related devices. From 1988 to 1990, he was a Post-Doctoral Fellow with the University of California at Santa Barbara. From 1990 to 1991, he was a Research Staff Member with the Research Development Corporation of Japan. In 1991, he joined the Department of Electronic Engineering, University of Tokyo, Tokyo, Japan, as a Lecturer and became an Associate Professor in the same department in 1993. From 1996 to 1997, he spent his sabbatical year as a Visiting Researcher with Bell Laboratories, AT&T/Lucent Technologies, Holmdel, NJ. In 2003, he joined the National Institute of Information and Communications Technology (NICT), Tokyo, Japan. His current research interests remain focused on photonics technologies for ultrafast and high-frequency systems/components, nonlinearity management, and microwave/millimeter-wave systems. He is also interested in advanced opto-electronic materials and implementations of practical optoelectronic system with those materials. Dr. Tsuchiya is a member of the IEEE Lasers and Electro-Optics Society (IEEE LEOS), the Japan Society of Applied Physics, and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Jun Amagai received the B.E. degree in natural sciences from Tsukuba University, Ibaraki, Japan, in 1981. In 1981, he joined the Radio Research Laboratory [now the National Institute of Information and Communications Technology (NICT)], Tokyo, Japan. His research is concerned with time and space technologies such as very long baseline interferometer (VLBI) and satellite laser ranging (SLR).

Masayuki Izutsu (S’70–M’75–SM’90–F’04) received the B.E., M.E., and D.Eng. degrees in electrical engineering from Osaka University, Osaka, Japan, in 1970, 1972 and 1975, respectively. In 1975, he joined the Faculty of Engineering Science, of the Department of Electrical Engineering, Osaka University, where he was involved in the field of guided-wave opto-electronics. From 1983 to 1984, he was a Senior Visiting Research Fellow with the Department of Electronics and Electrical Engineering, University of Glasgow, Glasgow, U.K. In 1996, he joined the Communications Research Laboratory, Ministry of Posts and Telecommunications [now the National Institute of Information and Communications Technology (NICT)], Tokyo, Japan, where he is currently a Distinguished Researcher in charge of its New Generation Network Research Center. He also serves as a cooperate member of the Science Council of Japan, and a Guest Professor of Waseda University. Dr. Izutsu is a member of the Optical Society of America (OSA) and the Japan Society of Applied Physics (JSAP). He is a Fellow of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1981 Best Paper Award and the 1988 Award for Significant Achievement presented by the IEICE.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

1973

Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links Preetpaul S. Devgan, Member, IEEE, Vincent J. Urick, Member, IEEE, Jason D. McKinney, Member, IEEE, and Keith J. Williams, Member, IEEE

Abstract—The noise penalty (NP) metric is useful in long-haul analog link design, as it predicts degradation of the RF noise figure (NF), 1-dB compression dynamic range (CDR), and the spuriousfree dynamic range (SFDR) for a single-amplifier link. We extend the NP theory to predict the cascaded NP of a multiamplifier fiberoptic link from the NP due to each of the individual amplifiers. A cascaded NP expression is derived and compared to experimental results from a 60-km link consisting of three amplifiers. The measured NP of 11.8 dB for the link matches well with our theoretical prediction. The link gain of 7 dB, RF NF of 31 dB, 1-dB CDR of 148 dB Hz, and of 108 dB Hz2 3 are also measured and matched to predicted values for the measured NP. Index Terms—Analog photonics, intensity modulation direct detection, microwave photonics, noise penalty (NP) of erbium-doped fiber amplifier (EDFA).

new metric, called the noise penalty (NP), has been introduced to aid in the design of single amplifier analog links [6]. While we have previously demonstrated amplified long-haul links for both digital and analog signals [7], [8], an expression for the cascaded NP of a link through multiple amplifiers has not been developed. In this paper, we present an expression to predict the cascaded NP through a link with multiple amplifiers, and show a good agreement with our measured results for a 60-km link incorporating three amplifiers. We also measure the SFDR, 1-dB CDR, RF NF, and gain of the link and show a good agreement with the values predicted by the cascaded NP. II. THEORETICAL EXPRESSION FOR CASCADED NP AND EFFECTS ON ANALOG METRICS

I. INTRODUCTION

L

ONG-HAUL fiber-optic links for analog signals can be used in applications ranging from transport of radar signals from remote antennas [1], delay lines for analog signal processing [2], and video over fiber [3]. The use of fibers for these long-haul applications has become popular due to their low loss and high bandwidth capacity. Unlike digital links, which depend on bit error rates (BERs) and signal-to-noise (SNR) at the photodetector, referred to as the factor, to measure the quality of the link, analog links are characterized by the spurious-free dynamic range (SFDR), RF noise figure (NF) and gain of the link [4]. The 1-dB compression dynamic range (CDR) is also an important metric for these links. These metrics typically improve with higher photocurrents at the receiver. In order to increase the optical power received at the photodetector, erbium-doped fiber amplifiers (EDFAs) are placed within the link to maximize the power at the output. EDFAs are used as they have been shown to have low optical NF [5]. Since the optical NF of an EDFA is a measure of the degradation of the SNR, designers of digital long-haul links have used this metric to predict how the BER and factor of a signal will be adversely affected. However, in analog links, the optical NF does not directly predict the penalty incurred on the 1-dB CDR, SFDR, and the RF NF. Instead, a

In order to demonstrate how the NP affects the various analog metrics, we must first define the sources of relative intensity noise (RIN) in the fiber-optic link. We start with the fundamental RIN sources, which are the input thermal RIN, output thermal as RIN, and shot RIN [6]. We can write the (1) is the elementary charge constant, where Boltzmann’s constant, is the temperature, is the output impedance, and photocurrent, gain given by

is the is the dc is the RF

(2) with as the required Mach–Zehnder modulator voltage to as the input impedance. The other yield a -phase shift and source of RIN in the link is due to the laser itself. These sources of RIN are present whether the link is amplified or not. Now, in the amplified case, there are two additional RIN sources present, which are: 1) the signal-spontaneous beating given by (3)

Manuscript received March 20, 2007. This work was supported by the Office of Naval Research. P. S. Devgan and J. D. McKinney were with SFA Inc., Crofton, MD 21114 USA. They are now with the U.S. Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). V. J. Urick and K. J. Williams are with the U.S. Naval Research Laboratory, Washington, DC 20375 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.904057

and 2) the spontaneous-spontaneous beating given by (4) where is the Planck’s constant, is the optical frequency, is the optical power into the EDFA and is the optical is the optical NF of the EDFA. bandwidth of the EDFA, and

0018-9480/$25.00 © 2007 IEEE

1974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

into (9) and multiplying through all the Substituting terms yields the cascaded NP as a function of each of the EDFA’s optical NF

(10) It would be useful to write the cascaded NP as a function of the NP due to each EDFA. We can write a modified form of (7) to get Fig. 1. Architecture of a long-haul multiamplifier link. DFB: distributed feedback semiconductor laser, G: gain, NP : NP of EDFA, PD: photodiode. L: fiber link loss, l : post-EDFA loss,  quantum efficiency.

The NP is a measure of how much the RIN due to the amplifier dominates over the RIN of an unamplified link. Specifically, the NP is written as

(11) The main difference between (11) and (7) is that is set to 1. This comes from the fact that the EDFA NP will be measured right after each EDFA and, thus, there is no post-EDFA loss. After solving (11) in terms of optical NF and replacing in (10), canceling common gain terms yields

(5) When using a low-noise laser, the denominator will be dominated by the . By careful design of the EDFAs in the will dominate while remaining comlink, . In this case, the NP of the link can be written parable to as [6] (6) We can also write the NP in terms of the EDFA optical NF by using (3) and to get

(12) We now have a general expression for the cascaded NP through a multiple amplifier link as a function of the individual NP due to each EDFA in the link. In order to simplify the expression, we need to look at specific conditions. First, if the same photodetector is used to measure the individual NP of each EDFA as well as the entire link, then the quantum efficiency in each term will cancel, yielding

(7) is the opwhere is the photodetector quantum efficiency, tical power gain of the EDFA, and is the post-EDFA optical power loss. Note that this equation has been derived assuming only one amplifier in the link. Most long-haul links will incorporate more than one amplifier in order to increase the optical power at the output. Thus, an expression for the cascaded NP due to multiple EDFAs would be quite useful. We can address this problem by considering the cascaded set of amplifiers as one single amplifier, as shown in Fig. 1. The net gain of the link can be written , where is the optical gain as lossless is the optical power of the th EDFA and loss due to the fiber link prior to the th EDFA. Now we use the well-known cascaded optical NF for a chain of EDFAs [9]

(8)

(13) The cascaded NP expression in (13) depends only on the various EDFA’s NP and gain along with the loss after the amplifiers, much like the cascaded NF in (8). Adding an additional condition, if the gain exactly compensates the loss between each amplifier in the link, the cascaded NP will simply become a linear addition of the individual noise penalties

(14) This result is consistent with the results for a cascaded optical NF in a link where gain and loss are matched. Along the same lines, if the EDFA NP is also the same for each amplifier in a gain/loss equalized link, then the cascaded NP simply becomes (15)

is the optical NF of the th EDFA. Now, we insert where (8) into (7) to get an expression for the cascaded NP as function of the cascaded optical NF

where is the number of amplifiers in the link. Finally, when and and is negligible, the cascaded NP can be simply written as

(9)

(16)

DEVGAN et al.: CASCADED NOISE PENALTY FOR AMPLIFIED LONG-HAUL ANALOG FIBER-OPTIC LINKS

1975

Fig. 3. Setup of long-haul amplified link Inset: schematic of optical amplifier. DFB: distributed feedback semiconductor laser, MZM: Mach–Zehnder modulator, VOA: variable optical attenuator, PD: photodiode, EDF: erbium-doped fiber.

Fig. 2. Plots of the: (a) RF gain, (b) RF NF, (c) SFDR, and (d) 1-dB CDR as a function of dc photocurrent (I ) from 1 to 100 mA. Additional plots show the effect of NP of 0, 6, 12, 18, and 24 dB on the various metrics.

Now that we have an expression for the cascaded NP through a multiamplifier link, we are interested in knowing how the various analog metrics will be affected by the NP. First, the RF gain does not depend on the noise and is not affected by the NP. The other metrics, however, directly depend on the noise and, thus, are negatively affected by the NP. The NP dependence is exhibited in the expressions for the following amplified analog metrics: [6] (17) (18) (19) (20) is the phase-shift amplitude of the Mach–Zehnder where modulator for 1-dB compression. Again, we are assuming a . low-noise laser source is being used Simply stated, the RF NF of an amplified link is the RF NF of multiplied an equivalent fundamentally limited link by the NP, while the 1-dB CDR is the fundamentally limited divided by the NP and the SFDR is the fundaCDR divided by the NP to the mentally limited SFDR two-thirds power. In Fig. 2(a)–(d), four charts plotting the RF gain and NF, SFDR, and 1-dB CDR with an NP of 0, 6, 12, 18, and 24 dB are shown. Note that the RF gain assumes that the photodiode has an integrated impedance matching circuit, resulting in 6-dB RF power loss. Also, the plotted 1-dB CDR assumes a two-tone measurement. One can see directly how the NP affects the various metrics. Ideally the NP will be as small as possible while allowing the maximum power to be transmitted down the fiber link. We now demonstrate that (13) can accurately predict the cascaded NP from a multiamplifier link. In addition, (17)–(20) are shown to faithfully indicate the various metrics for the link given the cascaded NP.

III. EXPERIMENTAL RESULTS The experimental setup appears in Fig. 3. The link consists of a semiconductor laser (EM4) with an output power of 19 dBm, 2 Mach–Zehnder modulator with a of followed by a 1 3 V at 1 GHz and a 5.4-dB insertion loss at quadrature bias (Eospace AZ-1x2-20). Measuring the laser’s noise shows it is . The fundamentally limited at 1 GHz link consists of three spools of fiber, each 20 km in length and followed by an EDFA. The output of the final EDFA is attenuated and connected to the input of a p-i-n photodiode (Discovery DSC-50S), which is used to measure the analog metrics of the link. The attenuator guarantees the photodiode will not be compressed by the incoming optical power. The measured dc photocurrent when the link is running is 16.6 mA. of 3 V and the Given the Mach–Zehnder modulator has a system is operating at 16.6 mA, the link is shot-noise limited . All three EDFAs are designed and built in-house using a 980-nm pump laser in a co-propagating configuration (see inset of Fig. 2). The first two EDFAs are built with HE-980 erbium fiber in order to achieve low NP. The final EDFA is built with MP-980 fiber to achieve higher output power while still maintaining a low NP. Each of the three 20-km fiber spools is specially designed with alternating fiber types to have a higher stimulated Brillouin scattering threshold compared to SMF-28 [10]. Having a higher stimulated Brillouin scattering threshold allows for higher power to be transmitted down the link without introducing additional noise and nonlinearities from unwanted Brillouin scattering. In this case, the stimulated Brillouin scattering threshold for each spool is measured at 13 dBm, while the stimulated Brillouin scattering threshold for the same length of SMF-28 is only 6 dBm. Since the output power of the laser through the Mach–Zehnder modulator is already 13 dBm, no EDFA is needed before the first fiber span. The other two fiber spans are preceded by EDFAs whose pumps are set to achieve an output power of 13 dBm. The last EDFA is set to its maximum output power of 17.5 dBm. The measured noise penalties, along with optical gain and loss, at various points of the link appear in Table I. In particular, the three individual EDFAs have a measured NP of 8.8, 8, and 7.7 dB, respectively. The complete link has a measured cascaded NP of 11.8 dB. Taking the numbers from Table I and using

1976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE I MEASURED LINK NP (NP ), EDFAS NP (NP GAIN ( ) AND LOSS ( ) CORRESPONDING TO THE MARKED POINTS IN FIG. 3

G

L

),

Fig. 5. Fundamental (squares) and third-order intermodulation (triangles) reand sponse for the link at 1 GHz. The SFDR and CDR are 108 dB 1 Hz 148 dB 1 Hz, respectively.

IV. CONCLUSION

Fig. 4. RF gain and NF for the link up to 10 GHz. Note that the ringing is due to the MZM not having a 50- termination on the RF input port.

them in (13), the predicted cascaded NP for the link is 12.1 dB, which is in good agreement with the measured results. In addition, the link’s RF gain and NF, along with the 1-dB CDR and SFDR, are measured and compared to the results predicted by the measured cascaded NP of 11.8 dB. The measured RF gain and NF appear in Fig. 4. The RF port of the Mach–Zehnder modulator is not 50 impedance matched, and thus leads to the ringing that is apparent in these plots. Since the is known at 1 GHz, the RF gain of 7 dB and NF of 31 dB are measured at this frequency. Since the link is shot-noise limited, we use an of 167.2 dBc/Hz @ 16.6 mA in (17) and (18) to yield a predicted RF gain of 7.1 dB and predicted RF NF of 31.3 dB. As an additional comparison, the fundamental and third-order intermodulation response is plotted in Fig. 5. The measured thirdorder intercept point is 11.3 dBm, the SFDR is 108 dB Hz , and the 1-dB CDR is 148 dB Hz. Using (19) and (20) to calculate the predicted values of SFDR and 1-dB CDR provides and 147.2 dB Hz, respectively. Clearly, the 107.6 dB Hz four measured metrics match well with the values calculated by the theory. In conclusion, the cascaded NP is shown to be an accurate predictor of the analog metrics for an amplified link consisting of multiple amplifiers.

Starting with the NP of a single amplifier link, we have derived a general expression for predicting the cascaded NP through multiple stages of optical amplification in a long-haul link. The cascaded NP can then be used to predict the degradation of the RF NF, 1-dB CDR, and SFDR at the output of a multiamplifier link. A 60-km link incorporating three EDFAs was built and the measured cascaded NP matched well with the predicted value. In addition, the RF gain and NF, as well as the 1-dB CDR and the SFDR of the link were measured and compared to the values predicted by the cascaded NP, with an excellent agreement demonstrated for all four metrics. We demonstrate that the NP is a useful metric in designing long-haul links with multiple amplifiers. REFERENCES [1] J. E. Roman, L. T. Nichols, K. J. Williams, R. D. Esman, G. C. Tavik, M. Livingston, and M. G. Parent, “Fiber-optic remoting of an ultrahigh dynamic range radar,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2317–2323, Dec. 1998. [2] C. Chang, J. A. Cassaboom, and H. F. Taylor, “Fiber optic delay line devices for RF signal processing,” Electron. Lett., vol. 13, pp. 678–680, 1977. [3] M. R. Phillips and T. E. Darcie, “Lightwave analog video transmission,” in Optical Fiber Telecommunications IIIA. San Diego, CA: Academic, 1997. [4] C. H. Cox, III, E. I. Ackerman, G. E. Betts, and J. L. Prince, “Limits on the performance of RF-over-fiber links and their impact on device design,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 906–920, Feb. 2006. [5] H. A. Haus, “Optimum noise performance of optical amplifiers,” IEEE J. Quantum Electron., vol. 37, no. 6, pp. 813–823, Jun. 2001. [6] V. J. Urick, M. S. Rogge, F. Bucholtz, and K. J. Williams, “The performance of analog photonic links employing highly compressed erbium-doped fiber amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3141–3145, Jul. 2006. [7] E. E. Funk, V. J. Urick, S. J. Strutz, J. L. Dexter, and K. J. Williams, “110 km 256-QAM digital microwave over fiber link,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 269–272. [8] V. J. Urick, M. S. Rogge, F. Bucholtz, and K. J. Williams, “Wideband (0.045–6.25 GHz) 40 km analogue fibre-optic link with ultrahigh ( 40 dB) all-photonic gain,” Electron. Lett., vol. 42, pp. 552–553, 2006. [9] P. Becker, N. Olsson, and J. Simpson, Erbium-Doped Fiber Amplifiers. San Diego, CA: Academic, 1999.

>

DEVGAN et al.: CASCADED NOISE PENALTY FOR AMPLIFIED LONG-HAUL ANALOG FIBER-OPTIC LINKS

[10] X. P. Mao, R. W. Tkach, A. R. Chraplyvy, R. M. Jopson, and R. M. Derosier, “Stimulated Brillouin threshold dependence on fiber type and uniformity,” IEEE Photon. Technol. Lett., vol. 4, no. 1, pp. 66–69, Jan. 1992.

1977

Preetpaul S. Devgan (S’03–M’07) received the B.S. degree in computer and electrical engineering and M.S. degree in electrical engineering from Purdue University, West Lafayette, IN, in 1996 and 1998, respectively, and the Ph.D. degree in electrical engineering from Northwestern University, Evanston, IL in 2006. From 1998 to 2001, he was a Member of Technical Staff with the Optical Networking Group, Lucent Technologies. He is currently a Research Engineer with the Naval Research Laboratory, Washington, DC, where he is involved with analog photonic systems. His current research interests include long-haul analog photonic link design, opto-electronic oscillators, and low-noise fiber amplifiers.

Jason D. McKinney (S’99–M’03) received the Ph.D. degree in electrical engineering from Purdue University, West Lafayette, IN, in 2003. From July 2001 to May 2003, he was a Graduate Assistance in Areas of National Need (GAANN) Fellow, during which time he was active in both teaching and research with Purdue University. His doctoral research included the first demonstration of ultrafast optical pulse-shaping techniques for synthesis of arbitrarily shaped millimeter waveforms exhibiting arbitrary phase and frequency modulation at center frequencies up to 50 GHz. Upon completion of the Ph.D. degree, he was a Visiting Assistant Professor (2003–2005) and Research Scientist (2005–2006) with the School of Electrical and Computer Engineering, Purdue University. He is currently a Research Scientist with the U.S. Naval Research Laboratory, Washington, DC. His research interests include low-noise high-power analog optical links, ultrafast optical pulse processing, and applications of photonics in ultra-broadband microwave systems. Dr. McKinney is a member of the Optical Society of America (OSA).

Vincent J. Urick (M’05) received the B.S. degree (magna cum laude) in physics (with minors in electronics and mathematics) from Bloomsburg University, Bloomsburg, PA, in 2001, and the M.S. and Ph.D. degrees in physics from George Mason University, Fairfax, VA, in 2005 and 2007, respectively. In 2001, he joined the U.S. Naval Research Laboratory, Washington, DC, where he is a Research Physicist with the Photonics Technology Branch, involved with the development of analog-photonic systems, subsystems, and components. His current research interests include long-haul analog photonics, low-noise analog photonics, and highly linear analog photonics. Dr. Urick is a member of the Optical Society of America, Sigma Pi Sigma, and Phi Kappa Phi.

Keith J. Williams (S’86–M’89) received the B.S. degree (cum laude) in electrical engineering from the University of Nebraska, Lincoln, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of Maryland at College Park, in 1989 and 1994, respectively. His doctoral dissertation concerned the area of microwave p-i-n photodetector nonlinearities. In 1987, he joined the Optical Sciences Division, U.S. Naval Research Laboratory, Washington, DC, where his research interests include characterization and performance of microwave optical devices, microwave fiber-optic links and systems, high-speed opto-electronics, new concepts for solving microwave-related problems with fiber-optic solutions, and high current photodiodes. Dr. Williams is a member of the Optical Society of America and Tau Beta Pi.

1978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links Vincent J. Urick, Member, IEEE, Frank Bucholtz, Member, IEEE, Preetpaul S. Devgan, Member, IEEE, Jason D. McKinney, Member, IEEE, and Keith J. Williams, Member, IEEE

Abstract—We analyze the performance of analog-photonic links employing phase modulation with interferometric demodulation and compare it to intensity-modulation direct-detection links. We derive expressions for RF gain, RF noise figure, compression dynamic range, and spurious-free dynamic range for both architectures. We demonstrate theoretically and experimentally that phase-modulated links can outperform intensity-modulated links over substantial frequency ranges. Index Terms—Analog photonics, intensity modulation direct detection, microwave photonics, phase modulation.

I. INTRODUCTION NALOG photonics can provide favorable alternatives over all-electrical analog methods for various applications. Leveraging advantages such as decreased signal loss in fiber, larger bandwidth, smaller size and weight, increased flexibility, and invulnerability to electromagnetic interference [1], analog photonics has been implemented in RF antenna-remoting systems [2], [3], wideband signal processing and routing [4]–[6], and true-time delay in phased-array architectures [7]. In addition, recent progress in low-noise analog photonics has demonstrated that sub-10-dB RF noise figures are achievable [8], [9], extending the applications of analog photonics beyond what was previously practical. Traditionally, external intensity modulation with direct detection (IMDD) is the method of choice for transmitting an analog signal through fiber [10]. However, numerous advantages are afforded in a phase-modulated analog-photonic link. There is no need for biasing a phase modulator at the transmit end of a link, which is particularly attractive in antenna-remoting applications. For multichannel systems, the constant-intensity phase modulation is less susceptible to crosstalk than an intensitymodulated format [11], [12]. The interferometric demodulation also allows for balanced detection, which offers signal-to-noiseratio benefits over single-detector architectures [13]–[16]. Dualoutput IMDD links have been demonstrated with balanced detection [8], [15], but suffer from the disadvantage of having to run two fiber spans. While the advantages offered by phase modulation have been utilized in digital links [17]–[19], limited

A

Manuscript received March 29, 2007; revised April 16, 2007. This work was supported by the Office of Naval Research. The authors are with the U.S. Naval Research Laboratory, Washington, DC 20375 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.904087

work has been completed in analog phase-modulated links [20], [21], save work in coherent architectures [22], [23]. Here, we present a theoretical and experimental comparison between IMDD and phase modulation with interferometric for unamplified analog photonics. The full detection analysis of phase modulation with interferometric detection is carried out using the generalized relative intensity noise formalism for the first time. This complete, yet compact analysis is an extension of previous work in the field [20], [21] and the direct comparison to IMDD in this formalism is unique. Using the relative intensity noise formalism, we extend our previous work [21] to include all noise terms, and thus, all RF performance metrics, in an unamplified phase-modulated link with interferometric detection. In Section II, expressions for RF gain, RF noise figure, compression dynamic range (CDR), and spurious-free dynamic range (SFDR) are derived for both architectures. Over limited bandwidth, phase modulation with interferometric detection is shown to outperform IMDD in terms of all of these metrics. Experimental data supporting the theoretical work are presented in Section III and the work is summarized in Section IV. II. ANALOG-PHOTONIC PERFORMANCE METRICS , RF noise We derive the expressions for RF gain , CDR, and SFDR for IMDD and phase modfigure ulation with interferometric detection. These performance metrics are written in terms of total relative intensity noise , the total output noise relative to the dc output power spectral density is the dc photocurrent per diode at the link power. Here, is the output impedance, and we will show that output, for IMDD and for phase modulation with interferometric detection. We consider input thermal noise, output thermal noise, shot noise, and laser noise such that . We note that an analytical term cannot be written generally for and, therefore, we revisit in Section III. Finally, while we do not include terms associated with optical amplification, we note that such a treatment is carried out in [24] and [25] for IMDD and a similar treatment can be used for phase modulation with interferometric detection. A. IMDD The performance metrics for IMDD have been derived elsewhere [2], [9], [10] and the derivation including

0018-9480/$25.00 © 2007 IEEE

URICK et al.: PHASE MODULATION WITH INTERFEROMETRIC DETECTION AS ALTERNATIVE TO IMDD FOR ANALOG-PHOTONIC LINKS

use the definition of IMDD as

1979

to write the RF noise figure for

(4)

Fig. 1. IMDD architecture depicting a laser, single-output push–pull MZM, and photodiode.

is given in [24] and [25]. Here, we review the results for direct comparison to phase modulation with interferometric detection. The IMDD architecture is shown in Fig. 1 and comprises a laser, a quadrature-biased push–pull Mach–Zehnder modulator (MZM), and a p-i-n photodiode. In this case, we consider a single-output MZM with one fiber transmission span. We first write the electric field at the laser output as , where is the optical power at angular frequency . Here, is a constant relating optical field . Next, we and optical power such that , represent the drive voltage as is the dc bias voltage, is the analog voltage where . In amplitude, and the analog drive frequency is the ideal push–pull configuration, this drive voltage produces a in one arm of the phase shift of in the other arm, where is the phase shift MZM and is the amplitude of the sinusoidal due to the dc bias and phase shift. The static and analog phase shifts are related to the and , drive voltage by is the voltage required to produce a phase shift of where radians. Assuming ideal 50/50 coupling at the input and output of the MZM, the electric fields at the output of the MZM can be calculated using

(1)

We define the CDR as the range of RF input powers over which the RF output is above the noise and is less than or equal to output power at 1-dB compression. We use the small-signal and exact form of (2) to express the CDR as (5) is the phase-shift amplitude at the 1-dB compreswhere . sion point given by The SFDR is typically defined in relation to a two-tone drive of the IMDD link as the range of RF input powers over which the RF output is above the noise and any distortion is below the noise. In terms of an arbitrary distortion, the dB Hz SFDR is defined logarithmically as dBm dBm Hz , where is the is the th-order interorder of the largest distortion and cept, defined as the intercept of the linear extrapolations of the fundamental and distortion response. For IMDD, we evaluate (1) with and calculate the photocurrent [2] to discover that the limiting for IMDD is then given by distortion is third order. The (6) which can be used to write the SFDR as (7) Given (4), (5), and (7), we only need to write the expressions . The relative intensity for the terms contributing to noise terms referenced to the output due to thermal noise at the input, thermal noise at the output, and shot noise are given, respectively, as (8)

where is the MZM optical loss. We arbitrarily choose one MZM output in (1) for the single-fiber architecture. At quadraand (1) gives the photocurrent at the output ture bias as

(9) (10)

(2) th-order Bessel function and , with being the diode responsivity and being the link loss. The small-signal approximation of (2) is used to give the RF gain for IMDD as where

is the

(3) is the link input impedance. where The remaining performance metrics can be written . The RF noise figure is defined as in terms of , where is Boltzmann’s constant and is the temperature. We insert (3) into this expression and

where is the electronic charge constant. With these equations, we can plot the four performance metrics as a function of and , such as in Fig. 2. Fig. 2 shows , , , and as a function of with three values using and K. The calculations in Fig. 2 assume “fundamentally limited performance” . At low , a combination of output thermal with noise and shot noise limit the performance. As is increased, the system will reach the input-thermal limit and this limit is for a lower . achieved at a lower B. Phase Modulation With Interferometric Detection The architecture for phase modulation with interferometric detection is shown in Fig. 3. As defined here, a phase modu-

1980

Fig. 2. IMDD performance metrics as a function of I RIN = 0.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

with V

= 1; 2; and 3 V. Here, (3)–(5) and (7) were used with Z = Z

= 50 , T = 290 K, and

Fig. 3. Phase modulation with interferometric detection architecture comprising a laser, phase modulator (8M ), asymmetric MZI, and balanced photodiodes.

lation with an interferometric detection link consists of a laser, a phase modulator, an asymmetric Mach–Zehnder interferometer (MZI), and balanced detectors. The analysis of the phase modulation with interferometric detection link starts with the , with same electric field as above, i.e., the transfer matrix

(11) and are the electric fields at the MZI output, where is the MZI insertion loss, is the link loss, is the . The phase-modulator insertion loss, and

Fig. 4. Normalized RF response for a phase modulation with interferometric detection link for three values of  , calculated using (14).

operator has the property that , where is the differential time delay in the MZI. Carrying out (11) gives the photocurrent (12)

URICK et al.: PHASE MODULATION WITH INTERFEROMETRIC DETECTION AS ALTERNATIVE TO IMDD FOR ANALOG-PHOTONIC LINKS

1981

Fig. 5. Calculated phase modulation with interferometric detection performance as a function of dc photocurrent per diode in a balanced receiver. Equations (14)–(16) and (19) were employed with Z = Z = 50 , T = 290 K, RIN = 0, and  =  .

where

is the dc current per diode, , and we have set the MZI at quadrature . It follows that the RF photocurrent at the with . The expansion of is lengthy output is and here we write the resulting first-order approximation for the RF output power as (13) From (13), we can calculate the small-signal gain for phase modulation with interferometric detection as (14) Equation (14) predicts frequency-dependent peaks and nulls in the RF response and demonstrates a limiting factor of the phase modulation with interferometric detection architecture as compared to IMDD. However, shown in Fig. 4 is the normalized gain for three values of , demonstrating that multiple-gigahertz range is possible with a phase modulation with an interferometric detection link. We restrict ourselves here to the derivation of the remaining performance metrics and save further comparisons between phase modulation with interferometric detection and IMDD for Section III.

The RF noise figure for phase modulation with interferogiven by (14). We, metric detection is defined as above with therefore, have (15) with where we have used the definition of Similarly, we use the definition of CDR to write

.

(16) . where, in this case, is lengthy for a singleNow, being that the expansion of tone drive, it is even more so for the two-tone drive used to determine the . Here we impose the restrictions that and that and are evenly spaced about a frequency where the RF gain defined , where is an odd integer. by (14) is a maximum, to yield With these restrictions, we expand (17)

1982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 6. Measured RF response for an IMDD link (grey line) and phase modulation with an interferometric detection link with  = 100 ps (dashed black line) and  = 400 ps (solid black line). For these data, I = 5:0 mA per detector, V 6:9 V at 5 GHz for both modulators, and Z = Z = 50 .



Fig. 8. Measured relative intensity noise spectrum for IMDD (grey) and phase modulation with interferometric detection (black line) links employing: (a) a semiconductor DFB laser and (b) an erbium-doped glass laser.

Fig. 7. Measured fundamental (circles) and dominant intermodulation distortion (triangles) response for an IMDD (grey line) and a phase modulation with interferometric detection link (black line). For these data, the two drive frequencies were 5.01 and 4.99 GHz, I = 5:0 mA per detector, V 6:9 V at 5 GHz for both modulators, and Z = Z = 50 . Data from [21].



where . As we are particularly interested in the performance near the peak of the response, we to arrive at take the limit (18)

is the dc photocurrent per diode. where again The four performance metrics for a phase modulation with an interferometric detection link are plotted in Fig. 5. Shown in , , , and Fig. 5 are the calculated , all taken at their optimal level, where . These performance metrics are plotted as a function of dc , K, photocurrent per diode with . Note here that the limiting noise sources for and phase modulation with an interferometric detection link follow the same trends as in IMDD. III. EXPERIMENTAL RESULTS AND COMPARISON

Using (18), we then have (19) for the phase modulation with interferometric detection SFDR at peak response. In the balanced-detection scheme, we have coherent addition of the signal photocurrents, but the noise currents add incoher, ently. For the three fundamental terms contributing to we, therefore, have (20) (21) (22)

The most obvious difference between the phase modulation with interferometric detection and IMDD performance is the frequency response. However, the extinction of the signal in a phase modulation with interferometric detection link at some frequencies does result in enhanced performance at the peak response. This is demonstrated explicitly in Fig. 6, where measured data are shown for an IMDD and phase modulation with V an interferometric detection link. For these data, mA per diode, and at 5 GHz for both modulators, . For the phase modulation with an inps terferometric detection link, data are shown for and ps. Note that near , the RF gain for the phase modulation with an interferometric detection link is 12 dB higher than that for IMDD, as predicted by (3) and (14). for Near these peak values, we also measure an increase in

URICK et al.: PHASE MODULATION WITH INTERFEROMETRIC DETECTION AS ALTERNATIVE TO IMDD FOR ANALOG-PHOTONIC LINKS

1983

TABLE I COMPARISON OF SHOT-NOISE-LIMITED PERFORMANCE

phase modulation with interferometric detection as compared to for phase modIMDD. As shown in Fig. 7, the measured ulation with an interferometric detection link is 5.3 dB higher than an IMDD link at the same photocurrent per detector. For V for both modulators, mA these data, , GHz, and per diode, GHz. For the phase modulation with interferometric detection link ps. The measured of 7.1 dBm for the IMDD link agrees quite well with the value of mA and . 7.0 dBm, as predicted by (6) for Similarly the measured value of 12.4 dBm and the calculated value of 13.0 dBm given by (18) agree well for the phase mod. Finally, under a ulation with interferometric detection single-tone drive at 5 GHz, we measure an RF output power at 1-dB compression of 3.7 dBm for the phase modulation with an interferometric detection link. To compare this to the value predicted by theory, we evaluate (13) with to obtain 3.8 dBm, which agrees closely. , and accurately We see increased RF gain, increased predicted RF output power for phase modulation with an interferometric detection link near peak response, but the total RF performance depends strongly on the laser noise. It is well known that laser phase noise is converted into intensity noise in an interferometric system [26], [27]. It is, therefore, most imin an IMDD and phase modulation portant to compare with interferometric detection link. In Fig. 8, we show the measured relative intensity noise spectrum for two different lasers in an IMDD and phase modulation with an interferometric ps architecture. In Fig. 8(a), the results for detection a semiconductor distributed feedback (DFB) laser are shown mA. The noise in the phase modulation with interfor ferometric detection architecture is obviously higher and due to the DFB laser phase noise. At 5 mA, the equations in Section II will dominate in the absence of . predict that dBc/Hz and for phase modulation For IMDD, with interferometric detection, dBc/Hz. We see that with the DFB laser, shot-noise-limited performance is achieved in the IMDD link at low frequencies with a slow rise at higher frequencies due to the laser intensity noise. However, for the phase modulation with interferometric detection link, shot-noise-limited performance is achieved at 10 and 20 GHz only, where the MZI causes an extinction of phase noise at its input. The fact that shot noise dominates at 20 GHz also

demonstrates that the DFB laser intensity noise seen in the IMDD link is rejected in the balanced-detector architecture. In any case, we measure 12-dB more relative intensity noise at 5 GHz for the phase modulation with an interferometric detection link, which eliminates the advantage of increased RF gain in terms of total system performance. However, as and shown in Fig. 8(b), a low phase-noise laser can be employed to achieve shot-noise-limited performance in a phase modulation with an interferometric detection link at the peak response. By employing an Er-doped glass laser, the phase modulation with interferometric detection link is shot-noise-limited over the entire range of frequencies shown. (The peak near 1.5 GHz is due to a competing mode in the laser cavity.) Special care must therefore be taken in choosing a laser in order to take advantage of the increased RF power obtained in phase modulation with an interferometric detection link. However, it is completely realistic to assume that shot-noise-limited performance is achievable. As stated earlier, we have compared IMDD to phase modulation with interferometric detection for the same photocurrent per diode. This, of course, assumes that twice the optical power is available for the phase modulation with interferometric detection link. In short-reach applications, this is a fair assumption in that the limiting component regarding optical power handling is typically the photodiode; i.e., while the power-handling of RF diodes are continually improving [28], [29], there is typically more optical power available than can be detected in short-length analog photonics. Unfortunately, this is not always the case for long-haul analog photonics where the optical power at the receive end of the link is limited by stimulated Brillouin scattering. It is therefore important to consider the case where an IMDD link operates at and phase modulation with an interferometric detection link per diode. We compare all of these scenarios for the at shot-noise-limited case in Table I. Table I summarizes the results of this work, demonstrating that phase modulation with interferometric detection at the peak response significantly outperforms IMDD in terms of all RF performance metrics in the shot-noise and phase limit. Note here that the comparison of IMDD at per diode, modulation with interferometric detection at as presented in Table I, also represents the comparison between an IMDD link with a dual output MZM and a phase modulation with an interferometric detection link at the same total photocurrent. Therefore, even with the added complexity of two fiber

1984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

spans, IMDD does not meet the performance offered by phase modulation with interferometric detection at peak response. IV. SUMMARY AND CONCLUSIONS We have demonstrated that a phase-modulated link with interferometric detection can achieve significantly better performance than an intensity-modulated link with direct detection. These results are summarized in Table I. It is most important to note that these improvements are over a limited bandwidth and in systems employing low phase-noise optical sources. However, as shown in Fig. 4, the differential delay in the MZI can be tailored to the desired operation frequency. In addition, multiple MZIs can be employed to improve the bandwidth with moderate reduction in performance. We cite the benefits of reduced electronics at the transmit end, lower-insertion loss at the transmit end, reduced susceptibility to fiber nonlinearities, and the ability to employ balanced receivers with a single fiber span as additional advantages of phase modulation with interferometric detection over IMDD. Given these findings, we argue that phase modulation will become increasingly useful in future analog-photonic systems. REFERENCES [1] A. J. Seeds, “Microwave photonics,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 877–887, Mar. 2002. [2] E. E. Funk, V. J. Urick, and F. Bucholtz, “High dynamic range, long haul ( 100 km) radio over fiber,” in Microwave Photonics, C. H. Lee, Ed. Boca Raton, FL: CRC Press, 2007, pp. 185–212. [3] E. I. Ackerman and A. S. Daryoush, “Broad-band external modulation fiber-optic links for antenna-remoting applications,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1436–1442, Aug. 1997. [4] G. C. Tavik, C. L. Hilterbrick, J. B. Evans, J. J. Alter, J. G. Crnkovich, Jr., J. W. de Graaf, W. Habicht, II, G. P. Hrin, S. A. Lessin, D. C. Wu, and S. M. Hagewood, “The advanced multifunction RF concept,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1009–1020, Mar. 2005. [5] J. Capmany, B. Ortega, D. Pastor, and S. Sales, “Discrete-time optical processing of microwave signals,” J. Lightw. Technol., vol. 23, no. 2, pp. 702–723, Feb. 2005. [6] J. D. McKinney and A. M. Weiner, “Photonic synthesis of ultra broadband arbitrary electromagnetic waveforms,” in Microwave Photonics, C. H. Lee, Ed. Boca Raton, FL: CRC Press, 2007, pp. 213–258. [7] B. Vidal, T. Mengual, C. Lopez, and J. Marti, “Optical beamforming network based on fiber-optic delay lines and spatial light modulators for large antenna arrays,” IEEE Photon. Technol. Lett., vol. 18, no. 24, pp. 2590–2592, Dec. 2006. [8] J. D. McKinney, M. Godinez, V. J. Urick, S. Thaniyavarn, W. Charczenko, and K. J. Williams, “Sub-10 dB noise figure in a multiple-GHz analog optical link,” IEEE. Photon. Technol. Lett., vol. 19, no. 7, pp. 465–467, Apr. 2007. [9] C. H. Cox III, E. I. Ackerman, G. E. Betts, and J. L. Prince, “Limits on the performance of RF-over-fiber links and their impact on device design,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 906–920, Feb. 2006. [10] C. H. Cox, III, Analog Optical Links Theory and Practice. Cambridge, U.K.: Cambridge Univ. Press, 2004. [11] F. Bucholtz, V. J. Urick, and A. L. Campillo, “Comparison of crosstalk for amplitude and phase modulation in an analog fiber optic link,” in IEEE Microw. Photon. Tech. Dig., Ogunquit, ME, Oct. 2004, pp. 66–69. [12] M. S. Rogge, V. J. Urick, F. Bucholtz, K. J. Williams, and P. Knapp, “Comparison of amplitude and phase modulation crosstalk in hyperfine WDM fiber optic links,” in CLEO Tech. Dig., Baltimore, MD, May 2005, Paper CMH2. [13] X. Zhang, G. Zhang, C. Xie, and L. Wang, “Noise statistics in optically preamplified differential phase-shift keying receivers with Mach–Zehnder interferometer demodulation,” Opt. Lett., vol. 29, pp. 337–339, Feb. 2004.

>

[14] S. Yamashita and T. Okoshi, “Suppression of common-mode beat noise from optical amplifiers using a balanced receiver,” Electron. Lett., vol. 28, no. 21, pp. 1970–1971, Oct. 1992. [15] K. J. Williams, L. T. Nichols, and R. D. Esman, “Externally-modulated 3 GHz fibre optic link utilizing high current and balanced detection,” Electron. Lett., vol. 33, no. 15, pp. 1327–1328, Jul. 1997. [16] V. J. Urick, F. Bucholtz, J. L. Dexter, K. J. Williams, and C. McDermitt, “Increased spurious-free dynamic range for an all-Raman 105 km link using phase modulation and balanced detection,” in CLEO Tech. Dig., San Francisco, CA, May 2004, Paper CThBB. [17] A. H. Gnauck, X. Liu, X. Wei, D. M. Gill, and E. C. Burrows, “Comparison of modulation formats for 42.7-Gb/s single-channel transmission through 1980 km of SSMF,” IEEE Photon. Technol. Lett., vol. 16, no. 3, pp. 909–911, Mar. 2004. [18] V. J. Urick, J. X. Qiu, and F. Bucholtz, “Wide-band QAM-over-fiber using phase modulation and interferometric demodulation,” IEEE Photon. Technol. Lett., vol. 16, no. 10, pp. 2374–2376, Oct. 2004. [19] A. H. Gnauck, P. J. Winzer, C. Dorrer, and S. Chandrasekhar, “Linear and nonlinear performance of 42.7-Gb/s single polarization RZ-DQPSK format,” IEEE Photon. Technol. Lett., vol. 18, no. 7, pp. 883–885, Apr. 2006. [20] M. J. LaGasse and S. Thaniyavarn, “Bias-free high-dynamic-range phase-modulated fiber-optic link,” IEEE Photon. Technol. Lett., vol. 9, no. 5, pp. 681–683, May 1997. [21] F. Bucholtz, V. J. Urick, and K. J. Williams, “Performance of analog photonic links employing phase modulation,” presented at the OSA COTA Conf., Whistler, BC, Canada, Jun. 2006, Paper CFA6. [22] R. F. Kalman, J. C. Fan, and L. G. Kazovsky, “Dynamic range of coherent analog fiber-optic links,” J. Lightw. Technol., vol. 12, no. 7, pp. 1263–1277, Jul. 1994. [23] A. Madjar, “Performance prediction and optimization of a coherent phase modulated low noise analog optical link operating at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 801–806, May 1994. [24] V. J. Urick, M. S. Rogge, F. Bucholtz, and K. J. Williams, “The performance of analog photonics links employing highly compressed erbium-doped fiber amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3141–3145, Jul. 2006. [25] V. J. Urick, F. Bucholtz, and K. J. Williams, “Optically-amplified short-length analog photonic links,” presented at the IEEE Microw. Photon. Top. Meeting, Grenoble, France, Oct. 2006, Paper W2.2. [26] M. R. Salehi and B. Cabon, “Theoretical and experimental analysis of influence of phase-to-intensity noise conversion in interferometric systems,” J. Lightw. Technol., vol. 22, no. 6, pp. 1510–1518, Jun. 2004. [27] R. W. Tkach and A. R. Chraplyvy, “Phase noise and linewidth in an InGaAsP DFB laser,” J. Lightw. Technol., vol. LT-4, no. 11, pp. 1711–1716, Nov. 1986. [28] D. A. Tulchinsky, X. Li, N. Li, S. Demiguel, J. C. Campbell, and K. J. Williams, “High-saturation current wide-bandwidth photodetectors,” IEEE J. Sel. Topics Quantum Electron., vol. 10, no. 4, pp. 702–708, Jul./Aug. 2004. [29] N. Li, H. Chen, M. Liu, S. Demiguel, R. Sidu, A. L. Holmes, Jr., and J. C. Campbell, “High power photodiode wafer bonded to Si using Au with improved responsivity and output power,” IEEE Photon. Technol. Lett., vol. 18, no. 23, pp. 2526–2528, Dec. 2006.

Vincent J. Urick (M’05) received the B.S. degree (magna cum laude) in physics (with minors in electronics and mathematics) from Bloomsburg University, Bloomsburg, PA, in 2001, and the M.S. and Ph.D. degrees in physics from George Mason University, Fairfax, VA, in 2005 and 2007, respectively. In 2001, he joined the U.S. Naval Research Laboratory, Washington, DC, where he is a Research Physicist with the Photonics Technology Branch, involved with the development of analog-photonic systems, subsystems, and components. His current research interests include long-haul analog photonics, low-noise analog photonics, and highly linear analog photonics. Dr. Urick is a member of the Optical Society of America, Sigma Pi Sigma, and Phi Kappa Phi.

URICK et al.: PHASE MODULATION WITH INTERFEROMETRIC DETECTION AS ALTERNATIVE TO IMDD FOR ANALOG-PHOTONIC LINKS

Frank Bucholtz (M’81) received the B.S. degree in physics and mathematics from Wayne State University, Detroit, MI, in 1975, and the M.S. and Ph.D. degrees in physics from Brown University, Providence, RI, in 1977 and 1981, respectively. From 1981 to 1983. he was a National Research Council (NRC) Post-Doctoral Research Associate with the Naval Research Laboratory (NRL), Washington, DC, where he conducted research in the area of ferrimagnetic devices for microwave signal processing. As a Research Physicist with the NRL, he was active in the areas of fiber-optic magnetic and chemical sensors, hyperspectral imaging for airborne surveillance, and analog photonics. He is currently Head of the Advanced Photonics Section, Optical Sciences Division, NRL, where he guides research and development in microwave photonics, integrated optic device fabrication, and microphotonic devices.

Preetpaul S. Devgan (S’03–M’07) received the B.S. degree in computer and electrical engineering and M.S. degree in electrical engineering from Purdue University, West Lafayette, IN, in 1996 and 1998, respectively, and the Ph.D. degree in electrical engineering from Northwestern University, Evanston, IL in 2006. From 1998 to 2001, he was a Member of Technical Staff with the Optical Networking Group, Lucent Technologies. He is currently a Research Engineer with the Naval Research Laboratory, Washington, DC, where he is involved with analog photonic systems. His current research interests include long-haul analog photonic link design, opto-electronic oscillators, and low-noise fiber amplifiers.

1985

Jason D. McKinney (S’99–M’03) received the Ph.D. degree in electrical engineering from Purdue University, West Lafayette, IN, in 2003. From Jul. 2001 to May 2003, he was a Graduate Assistance in Areas of National Need (GAANN) Fellow, during which time he was active in both teaching and research with Purdue University. His doctoral research included the first demonstration of ultrafast optical pulse-shaping techniques for synthesis of arbitrarily shaped millimeter waveforms exhibiting arbitrary phase and frequency modulation at center frequencies up to 50 GHz. Upon completion of the Ph.D. degree, he was a Visiting Assistant Professor (2003–2005) and Research Scientist (2005–2006) with the School of Electrical and Computer Engineering, Purdue University. He is currently a Research Scientist with the U.S. Naval Research Laboratory, Washington, DC. His research interests include low-noise high-power analog optical links, ultrafast optical pulse processing, and applications of photonics in ultra-broadband microwave systems. Dr. McKinney is a member of the Optical Society of America (OSA).

Keith J. Williams (S’86–M’89) received the B.S. degree (cum laude) in electrical engineering from the University of Nebraska, Lincoln, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from the University of Maryland at College Park, in 1989 and 1994, respectively. His doctoral dissertation concerned the area of microwave p-i-n photodetector nonlinearities. In 1987, he joined the Optical Sciences Division, U.S. Naval Research Laboratory, Washington, DC, where his research interests include characterization and performance of microwave optical devices, microwave fiber-optic links and systems, high-speed opto-electronics, new concepts for solving microwave-related problems with fiber-optic solutions, and high current photodiodes. Dr. Williams is a member of the Optical Society of America and Tau Beta Pi.

1986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme Chan H. See, Raed A. Abd-Alhameed, and Peter S. Excell, Senior Member, IEEE

Abstract—When modeling objects that are small compared with the wavelength, e.g., biological cells at radio frequencies, the standard finite-difference time-domain (FDTD) method requires extremely small time-step sizes, which may lead to excessive computation times. The problem can be overcome by implementing a quasi-static approximate version of FDTD based on transferring the working frequency to a higher frequency and scaling back to the frequency of interest after the field has been computed. An approach to modeling and analysis of biological cells, incorporating a generic lumped-element membrane model, is presented here. Since the external medium of the biological cell is lossy material, a modified Berenger absorbing boundary condition is used to truncate the computation grid. Linear assemblages of cells are investigated and then Floquet periodic boundary conditions are imposed to imitate the effect of periodic replication of the assemblages. Thus, the analysis of a large structure of cells is made more computationally efficient than the modeling of the entire structure. The total fields of the simulated structures are shown to give reasonable and stable results at 900, 1800, and 2450 MHz. This method will facilitate deeper investigation of the phenomena in the interaction between electromagnetic fields and biological systems. Index Terms—Finite difference time domain (FDTD), Floquet periodic boundary conditions, quasi-static method.

I. INTRODUCTION

R

ESEARCH INTO possible mechanisms of interaction of electromagnetic (EM) fields with biological tissues and cells in culture has motivated a growing need for accurate models describing the EM behavior of cells exposed to these fields. Therefore, several numerical models have been created in order to study the interaction between EM fields and biological entities, at the tissue, cell, and ionic levels. In this area, the most frequently used technique for computing the EM field is the finite-difference time-domain (FDTD) method [1], [2] due to its independence from the material parameters. The original FDTD method requires extremely small time-step sizes when modeling electrically small regions (much smaller than a wavelength): this is especially the case when modeling biological cells since they have maximum dimensions of a few tens of micrometers. Thus, it can become impractical due to the unaffordable computation times required. This problem can be solved by implementing a quasi-static approximate version of FDTD. This approach is based on transferring

Manuscript received August 4, 2006; revised March 24, 2007. The authors are with the Mobile and Satellite Communication Research Centre, University of Bradford, Bradford BD7 1DP, U.K. (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.904064

the working frequency to a higher frequency to reduce the number of time steps required. The generated internal field at the higher frequency can then be scaled back to the frequency of interest [3]–[6]. Cells are surrounded by thin membranes, typically a few nanometers thick [7]. They are the major barrier in the cell, separating the inside of the cell from the exterior medium. It is this structure that allows cells to selectively interact with their environment. Therefore, the cell membrane has been identified as the primary target for the study of possible actions of EM fields on biological structures. Since the thickness of the membrane is approximately 1000 times smaller than the width of a typical biological cell, if the standard FDTD procedure were to be blindly applied to model detail in the membrane within a complete cell model, this would cause some millions of iterations to be required to complete one cycle of simulation. This again would cause excessive computation time. To overcome this drawback in standard FDTD, the lumped-element FDTD method [8]–[11] was implemented to model the behavior of the membrane. A generic long-established model (the Hodgkin–Huxley (HH) model [12]–[16]) was used to demonstrate the functionality of this method: it is known that the HH model is imperfect for microwave frequencies, but it is reasonable to use it as a working hypothesis (as have others [4]) to develop modeling techniques while operational versions of improved models are still in development. For the sake of simplicity, the analyzed structure has been represented with spherical or cubical cells, and Floquet periodic boundary conditions [17]–[20] have been applied to the border of the analyzed structure in order to mimic the presence of the surrounding cells. Although cellular tissues are not perfectly periodic and living cells are not precisely spheres or cubes, these approximations allow a reasonable approach to the modeling of biological tissue using only a small part of the structure, while alleviating the problem of the huge requirement of computer resources for the simulation of a complete body of tissue. Since the external medium of the biological tissue is lossy fluid, the modified Berenger perfectly matched layer (PML) absorbing boundary condition [21]–[24] is used to truncate the computation grid, in order to reduce the reflections on the interface layers: this is more accurate than the Mur boundary condition [25], [26] used in other recent work [4]. A further difficulty is the limited extent of studies on the dielectric properties of cell tissues [27]; thus, the complex permittivity of each cell tissue is not clearly established for radio frequencies. However, in this study, an analytical method for estimating the electrical properties of cell tissues in the RF band

0018-9480/$25.00 © 2007 IEEE

SEE et al.: COMPUTATION OF EM FIELDS IN ASSEMBLAGES OF BIOLOGICAL CELLS USING MODIFIED FDTD SCHEME

[28] will be adopted throughout the analysis. Earlier work only considered two media (water and membrane) [4], but the procedure adopted here enables the tissue model to consist of three media (lossy medium, membrane, and cytoplasm). In addition, a mass of connected biological tissue is simulated by creating an equivalent stack of compacted cells (both spherical, with interstices, and fully compacted cubical). The total electric fields along the central axes of rows of these spherical and cubical cellular structures will be investigated. II. SUMMARY OF METHOD A. Quasi-Static FDTD Scheme The interaction between animals and humans exposed to extremely low-frequency electric fields was investigated by Kaune and Gillis [29] and Guy et al. [30] in 1981 and 1982, respectively. Their research results furnish valuable analytical and experimental verification of the concept of quasi-static coupling at power-line frequencies. Later authors [5], [6], [31] implemented the same principles using FDTD to study the numerical dosimetry of anatomically based models. Recently, the same idea was further extended to modeling the interaction between EM fields and biological tissue at mobile communication frequencies, i.e., GSM900 and GSM1800 [4]. In order to implement the quasi-static approximation to analyze scattering problems, the maximum dimension of the structure under investigation must be less than approximately onetenth of the wavelength in the surrounding medium [29], [30]. According to the scaling relationship between the fields at frethat was derived in [5] and [30], a higher quencies and that still falls within the quasi-static working frequency regime can be chosen to excite the model to reduce the computation time. B. Modified Berenger PML The PML, introduced by Berenger [21] in 1994, allowed boundary reflections below 80 dB to be realized. The PML is based on surrounding the FDTD problem space with a highly lossy and matched nonphysical absorber. It has been found to be the most accurate technique of the absorbing boundary conditions available and has become standard in most current FDTD implementations [32]. For the case of PML layers with conductivities increasing geometrically, the geometric grading factor ( ) can be modified in order to reduce the reflection on the interface layer when the problem space is entirely within a lossy-medium environment. An empirical expression from which can be found, and which has been found to give good results [23], [24] is (1) is the spatial increment of the FDTD mesh, is where the normal reflection coefficient, is the number of the cells in the PML thickness, and is the velocity of EM waves in the environment concerned.

1987

C. Floquet Periodic Boundary Condition Many structures of EM interest are electrically very large and, hence, pose great difficulties for computational simulation. One approach that can be used to reduce the size of the computational task is to exploit any periodicity in the structure in one or more dimensions: this concept will be exploited here, assuming that a sample of tissue is formed from a periodic grid of biological cells. In order to perform EM analysis on these types of structure with reasonable computational time, the structures are assumed to be an infinite grid and the problem can then be reduced to a unit-cell analysis via use of the Floquet boundary condition to simulate the effect of the periodic replication. The FDTD technique was applied to the basic structure due to its simplicity and flexibility. FDTD has already been successfully extended to incorporate the Floquet theorem for the case of normal [17], [33] and oblique incidence [34], [35] for 2-D and 3-D problems. The techniques used to combine FDTD with the Floquet periodic boundary condition can be classified into two categories, i.e., direct field methods and field transformation methods [2]. D. Lumped-Element Membrane Model Cells are surrounded by a thin membrane, which is the major barrier separating the cell from its environment (normally a liquid). Since the cell needs to get nutrients in and waste out, the membrane must be able to accommodate this. Therefore, the membrane has to act as a selective barrier, allowing nutrients to pass in, but keeping out many substances harmful to the cell, and acting as a dynamic barrier medium, constantly adapting to changing environmental conditions (e.g., different concentrations of ions). The dimensions of a biological cell are around a few tens of micrometers and the thicknesses of the membranes are in the scale of a few nanometers, strongly depending on the type of the tissue. Depending on the type of the cell, voltages in the range of 20–200 mV can arise across the membrane. When the cell is in a resting state, the current across the membrane averages zero, but more generally, it depends on the variation of the membrane voltage [12]. Hodgkin and Huxley gave a general description of the time course of the current that flows through the membrane of the squid giant axon when the potential difference across the membrane was suddenly changed from its steady state. The results in [12] suggest that the behavior of membrane may be represented by an electric circuit [4], [12]. Current can be carried through the membrane either by charging the membrane capacitance or by movement of ions through the nonlinear conductance in parallel with the membrane capacitance. A set of equations governing the model is given in [4] and [12]. III. IMPLEMENTATION AND VALIDATION A. Lumped-Element Model Implementation To verify the correctness of the implementation of the HH model within the FDTD framework, the results of the analytically computed solution have been used for comparison. The

1988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Fig. 1. Field distribution in and around a single isolated cell.

Fig. 2. Electric field (E ) distribution along principal axes of a double-layer sphere in lossy medium, excited by a plane wave of 1 V/m at 2450 GHz.

HH model was implemented on a spherical structure with diameter of 50 m and discretized with 1- m steps in order to check for the expected polarization voltage of 60.27 mV on the membrane [4]. The HH model was included on the surface of the cell, while the regions internal and external to the sphere were considered as cytoplasm and lossy medium, respectively. It should be noted that the lumped-element FDTD method has been successfully modified in order to allow arbitrary positioning of lumped elements inside FDTD elements representing the membrane, not necessarily aligned with the FDTD grid [4], so that they represent the structure more exactly than simple FDTD. Fig. 1 depicts the expected polarization voltage of 60.27 mV appearing on the membrane of the spherical structure without any external excitation.

B. Quasi-Static FDTD Validation Here, a simple example will be given to illustrate this method: the results will be compared with the Mie series analytical solution [36], [37]. A two-layer sphere simulating a biological cell inside a lossy medium was considered for which the assumed properties were as follows [38]: cyto, S/m; membrane plasm (internal) , S/m; external lossy medium , S/m. The radius of the internal region was 25 m and the membrane thickness was set to 2 m. The operating frequency was 2.45 GHz, whereas the interim transformed frequency used in this example was 30 GHz. From (1), the optimum grading factor is 6.07 for an FDTD cell size of 1 m. It should be noted that this model in the FDTD computation domain is excited by a standard plane wave of amplitude 1 V/m, propagating in the -direction and polarized in the -direction. The field distributions along the two central axes of the layered cell are depicted in Figs. 2 and 3. As can be seen, the numerical results are in good agreement with the analytical ones. It should

Fig. 3. Electric field (E ) distribution along the x-axis for double-layer sphere in lossy medium, excited by plane wave of 1 V/m at 2450 GHz.

be noted that the method was executed for a total time equal to four cycles. C. Implementation of Floquet Boundary Condition The implementation of Floquet boundary conditions, quasistatic FDTD, and the current modified PML for a lossy medium excited by a 100-V/m plane wave at an operating frequency of 900 MHz is demonstrated here. The lossy medium properties and S/m. The problem space and cell were sizes were 21 21 121 and 10 m, respectively. The Floquet boundary conditions were imposed on four sides of the lossy medium. The remaining two sides were each terminated by a PML of six cells. The analyses were performed at 10, 15, and 20 GHz and then transferred back to the desired operating frequency of 900 MHz. As can be observed in Fig. 4, the analytical and computed results are in good agreement.

SEE et al.: COMPUTATION OF EM FIELDS IN ASSEMBLAGES OF BIOLOGICAL CELLS USING MODIFIED FDTD SCHEME

1989

Fig. 4. Electric field along the center of the lossy medium. Fig. 6. 3-D view of the basic simulated spherical structures in the FDTD computational domain. TABLE I ELECTRICAL PROPERTIES OF THE SIMULATED MEDIA AT RELEVANT FREQUENCIES

Fig. 5. 2-D view of the simulated periodic structure in the FDTD computational domain, extended by the Floquet boundary condition.

IV. SIMULATION AND RESULTS A. Connected Tissue Model Using Spherical Cells A stack of ten spherical cells was investigated, as shown in Figs. 5 and 6. The radius of the each cell was 10 m. The model contained three media, cytoplasm, membrane, and extracellular medium, and the dielectric properties of these were obtained from [28], as tabulated in Table I. A plane wave of 100 V/m propagating in the -direction and polarized in the -direction was used as the excitation. Note that the incident plane wave excitation was applied on a plane lying between the PML region and the outer limit of the FDTD grid. In addition, in order to reduce high-frequency transients [39], [40] and dc offsets [41], [42] sometimes associated with unramped sine-wave excitations, the ramped sinusoidal source in (2) was adopted, multifunctions plying the excitation source of 100 V/m with the given [41]

(2)

where is the duration of the ramped cosine regime, which is approximately three source cycles. The PML, shown in Fig. 6, was six FDTD elements wide, the grading factor was 10.1383, and the grid structure was effectively extended to infinity in the - and -directions by imposing the Floquet boundary condition along the - and -axes. The Floquet periodic boundary condition plays an important role to mimic the presence of an extended 3-D structure of biological cells, simulating connected tissue. This can be easily imagined in two dimensions, as shown in Fig. 5. The FDTD problem space was 220 20 20 FDTD elements of size 1 m, while a discretization time step of 1.3 fs was chosen to drive the FDTD computation to meet the requirements of the Courant stability criterion. Before implementing the HH lumped-element model into the simulated structure, the effect of moving the Floquet boundaries gradually away from the simulated structure was studied. Figs. 7 and 8 depict the field distribution through the center of the simulated structure at 10 GHz with varying locations of the Floquet is the number of FDTD elements beboundaries, where tween the Floquet boundaries and the boundaries of the biological cells, in the - and -directions. Fig. 9 shows the field distribution on the -plane of the simulated structure for the case of . When the Floquet boundaries are exactly adjacent , the strongest coupling to the simulated structure effect between cells can be obtained: the highest induced field on the membrane and lowest induced field in the cytoplasm of the cell can be observed. Conversely, when the Floquet boundaries are far away from the simulated structure ,

1990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

TABLE II FREQUENCY SCALING TRANSFORMATION FACTOR FROM 10 GHz TO THE MOBILE COMMUNICATION FREQUENCIES GIVEN

xz

z

Fig. 7. Electric field distribution along -axis, through the center of the simulated structure, showing effect of different spacings to the Floquet boundary condition ( cell is the number of FDTD elements from the biological cell wall to the boundary).

Fig. 10. Modulus of the electric field on -plane at intermediate frequency of 10 GHz with Floquet boundary adjacent to the biological cell walls.

N

z

Fig. 11. Electric field distribution along -axis through the center of the simulated structure in Fig. 10.

Fig. 8. Electric field distribution (enlargement of Fig. 7).

xz

Fig. 9. Modulus of the electric field on the -plane at intermediate frequency 10 GHz, with Floquet boundary spaced 20 FDTD elements from the biological cell walls.

the lowest induced field on the membrane and highest induced field in the cytoplasm of the cell are observed. It should be noted that all the following analysis will be based on , which is assumed to be the most appropriate model for the real living biological tissues or cells in this microdosimetry study. The simulations were performed at the transformed intermediate frequency of 10 GHz and the overall model was then transformed to the intended lower frequencies. Table II reports the

transformation factors at 900, 1800, 2000, and 2450 MHz that were used in the analysis [43]. Fig. 10 illustrates the 10-GHz field distribution on the -plane of the simulated structure. The distributions of the electric field through the center of the simulated structure, along the incident wave propagation direction at 900, 1800, 2000, and 2450 MHz, are given in Figs. 11 and 12, where Fig. 12 is an enlarged version of Fig. 11. From inspection of Fig. 12, the field inside the cells is not constant and the induced field intensity is directly proportional to the frequency. In the other words, the higher the operating frequency used to excite the model, the higher the electric field intensity that will be induced within the analyzed structures. To complete the simulation, the HH models were embedded in the surface of the spherical cells, in a direction normal to the surface, to represent the membrane effect of the tissue model. Versions including this were studied at frequencies of 900 and 2450 MHz. As can be seen in Figs. 13 and 14, there is a difference of approximately 15% in the field strength due to the contribution of the membrane effect from the HH model: these variations were in good agreement with expectations [4], [12], [28].

SEE et al.: COMPUTATION OF EM FIELDS IN ASSEMBLAGES OF BIOLOGICAL CELLS USING MODIFIED FDTD SCHEME

1991

Fig. 15. 3-D view of the simulated cubical structures in the FDTD computational domain.

Fig. 12. Electric field distribution along z -axis through the center of the simulated structure in Fig. 11 (enlargement).

Fig. 16. Modulus of the electric field on xz -plane at intermediate frequency 10 GHz with Floquet boundary adjacent to the biological cell walls.

Fig. 13. Electric field distribution along z -axis through the center of the simulated spherical structure in Fig. 10, incorporating HH model, and driven at 900 MHz. Fig. 17. Electric field distribution along z -axis through the center of the simulated cubical structure.

B. Connected Tissue Model Using Cubical Cells

Fig. 14. Same as Fig. 13, driven at 2450 MHz.

Since living cells, when compacted into connected tissue, are not perfect spheres, a cluster of cubical cells was chosen for study on the foundation of the previous spherical-cells analysis. Fig. 15 depicts the proposed cluster of cubical cells in a 3-D view of the FDTD computational domain. In order to compare the results obtained from the previous model with this analysis, an FDTD simulation was executed, keeping the same parameter values as in the previous configuration. The 2-D view of the electric field inside the cubical-cell tissue is shown in Fig. 16. The field distributions along the propagation direction of the incident wave, through the center of the simulated structure at various frequencies, are illustrated in Figs. 17 and 18. The contribution of the HH model to the cubical tissue model has also been

1992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

The peak field on the membrane of the cubical structure is observed to be approximately three times higher than in the cytoplasm, which agrees well with the results from the structure based on spherical cells. However, the absolute field strength is approximately doubled in the spherical-cell case, presumably because of the curvature at the points studied: it is to be expected that much higher fields would be observed at the corners of the cubical cells, but it might be argued that, as a localized matter, these points do not correspond well with biological reality. V. CONCLUSION

Fig. 18. Electric field distribution along z -axis through the center of the simulated cubical structure (enlargement of Fig. 17).

Fig. 19. Electric field distribution along z -axis through the center of the simulated cubical-cell structure in Fig. 16, incorporating HH model, and driven at 900 MHz.

An approach to microdosimetric modeling of bioelectromagnetic interactions at the cellular level has been presented. This uses the FDTD method, combined with an arbitrarily oriented implementation of a generic lumped-element (HH) cell-membrane model and the Floquet periodic boundary condition. By implementing a frequency-scaling approach, the number of FDTD time steps for such an electrically small structure can be reduced from several millions to a few tens of thousands. The reflection on the interface layers inside the FDTD computation domain has also been successfully reduced, even though it is within lossy penetrable media, by using a modified version of Berenger’s absorbing boundary condition. The accuracy of the FDTD scaling approach was verified with idealized models of spherical cells in lossy media. The feasibility of the inclusion of the lumped-element model inside the FDTD computation domain was demonstrated. This leads to the conclusion that the application of such models allows cells of arbitrary geometries to be handled and demonstrates the viability of embedding other types of lumped-element model for membrane behavior, which is desirable since the HH model is imperfect for microwave frequencies, although it is reasonable to use it as a working hypothesis [4] to develop modeling techniques. Use of the Floquet boundary condition enables a nontrivial region of connected biological tissue to be simulated. Such a tool will facilitate deeper investigation of the phenomena in the interaction between EM fields and biological systems at various levels of spatial definition. The combination of quasi-static FDTD with an arbitrarily oriented lumped-element membrane model, the modified Berenger absorbing boundary condition, and the Floquet periodic boundary condition represents a significant advance in verisimilitude of biological cell modeling. REFERENCES

Fig. 20. Same as Fig. 19, driven at 2450 MHz.

investigated, as shown in Figs. 19 and 20. The effect of adding this model is approximately 15% difference in field, as can be seen from these figures.

[1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equation in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–307, May 1966. [2] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Boston, MA: Artech House, 2000. [3] O. P. Gandhi, “FDTD in bioelectromagnetics: Safety assessment and medical applications,” in Advances in Computational Electrodynamics: The Finite-Difference Time-Domain Method, A. Taflove, Ed., 1st ed. : Artech House, 1998, pp. 627–632. [4] G. Emili, A. Schiavoni, F. L. Roselli, and R. Sorrentino, “Computation of electromagnetic field inside a tissue at mobile communications frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 178–186, Jan. 2003.

SEE et al.: COMPUTATION OF EM FIELDS IN ASSEMBLAGES OF BIOLOGICAL CELLS USING MODIFIED FDTD SCHEME

[5] O. P. Gandhi and J. Chen, “Numerical dosimetry at power-line frequencies using anatomically based models,” Bioelectromagnetics, vol. 13, pp. 43–60, 1992, Suppl. 1. [6] M. E. Potter, M. Okoniewski, and M. A. Stuchly, “Low frequency finite difference time domain (FDTD) for modelling of induced fields in humans close to line sources,” J. Comput. Phys., vol. 162, pp. 82–103, 2000. [7] L. M. Liu and S. F. Cleary, “Absorbed energy distribution from radio frequency electromagnetic radiation in a mammalian cell model: Effect of membrane-bound water,” Bioelectromagnetics, vol. 16, pp. 160–171, 1995. [8] W. Sui, D. A. Christensen, and C. H. Durney, “Extending the two-dimensional FDTD method to hybrid electromagnetic systems with active and passive lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 724–730, Apr. 1992. [9] M. Piket-May, A. Taflove, and J. Baron, “FDTD modeling of digital signal propagation in 3-D circuits with passive and active loads,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1514–1523, Aug. 1994. [10] P. Ciampolini, P. Mezzanotte, L. Roselli, D. Sereni, P. Torti, and R. Sorrentino, “Simulation of HF circuits with FDTD technique including nonideal lumped elements,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, 1995, pp. 361–364. [11] C. N. Kuo, B. Houshmand, and T. Itoh, “Full-wave analysis of packaged microwave circuits with active and nonlinear devices: An FDTD approach,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 819–826, May 1997. [12] A. L. Hodgkin and A. F. Huxley, “A quantitative description of membrane current and its application to conduction and excitation in nerve,” J. Physiol., vol. 117, pp. 500–544, 1952. [13] A. L. Hodgkin and A. F. Huxley, “Current carried by sodium and potassium ions through the membrane of the giant axon of Loligo,” J. Physiol., vol. 116, pp. 449–472, 1952. [14] A. L. Hodgkin, A. F. Huxley, and B. Katz, “Measurement of current-voltage relations in the membrane of the giant axon of Loligo,” J. Physiol., vol. 116, pp. 424–448, 1952. [15] A. L. Hodgkin and A. F. Huxley, “The components of membrane conductance in the giant axon of Loligo,” J. Physiol., vol. 116, pp. 473–496, 1952. [16] A. L. Hodgkin and A. F. Huxley, “The dual effect of membrane potential on sodium conductance in the giant axon of Loligo,” J. Physiol., vol. 116, pp. 497–506, 1952. [17] W. J. Tsay and D. M. Pozar, “Application of the FDTD technique to periodic problems in scattering and radiation,” IEEE Microw. Guided Wave Lett., vol. 3, no. 8, pp. 250–252, Aug. 1993. [18] J. Ren, O. P. Gandhi, L. R. Walker, J. Fraschilla, and C. R. Boerman, “Floquet-based FDTD analysis of two-dimensional phased array antennas,” IEEE Microw. Guided Wave Lett., vol. 4, no. 4, pp. 109–111, Apr. 1994. [19] A. Alexanian, N. J. Kolias, R. C. Compton, and R. A. York, “Three-dimensional FDTD analysis of quasi-optical arrays using Floquet boundary conditions and Berenger’s PML,” IEEE Microw. Guided Wave Lett., vol. 6, no. 3, pp. 138–140, Mar. 1996. [20] J. G. Maloney and M. P. Kesler, “Analysis of periodic structures,” in Computational Electrodynamics: The Finite-Difference Time-Domain Method, A. Taflove, Ed., 2nd ed. London, U.K.: Artech House, 2000, pp. 569–625. [21] J. Berenger, “A perfectly matched layer for absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, 1994. [22] D. S. Katz, E. T. Thiele, and A. Taflove, “Validation and extension to three dimensions of the Berenger PML absorbing boundary condition for FD-TD meshes,” IEEE Microw. Guided Wave Lett., vol. 4, no. 8, pp. 268–270, Aug. 1994. [23] J. Berenger, “Perfectly matched layer for the FDTD solution of wavestructure interaction problems,” IEEE Trans. Antennas Propag., vol. 44, no. 1, pp. 110–117, Jan. 1996. [24] J. Berenger, “Improved PML for the FDTD solution of wave-structure interaction problems,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 466–473, Mar. 1997. [25] International FDTD databas. [Online]. Available: http://www.fdtd.org [26] G. Mur, “Absorbing boundary conditions for the finite-difference approximation of the time-domain electromagnetic-field equations,” IEEE Trans. Electromagn. Compat., vol. EMC-23, no. 4, pp. 377–382, Nov. 1981. [27] H. Ebara, K. Tani, T. Onishi, S. Uebayashi, and O. Hashimoto, “Method for estimating complex permittivity based on measuring effective permittivity of dielectric mixtures in radio frequency band,” IEICE Trans. Commun., vol. E88-B, pp. 3269–3274, 2005.

1993

[28] T. Kotnik and D. Miklavcic, “Theoretical evaluation of the distributed power dissipation in biological cells exposed to electric fields,” Bioelectromagnetics, vol. 21, pp. 385–394, 2000. [29] W. T. Kaune and M. F. Gillis, “General properties of the interaction between animals and ELF electric fields,” Bioelectromagnetics, vol. 2, pp. 1–11, 1981. [30] A. W. Guy, S. Davidow, G. Y. Yang, and C. K. Chou, “Determination of electric current distributions in animals and humans exposed to a uniform 60-Hz high-intensity electric field,” Bioelectromagnetics, vol. 3, pp. 47–71, 1982. [31] J. D. Moerloose, T. W. Dawson, and M. A. Stuchly, “Application of the finite difference time domain algorithm to quasi-static field analysis,” Radio Sci., vol. 32, pp. 329–341, 1997. [32] D. T. Prescott and N. V. Shuley, “Reflection analysis of FDTD boundary conditions—Part II: Berenger’s PML absorbing layers,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1171–1178, Aug. 1997. [33] E. A. Navarro, B. Gimeno, and J. L. Cruz, “Modelling of periodic structures using the finite-difference time-domain method combined with the Floquet theorem,” Electron. Lett., vol. 29, pp. 446–447, 1993. [34] M. E. Veysoglu, R. T. Shin, and J. A. Kong, “A finite-difference timedomain analysis of wave scattering from periodic surfaces: Oblique incidence case,” J. Electromagn. Waves Applicat., vol. 7, pp. 1595–1607, 1993. [35] D. T. Prescott and N. V. Shuley, “Extensions to the FDTD method for the analysis of infinitely periodic arrays,” IEEE Microw. Guided Wave Lett., vol. 4, no. 10, pp. 352–354, Oct. 1994. [36] G. Mie, “Contributions to the optics of diffusing media,” Ann. Phys., vol. 25, p. 377, 1908. [37] J. R. Mautz, “Mie series solution for a sphere,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 5, p. 375, May 1978. [38] C. H. See, R. A. Abd-Alhameed, M. Mutardi, P. S. Excell, and J. Vaul, “Quasi-static FDTD scheme for electrically-small regions in free space and lossless or lossy penetrable media,” in 5th Int. Computat. Electromagn. Conf., Stratford-Upon-Avon, U.K., Apr. 2004, pp. 155–156. [39] C. M. Furse, J. Y. Chen, and O. P. Gandhi, “The use of the frequencydependent finite-difference time-domain method for induced current and SAR calculations for a heterogeneous model of the human body,” IEEE Trans. Electromagn. Compat., vol. 36, no. 2, pp. 128–133, May 1994. [40] D. H. Roper and J. M. Baird, “Analysis of overmoded waveguides using the finite-difference time domain method,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 401–404. [41] C. M. Furse, S. P. Mathur, and O. P. Gandhi, “Improvements to the finite-difference time-domain method for calculating the radar cross section of a perfectly conducting target,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 919–927, Jul. 1990. [42] C. M. Furse, D. H. Roper, D. N. Buechler, D. A. Christensen, and C. H. Durney, “The problem of treatment of DC offsets in FDTD simulations,” IEEE Trans. Antennas Propag., vol. 48, no. 8, pp. 1198–1201, Aug. 2000. [43] C. H. See, R. A. Abd-Alhameed, and P. S. Excell, “Computational modelling of electromagnetics of biological cells using lumped elements in quasi-static FDTD,” in Inst. Phys. Eng. Med. Symp., Glasgow, U.K., Sep. 7–9, 2005, pp. 81–82.

Chan H. See was born in Klang, Malaysia, in 1979. He received the B.Eng degree in electronic, telecommunication and computer engineering (with first-class honors) from the University of Bradford, Bradford, U.K., in 2002, and is currently working toward the Ph.D. degree at the University of Brandford. From 2003 to 2006, he was with the Antennas and Applied Electromagnetic Research Group, Bradford University, where he was involved with numerous projects concentrating on antenna design and computational electromagnetics. He recently became a Research Assistant for a knowledge transfer partnership (KTP) project, to develop wireless low cost communication system for Yorkshire Water, U.K. His main research interests are in the field of computational electromagnetics, antenna design, RF circuit design and wireless sensor network. Mr. See is a member of the Institution of Electrical Engineers (IEE), U.K.

1994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 9, SEPTEMBER 2007

Raed A. Abd-Alhameed was born in Basrah, Iraq, in 1959. He received the B.Sc. and M.Sc. degrees from Basrah University, Basrah, Iraq, in 1982 and 1985, respectively, and the Ph.D. degree from the University of Bradford, Bradford, U.K., in 1997, all in electrical engineering. From 1997 to 1999, he was a Post-Doctoral Research Fellow with the University of Bradford, where he specialized in computational modeling of EM field problems, microwave nonlinear circuit simulation, signal processing of preadaption filters for adaptive antenna arrays, and simulation of active inductance. From 2000 to 2003, he was a Lecturer with the University of Bradford. In August 2003, he became a Senior Lecturer of applied electromagnetics with the University of Bradford. Since September 2005, has been a Reader of radio frequency engineering with the School of Engineering, Design and technology, Bradford University. His current research interests include hybrid EM computational techniques, genetic algorithms, multiple-input–multiple-output (MIMO) systems, antenna design, low specific absorption rate (SAR) antennas for mobile handsets, RF mixers, and active antennas. Dr. Abd-Alhameed is a Chartered Engineer in the U.K. He is a member of the Institution of Electrical Engineers (IEE), U.K. He is a Fellow of the Higher Education Academy.

Peter S. Excell (M’80–SM’84) received the B.Sc. degree in engineering science from the University of Reading, Reading, U.K., in 1970, and the Ph.D. degree from the University of Bradford, Bradford, U.K., in 1980. His doctoral research concerned EM hazards. He is currently a Professor of applied electromagnetics and Associate Dean for Research with the School of Informatics, University of Bradford, where he has worked since 1971. He has authored or coauthored over 200 papers. He also holds two patents. His research interests cover computational electromagnetics, electromagnetic compatibility (EMC), antenna design, bioelectromagnetics, and mobile content. Dr. Excell is a Chartered Engineer in the U.K. He is a Fellow of the Institution of Electrical Engineers (IEE), U.K.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2007.903679

Digital Object Identifier 10.1109/TMTT.2007.907181

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, K. ITOH, J. LIN, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R.-B. WU, A. YAKOVLEV

P. Aaen B. Abbott D. Abbott M. Abdulla M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar R. Achar D. Adam E. Adler M. Adlerstein M. Afsar K. Agawa D. Ahn H. R. Ahn M. Aikawa J. Aikio J. S. Aine C. Aitchison M. Akaike S. Aksoy M. I. Aksun A. Al-Zayed F. Alessandri M. Ali F. Alimenti R. Allam D. Allstot A. Alphones A. Alu S. Amari T. Anada K. Andersson Y. Ando P. Andreani A. Andrenko M. Andrés K. Anemogiannis K. S. Ang I. Angelov S. Anlage G. Antonini H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano K. Ashby A. Atalar J. Atherton A. Atia S. Auster I. Awai A. Aydiner M. S. Ayza A. Babakhani P. Baccarelli W. Baechtold I. Bahl S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball A. Banai S. Banba J. W. Bandler R. Bansal D. Barataud I. Barba A. Barbosa Z. Bardai F. Bardati I. Bardi A. Barel S. Barker D. Barlage F. Barnes R. Bashirullah D. Becker C. Bell T. M. Benson T. Berceli J. Bernhardt M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua W. T. Beyene A. Beyer A. V. Bezooijen S. Bharj K. Bhasin K. Bhattacharjee F. Bi M. Bialkowski E. Biebl S. Bila A. L. Billabert H. Bilzer T. Biondi A. Biswas M. Blank P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar G. Bonaguide G. Bonmassar J. C. Bor V. Boria O. Boric-Lubecke A. Borji J. Bornemann G. Borzì W. Bosch R. Bosisio

H. Boss M. V. Bossche S. Boumaiza K. Boutros C. R. Boyd M. Bozzi J. E. Bracken R. Bradley J. Brannan J. R. Bray T. J. Brazil M. Bressan P. Bretchko K. Breuer A. Brown S. Brozovich D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge J. Burghartz P. Burghignoli N. Buris B. Cabon P. Cabral C. C. Cadenas M. K. Caldera C. Caloz E. Camargo R. Cameron N. Camilleri C. Campbell M. Campovecchio A. Cangellaris W. Cantrell F. Capolino A. Cappy R. Carter N. B. Carvalho P. Casas F. Caspers R. Caverly M. Celuch D. Chadha S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. C. Chang C. R. Chang C. Y. Chang F. Chang K. Chang S. F. Chang H. Chapell W. J. Chappell M. Chatras A. Cheldavi C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen Y. Chen Y. J. Chen Z. D. Chen K. K. Cheng C. Cheon S. T. Chew W. C. Chew C. Y. Chi Y. C. Chiang A. Chin B. S. Chiou A. Chizh C. S. Cho C. Choi J. Choi W. Y. Choi M. Chongcheawchamnan D. Choudhury Y. L. Chow A. Christ C. Christodoulou C. Christopoulos T. H. Chu L. H. Chua H. R. Chuang Y. H. Chun Y. Chung T. Cisco P. Civalleri J. Cloete P. Colantonio B. Colpitts M. Condon D. Consonni A. Constanzo M. Converse F. Cooray G. Copeland I. Corbella E. Costamagna C. Courtney Y. L. Coz J. Crescenzi J. Cressler T. Crowe T. J. Cui J. Culver T. Cunha C. Curry W. Curtice H. H. Dam G. Dambrine B. Danly F. Danneville I. Darwazeh A. Darwish A. Daryoush N. Das M. Davidovich C. Davis I. Davis

L. Davis F. De Flaviis D. De Zutter M. DeLisio W. Deal C. Deibele A. Deleniv V. Demir T. Denidni D. Deslandes A. Deutsch Y. Deval L. de Vreede T. Dhaene N. Dib L. Ding A. Djordjevi M. A. Do J. Dobrowolski X. T. Dong W. B. Dou P. Draxler R. Drayton A. Dreher J. L. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. W. Dvorak S. Dvorak J. East K. Eda M. L. Edwards R. Egri R. Ehlers N. Ehsan H. Eisele G. Eisenstein S. El-Ghazaly G. Eleftheriades F. Ellinger G. Ellis T. Ellis B. Elsharawy A. Elsherbeni N. Engheta K. Entesari H. Eom I. Erdin C. Ernst D. Erricolo K. Eselle I. Eshrah M. Essaaidi H. Esteban C. Eswarappa G. Ewell M. C. Fabres C. Fager M. Fahmi D. G. Fang A. Faraone M. Farina W. Fathelbab A. Fathy Y. Feng A. Fernandez P. Ferrari A. Ferrero S. J. Fiedziuszko G. Fikioris J. Fikioris F. Filicori D. Filipovic B. Floyd P. Focardi N. H. Fong K. Foster P. Foster P. Frangos P. Franzon J. C. Freire K. Fujii R. Fujimoto O. Fujiwara H. Fukushima C. M. Furse V. Fusco D. Gabbay T. Gaier B. Galwas D. Gamble O. P. Gandhi J. Gao S. Gao H. Garbe J. A. Garcia K. Gard F. E. Gardiol P. Gardner R. Garg J. L. Gautier S. Gedney F. Gekat F. German S. Gevorgian H. Ghali F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione F. Giannini J. Gilb M. Goano E. Godshalk M. Goldfarb R. Gonzalo S. Gopalsami A. Gopinath R. Gordon G. Goussetis J. Grahn G. Grau A. Grbic A. Grebennikov M. Green I. Gresham

J. Grimm A. Griol D. R. Grischowsky E. Grossman Y. Guan S. Guenneau T. Guerrero M. Guglielmi J. L. Guiraud S. E. Gunnarsson L. Guo Y. Guo A. Gupta C. Gupta K. C. Gupta M. Gupta B. Gustavsen W. Gwarek A. Görür M. Hafizi J. Haala J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness D. Haigh A. Hajimiri A. Halappa D. Halchin D. Ham K. Hanamoto T. Hancock A. Hanke E. Hankui L. Hanlen Z. Hao A. R. Harish L. Harle M. Harris O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett G. Hau R. Haupt J. Hayashi L. Hayden T. Heath J. Heaton S. Heckmann W. Heinrich G. Heiter J. Helszajn R. Henderson H. Hernandez K. Herrick J. Hesler J. S. Hesthaven K. Hettak P. Heydari R. Hicks M. Hieda A. Higgins T. Hiratsuka T. Hirayama J. Hirokawa W. Hoefer J. P. Hof K. Hoffmann R. Hoffmann M. Hoft A. Holden C. Holloway E. Holzman J. S. Hong S. Hong W. Hong K. Honjo K. Horiguchi Y. Horii T. S. Horng J. Horton M. Hotta J. Hoversten H. M. Hsu H. T. Hsu J. P. Hsu C. W. Hsue R. Hu Z. Hualiang C. W. Huang F. Huang G. W. Huang K. Huang T. W. Huang A. Hung C. M. Hung J. J. Hung I. Hunter Y. A. Hussein B. Huyart H. Y. Hwang J. C. Hwang R. B. Hwang M. Hélier G. Iannaccone Y. Iida P. Ikonen K. Ikossi K. Inagaki A. Inoue M. Isaksson O. Ishida M. Ishiguro T. Ishikawa T. Ishizaki R. Islam Y. Isota K. Ito M. Ito N. Itoh T. Itoh Y. Itoh F. Ivanek T. Ivanov M. Iwamoto

Digital Object Identifier 10.1109/TMTT.2007.907180

Y. Iyama D. Jablonski R. Jackson A. Jacob M. Jacob D. Jaeger N. A. Jaeger I. Jalaly V. Jamnejad M. Janezic M. Jankovic R. A. Jaoude J. Jargon B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski A. S. Jazi A. Jelenski S. K. Jeng S. Jeon H. T. Jeong Y. H. Jeong E. Jerby A. Jerng T. Jerse P. Jia X. Jiang J. M. Jin Z. Jin J. Joe J. Joubert M. Jungwirth P. Kabos W. Kainz T. Kaiser T. Kamei Y. Kamimura H. Kamitsuna H. Kanai S. Kanamaluru H. Kanaya K. Kanaya P. Kangaslahtii V. S. Kaper N. Karmakar T. Kashiwa K. Katoh R. Kaul T. Kawai K. Kawakami A. Kawalec S. Kawasaki H. Kayano H. Kazemi M. Kazimierczuk S. Kee L. Kempel P. Kenington A. Khalil A. Khanifar A. Khanna F. Kharabi S. Kiaei J. F. Kiang B. Kim B. S. Kim H. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim N. Kinayman P. Kinget S. Kirchoefer A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura T. Kitazawa J. N. Kitchen M. J. Kitlinski K. Kiziloglu B. Kleveland D. M. Klymyshyn L. Knockaert R. Knoechel K. Kobayashi Y. Kogami T. Kolding N. Kolias J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp B. Kormanyos K. Kornegay M. Koshiba J. Kosinski T. Kosmanis S. Koul I. I. Kovacs S. Koziel A. B. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger H. Ku H. Kubo A. Kucharski C. Kudsia W. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo P. Kuo

H. Kurebayashi K. Kuroda N. Kuster M. Kuzuhara Y. Kwon G. Kyriacou M. K. Kärkkäinen F. Ladouceur K. Lakin P. Lampariello M. Lancaster U. Langmann G. Lapin J. Larson L. Larson J. Laskar C. L. Lau A. Lauer D. Lautru P. Lavrador G. Lazzi C. H. Lee J. F. Lee R. Lee S. Lee S. Y. Lee T. Lee T. C. Lee Y. Lee Y. H. Lee D. Leenaerts Z. Lei G. Leizerovich Y. C. Leong S. Leppaevuori G. Leuzzi Y. Leviatan B. Levitas R. Levy G. I. Lewis H. B. Li H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao S. S. Liao D. Y. Lie L. Ligthart E. Limiti C. Lin F. Lin H. H. Lin J. Lin K. Y. Lin T. H. Lin Y. S. Lin E. Lind L. Lind D. Linkhart P. Linnér A. Lipparini D. Lippens A. S. Liu J. Liu L. Liu P. K. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu I. Lo J. LoVetri S. Long N. Lopez M. Lourdiane G. Lovat D. Lovelace Z. N. Low H. C. Lu K. Lu L. H. Lu S. S. Lu V. Lubecke S. Lucyszyn N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy G. Lyons J. G. Ma Z. Ma S. Maas G. Macchiarella J. Machac M. Madihian K. Maezawa G. Magerl S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto J. Malherbe V. Manasson T. Maniwa R. Mansour D. Manstretta M. H. Mao S. G. Mao A. Margomenos R. Marques G. Martin E. Martinez K. Maruhashi J. E. Marzo D. Masotti G. D. Massa D. Masse A. Materka B. Matinpour A. Matsushima S. Matsuzawa G. Matthaei J. Mayock J. Mazierska

S. Mazumder G. Mazzarella K. McCarthy P. McClay G. McDonald F. Medina A. Á. Melcon C. C. Meng W. Menzel F. Mesa A. C. Metaxas P. Meyer P. Mezzanotte E. Michielssen D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo B. Minnis S. Mirabbasi F. Miranda J. Miranda D. Mirshekar C. Mishra A. Mitchell R. Mittra K. Miyaguchi M. Miyakawa R. Miyamoto K. Mizuno S. Mizushina J. Modelski S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro G. Montoro C. Monzon T. Morawski A. D. Morcillo J. Morente D. Morgan M. Morgan K. Mori A. Morini H. Morishita N. Morita H. Moritake A. Morris J. Morsey H. Mosallaei M. Mrozowski J. E. Mueller L. Mullen S. S. Naeini Y. Nagano V. Nair K. Naishadham M. Nakajima K. Nakamura Y. Nakasha A. Nakayama M. Nakhla J. C. Nallatamby S. Nam S. Narahashi T. Narhi A. Natarajan J. M. Nebus I. Nefedov D. Neikirk B. Nelson S. O. Nelson W. C. Neo A. Neri H. Newman M. Ney D. Ngo E. Ngoya C. Nguyen T. Nichols E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. Nikolova K. Nikoskinen K. Nishikawa T. Nishikawa T. Nishino G. Niu D. Nobbe T. Nojima T. Nomura C. D. Nordquist B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors K. O D. Oates M. Odyniec H. Ogawa T. Ohira P. Y. Oijala H. Okabe Y. Okano V. Okhmatovski A. Oki M. Okoniewski G. Olbrich G. Oliveri F. Olyslager A. Omar K. Onodera B. L. Ooi S. Ootaka S. Ortiz J. Osepchuk J. Ou C. Oxley M. Pagani

A. Pages M. Paillard S. Palma G. W. Pan A. Panariello H. Y. Pao Y. Papananos J. Papapolymerou C. Papavassiliou C. S. Park E. C. Park S. Park A. E. Parker R. Parry D. Pasalic D. Pasquet H. M. Pau D. Pavlidis J. C. Pedro S. Peik B. Pejcinovic S. Pellerano G. Pelosi R. Pengelly D. Penunuri J. Pereda B. Perlman D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa A. V. Pham L. Pichon H. Pickett M. Pieraccini P. Pieters L. Pileggi Z. Y. Ping R. Pintelon A. Piovaccari M. Pirola D. Pissoort E. Pistono F. M. Pitschi W. Platte C. Plett C. Pobanz R. Pogorzelski A. Pohl R. K. Pokharel J. L. Polleux G. Ponchak Y. Poplavko A. Porch J. Portilla M. Pospieszalski B. Potter H. Powen D. Pozar L. Pradell S. Prasad D. Prather D. Prescott H. Pretl A. Prieto H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quere R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton A. Raisanen M. Ramirez C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. Rebeiz J. Rebollar M. Reddy E. Reese L. Reindl J. Reinert S. Remillard K. Remley L. Reynolds S. Reynolds J. K. Rhee A. Riddle G. Riha E. Rius I. Robertson P. Roblin J. Rockway C. Rodenbeck A. R. Rofougaran H. Rogier U. Rohde Y. Rolain P. A. Rolland R. Romanofsky S. Rondineau Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg M. Rosker R. Rotman G. Roussy D. Routledge J. Roy L. Roy M. Royer

T. Rozzi J. Rudell C. Ruppel D. Rutledge T. Ruttan A. Rydberg N. Ryskin D. Rytting D. Rönnow C. Saavedra K. Sachse R. Saedi A. Safwat M. Sagawa P. Saha J. Sahalos K. Saito I. Sakagami M. Salomaa A. Samelis A. Sanada M. Sanagi L. Sankey K. Sano A. Santarelli H. D. Santos K. Sarabandi T. Sarkar C. Sarris M. Sato A. Sawicki H. Sayadian W. Scanlon C. Schaffer G. Schimetta M. J. Schindler E. Schmidhammer L. P. Schmidt D. Schmitt J. Schoebl G. Scholl J. Schoukens D. Schreurs W. Schroeder I. Schropp A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann F. Sechi E. M. Segura T. Seki S. Selleri E. Semouchkina J. Sercu A. Serpenguzel J. Sevic O. Sevimli F. Seyfert O. Shanaa I. Shapir A. Sharma S. Sharma J. Sharp J. R. Shealy D. Sheen Z. X. Shen Y. Shestopalov C. J. Shi T. Shibata H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma J. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu D. Sievenpiper J. M. Sill C. Silva L. M. Silveira M. G. Silveirinha W. Simbuerger G. Simin C. Simovski D. Simunic H. Singh V. K. Singh B. Sinha J. Sinsky Z. Sipus P. Sivonen A. Skalare G. M. Smith P. Smith C. Snowden R. Snyder P. P. So M. Sobhy N. Sokal M. Solal K. Solbach R. Sorrentino A. Soury N. Soveiko E. Sovero M. Soyuer P. Staecker A. Stancu S. P. Stapleton P. Starski J. Staudinger B. Stec D. Steenson A. Stelzer J. Stenarson B. Stengel M. Stern M. Steyaert S. Stitzer B. Stockbroeckx B. Strassner M. Stubbs M. Stuchly

B. Stupfel A. Suarez G. Subramanyam N. Suematsu T. Suetsugu C. Sullivan K. O. Sun K. Suzuki Y. Suzuki J. Svacina R. Svitek M. Swaminathan D. Swanson B. Szendrenyi A. Taflove Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar K. W. Tam A. A. Tamijani J. Tan E. Tanabe C. W. Tang W. Tang W. C. Tang R. Tascone A. Tasic J. J. Taub J. Tauritz D. Teeter F. Teixeira R. Temkin M. Tentzeris V. Teppati M. Terrovitis A. Tessmann J. P. Teyssier W. Thiel B. Thompson Z. Tian M. Tiebout R. Tielert L. Tiemeijer E. Tiiliharju G. Tkachenko M. Tobar M. R. Tofighi P. Tognolatti T. Tokumitsu A. Tombak K. Tomiyasu C. Y. Tong A. Topa E. Topsakal G. Town I. Toyoda N. Tran R. Trew C. Trueman C. M. Tsai R. Tsai L. Tsang H. W. Tsao M. Tsuji T. Tsujiguchi M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro G. Twomey H. Uchida S. Uebayashi T. Ueda F. H. Uhlmann H. P. Urbach V. J. Urick N. Uzunoglu R. Vahldieck P. Vainikainen G. Vandenbosch A. Vander Vorst G. Vannini C. Vaucher J. Vaz G. Vazquez I. Vendik J. Venkatesan A. Verma A. K. Verma J. Verspecht L. Verweyen J. Vig A. Viitanen F. Villegas J. M. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu V. Volman B. Vowinkel M. A. Vérez B. Z. Wang K. Wakino P. Waldow A. Walker D. Walker C. Walsh P. Wambacq S. Wane C. Wang C. F. Wang C. H. Wang C. L. Wang F. Wang H. Wang J. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang

K. Warnick S. Wartenberg K. I. Watabe S. Watanabe B. Weaver J. Webb R. Webster S. Wedge C. J. Wei D. V. Weide R. Weigel M. Weihnacht G. Weihs R. Weikle T. Weiland D. Weile J. Weiler S. Weinreb F. Weiss S. Weiss T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. White L. B. White S. Whiteley J. Wiart J. Wight G. Wilkins D. Willems B. Willemsen A. Williamson J. C. Wiltse D. Wittwer M. Wnuk K. Wong K. L. Wong K. Woo W. Woo J. Wood G. Woods R. C. Woods D. Woolard M. Wren H. Wu J. Wu K. Wu K.-L. Wu Q. Wu T. Wu T. L. Wu M. Wurzer C. Xie H. Xu J. Xu S. Xu Y. Xu Y. P. Xu Y. Xuan Q. Xue M. Yagoub T. Yakabe K. Yamamoto K. Yamauchi F. Yang G. M. Yang J. Yang K. Yang L. Yang X. Yang Y. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto S. Ye K. S. Yeo S. P. Yeo L. K. Yeung W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York B. Young P. R. Young H. K. Yu M. Yu P. Yu G. Yue P. Yue S. W. Yun K. Zaki J. Zamanillo J. Zapata L. Zappelli J. Zehentner C. Zelley H. Zhang J. Zhang Q. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhou L. Zhu X. Zhu H. Zirath J. Ziriax S. Zouhdi T. Zwick