Handbook of Spintronics [1 ed.] 9789400768918, 9789400768925, 9789400768932

This large reference work addresses a broad range of topics covering various aspects of spintronics science and technolo

312 105 65MB

English Pages XXIV, 1609 [1602] Year 2016

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Handbook of Spintronics [1 ed.]
 9789400768918, 9789400768925, 9789400768932

Table of contents :
Front Matter....Pages i-xxiv
Front Matter....Pages 1-1
Front Matter....Pages 3-69
Front Matter....Pages 71-103
Front Matter....Pages 105-105
Front Matter....Pages 107-126
Front Matter....Pages 127-175
Front Matter....Pages 177-177
Front Matter....Pages 179-225
Front Matter....Pages 227-252
Front Matter....Pages 253-281
Front Matter....Pages 283-283
Front Matter....Pages 285-333
Front Matter....Pages 335-364
Front Matter....Pages 365-398
Back Matter....Pages 399-430
....Pages 431-462

Citation preview

Yongbing Xu David D. Awschalom Junsaku Nitta Editors

Handbook of Spintronics

1 3Reference

Handbook of Spintronics

Yongbing Xu • David D. Awschalom Junsaku Nitta Editors

Handbook of Spintronics With 807 Figures and 36 Tables

Editors Yongbing Xu York-Nanjing International Center of Spintronics, Nanjing University Nanjing, China

David D. Awschalom Institute for Molecular Engineering University of Chicago Chicago, IL, USA

Spintronics and Nanodevice Laboratory The University of York York, UK Junsaku Nitta Department of Materials Science Graduate School of Engineering Tohoku University Sendai, Japan

ISBN 978-94-007-6891-8 ISBN 978-94-007-6892-5 (eBook) ISBN 978-94-007-6893-2 (print and electronic bundle) DOI 10.1007/978-94-007-6892-5 Library of Congress Control Number: 2015947249 Springer Dordrecht Heidelberg New York London # Springer Science+Business Media Dordrecht 2016 This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. The publisher, the authors and the editors are safe to assume that the advice and information in this book are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the editors give a warranty, express or implied, with respect to the material contained herein or for any errors or omissions that may have been made. Printed on acid-free paper Springer Science+Business Media B.V. Dordrecht is part of Springer Science+Business Media (www. springer.com)

Preface

The IT industry has followed Moore’s Law for decades, successfully predicting the number of transistors on a chip doubles about every 2 years, and has changed almost every aspect of our life. To keep electronics development at the pace of the Moore’s Law, revolutionary technologies are needed. Spintronics is a promising area of science and technology that may impact both near- and long-term electronics. While conventional semiconductor devices rely on the electron charge and the magnetic data storage media on electron spin, spintronics exploits both charge and spin to achieve new functionality. Many spintronic devices are non-volatile, fast and energy efficient, and are likely to impact many industries including mass data storage, micro/nano electronics and bio-medical sensors. The Magnetic RAM (MRAM), for example, may combine the functions of both DRAM and SRAM. Spintronics is a multidisciplinary and fast growing research area. The research is closely related to physics, chemistry, materials science, device fabrication, electrical engineering, and computer science and system integration. The discovery of Giant Magnetoresistance (GMR) in the magnetic metallic multilayers in the early eighties initiated metallic spintronic research. The following research into Tunnelling Magnetoresistance (TMR) effect in metallic/oxide spin valves has led to the development of MRAM. The research of diluted magnetic semiconductors and hybrid structures is leading the way of developing a spin-FET, capable of both data storage and processing. Recent exciting research into coherent spin manipulation in graphene, diamond, topological insulators and other 2D materials will speed up the development of quantum information processing and new generation of quantum spintronics, beyond our imagination. This “Handbook of Spintronics” seeks to cover many important aspects of spintronics research with contributions from world leading scientists in these areas. We are grateful to all the authors, our associate editors and all the member

v

vi

Preface

of the advisory board for their time and contributions. We would also like to thank Tom Spicer, Abhijit Baroi and the publication team of Springer for their great efforts in publishing this book. York, UK Sendai, Japan Chicago, IL, USA June 2015

Yongbing Xu David D. Awschalom Junsaku Nitta

Contents

Volume 1 Part I Physical Principles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

2

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Xiaoguang Zhang and William Butler Spin Quantum Computing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Philipp R. Struck and Guido Burkard

Part II 3

4

Materials: Metallic Thin Films and Recording Media . . . . .

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Peter Gr€ unberg and Daniel E. B€urgler CPP-GMR: Materials and Properties . . . . . . . . . . . . . . . . . . . . . . Jack Bass

Part III

Materials: Magnetic Tunneling Structures . . . . . . . . . . . . .

1

3

71

105

107

127

177

5

TMR and Al-O Based Magnetic Tunneling Junctions . . . . . . . . . . Xiu-Feng Han

179

6

Magnetic Nanoparticles and Granular Thin Films . . . . . . . . . . . . X.J. Yao, W. Zhong, C.T. Au, and Y.W. Du

227

7

Exchange Bias Material: FeMn . . . . . . . . . . . . . . . . . . . . . . . . . . . Shiming Zhou, Li Sun, and Jun Du

253

vii

viii

Contents

Part IV

Materials: Hybrid Materials

........................

283

8

Magnetic/III-V Semiconductor Based Hybrid Structures . . . . . . . Yongbing Xu, Johnny Wong, Wenqing Liu, Daxin Niu, Wen Zhang, Yongxiong Lu, Sameh Hassan, Yu Yan, and Iain Will

285

9

Heusler Compounds: Applications in Spintronics . . . . . . . . . . . . . Tanja Graf, Claudia Felser, and Stuart S.P. Parkin

335

10

Hybrid Ferromagnetic/Ferroelectric Materials . . . . . . . . . . . . . . . Sebastiaan van Dijken

365

11

Electrical Spin Injection into InGaAs Quantum Dots . . . . . . . . . . G. Kioseoglou, C.H. Li, and B.T. Jonker

399

12

Spintronics of Topological Insulators . . . . . . . . . . . . . . . . . . . . . . . Kang L. Wang, Murong Lang, and Xufeng Kou

431

Part V

Materials: Magnetic Semiconductors . . . . . . . . . . . . . . . . .

463

13

III–V Based Magnetic Semiconductors . . . . . . . . . . . . . . . . . . . . . T. Jungwirth

465

14

Si Based Magnetic Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . John F. DiTusa

523

15

Magnetic Oxide Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . Nguyen Hoa Hong

563

16

Magneto-Transport Behaviors of (Ga,Mn)As Based Nano-structures and Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Lin Chen and Jianhua Zhao

Part VI

Materials: Molecular Spintronic Materials . . . . . . . . . . . . .

17

Low Dimensional Molecular Magnets and Spintronics . . . . . . . . . Li-Min Zheng, Jinkui Tang, Hao-Ling Sun, and Min Ren

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Anane, B. Dlubak, Hiroshi Idzuchi, H. Jaffres, M.-B. Martin, Y. Otani, P. Seneor, and Albert Fert

Part VII 19

Materials: Characterization Methods . . . . . . . . . . . . . . . .

Magnetic Dichroism Studies of Spintronic Structures . . . . . . . . . . Wenqing Liu, Yongbing Xu, Sameh Hassan, Jill Weaver, and Gerrit van der Laan

585

615 617

681

707 709

Contents

ix

20

Atomic-Scale Spintronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Jens Brede, Bruno Chilian, Alexander Ako Khajetoorians, Jens Wiebe, and Roland Wiesendanger

757

21

Spin-Dynamic Measurement Techniques . . . . . . . . . . . . . . . . . . . . Jing Wu, Tuyuan Cheng, Cong Lu, Xiao Zhou, Xianyang Lu, and Chris Bunce

785

22

Spin-Resolved Valence Photoemission . . . . . . . . . . . . . . . . . . . . . . Elaine A. Seddon

831

23

X-ray Scattering from Spintronic Structures Brian K. Tanner

................

919

24

Magnetic Domain Imaging with Spin-Polarized SEM . . . . . . . . . . Kazuyuki Koike

947

Volume 2 Part VIII Devices and Applications: Spin Valves and GMR Heads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

Physics and Design of Hard Disk Drive Magnetic Recording Read Heads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Stefan Maat and Arley C. Marley

Part IX

Devices and Applications: MRAM . . . . . . . . . . . . . . . . . . . .

975

977

1029

26

MRAM Fundamentals and Devices . . . . . . . . . . . . . . . . . . . . . . . . 1031 Hiroaki Yoda

27

Thermally Assisted MRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1065 Se´bastien Bandiera and Bernard Dieny

28

MRAM Circuits Shinobu Fujita

29

Magnetic Tunnel Junctions and Their Applications in Non-volatile Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1127 Juan G. Alzate, Pedram Khalili Amiri, and Kang L. Wang

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1101

Part X Devices and Applications: Spin Transistors and Spin Logic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

1173

General Principles of Spin Transistors and Spin Logic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1175 Supriyo Bandyopadhyay and Marc Cahay

x

Contents

31

Field-Effect Spin-Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1243 Satoshi Sugahara, Yota Takamura, Yusuke Shuto, and Shuu’ichirou Yamamoto

32

Modeling Multi-Magnet Networks Interacting via Spin Currents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1281 Srikant Srinivasan, Vinh Diep, Behtash Behin-Aein, Angik Sarkar, and Supriyo Datta

Part XI

Devices and Applications: Spin Torque Devices . . . . . . . .

1337

. . . . . . . . . . . . . . . . . . . . . . . . 1339

33

Physical Principles of Spin Torque Jonathan Z. Sun

34

Domain Wall Memory Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1387 Michael Foerster, O. Boulle, S. Esefelder, R. Mattheis, and Mathias Kla¨ui

Part XII Devices and Applications: Spin Pumping (Reversal) and Spin Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1443

35

Physical Principles of Spin Pumping . . . . . . . . . . . . . . . . . . . . . . . 1445 Saburo Takahashi

36

Spin Current Generation by Spin Pumping . . . . . . . . . . . . . . . . . . 1481 Kazuya Ando and Eiji Saitoh

37

Magnon Spintronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1505 Alexy D. Karenowska, A.V. Chumak, A.A. Serga, and Burkard Hillebrands

Part XIII Devices and Applications: Thermal Effect in Spintronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1551

38

Thermal Effects in Spintronics: Physics and Applications . . . . . . 1553 Hiroto Adachi and Sadamichi Maekawa

39

Lateral Spin Transport (Diffusive Spin Current) Takashi Kimura

. . . . . . . . . . . . . 1577

Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1597

About the Editors

Yongbing Xu York-Nanjing International Center of Spintronics, Nanjing University Nanjing, China and Spintronics and Nanodevice Laboratory The University of York York, UK Yongbing Xu Chair Professor in Nanotechnology, heads the Spintronics and Nanodevice Laboratory of the University of York. He is the codirector of the York–Nanjing Joint Centre for Spintronics and Nano Engineering and Qianren Concurrent Professor of Nanjing University and guest professor of several other Chinese universities. He was awarded a Ph.D. by Nanjing University in 1990 and then by Leeds University in 1992. He was a senior research fellow in Cavendish Laboratory, University of Cambridge, since 1996 and then moved to York to establish and lead the York Spintronics and Nanodevice Laboratory in 2001. He was the cofounder of the York Nanocentre and the founder and the head of the York Nanotechnology B.Eng./M.Eng. Programme. Over the past 30 years, his research has been focused on the fabrication and characterization of a wide variety of spintronics materials and devices, supported by the EPSRC, EU-FP7, CNSF, STFC, the Royal Society, the Yorkshire Forward, the White Rose Consortium, WUN, etc. He was winner of the EPSRC Advanced Fellowship award in 2000 in the area of spintronics and the VC’s outstanding achievement award in 2011 by the University of York. He is the chair of the Worldwide University Network (WUN) Spintronics Consortium with partners from 12 leading UK, US, and Chinese research universities. He was the spintronics section editor of Current Opinion in Solid State and Materials Science by Elsevier, the series editor of Electronic Materials and Devices by Taylor and Francis/CRC Press, and edited the book Spintronic Materials and Technology by CRC Press. He has served the NSF panel, the international advisory committee of the EU Warsaw NanoCenter, the panel of “The Technology and Innovation Future 2020” of the UK Government Science Office, and many research councils around the world. xi

xii

About the Editors

David D. Awschalom Institute for Molecular Engineering University of Chicago Chicago, IL, USA David D. Awschalom Professor Awschalom received his B.Sc. in physics from the University of Illinois at Urbana-Champaign, and his Ph.D. in experimental physics from Cornell University. He was a Research Staff member and Manager of the Nonequilibrium Physics Department at the IBM Watson Research Center in Yorktown Heights, New York. In 1991 he joined the University of CaliforniaSanta Barbara as a Professor of Physics, and was appointed as a Professor of Electrical and Computer Engineering. During that period he served as the Peter J. Clarke Professor and Director of the California NanoSystems Institute, and Director of the Center for Spintronics and Quantum Computation. Professor Awschalom is currently the Liew Family Professor in Spintronics and Quantum Information within the Institute for Molecular Engineering at the University of Chicago. His research in quantum spintronics involves understanding and controlling the spin of electrons and nuclei for fundamental studies of matter at the atomic scale, advanced computing, molecular imaging, and nanometer-scale sensing technologies. His group has research activities in optical and magnetic interactions in semiconductor quantum structures, spin dynamics and coherence in condensed matter systems, macroscopic quantum phenomena in nanometer-scale magnets, and implementations of quantum information processing in the solid state. He has developed a variety of femtosecond-resolved spatiotemporal spectroscopies and micromagnetic sensing techniques aimed at exploring charge and spin motion in the quantum domain. Professor Awschalom received an IBM Outstanding Innovation Award, the Outstanding Investigator Prize from the Materials Research Society, the International Magnetism Prize and Ne´el Medal from the International Union of Pure and Applied Physics, the Oliver E. Buckley Prize from the American Physical Society, the Agilent Europhysics Prize from the European Physical Society, the Newcomb Cleveland Prize from the American Association for the Advancement of Science, the UC Faculty Research Lecturer Award, the David Turnbull Award from the Materials Research Society, and the Julius Edgar Lilienfeld Prize from the American Physical Society. Professor Awschalom is a Fellow of the American Physical Society and the American Association for the Advancement of Science. He is a member of the American Academy of Arts and Sciences, the National Academy of Sciences, the National Academy of Engineering, and the European Academy of Sciences.

About the Editors

xiii

Junsaku Nitta Department of Materials Science Graduate School of Engineering Tohoku University Sendai, Japan Junsaku Nitta joined NTT as a research scientist after graduation from the Department of Electrical Engineering, Kyushu University, in 1981. He received his Ph.D. from Kyushu University in 1990 because of his work on Control of Quantum Flux Propagation in Josephson Transmission Line. He stayed in Groningen University, The Netherlands, as a visiting researcher for 1 year in 1994–1995. He was a group leader of spintronics in NTT Basic Research Laboratories in 2001–2005. Since 2005, Dr. Nitta is a professor in the Department of Materials Science, Graduate School of Engineering, Tohoku University. He is an Editor of Physica E: Low dimensional Systems and Nanostructures since 2008.

Associate Editors

Stuart S. P. Parkin IBM-Stanford Spintronic Science and Applications Center (SpinAps), IBM Almaden Research Center, San Jose, CA, USA Nitin Samarth Department of Physics, Pennsylvania State University, University Park, PA, USA Rong Zhang School of Electronics Science and Engineering, Nanjing University, Nanjing, China

xv

Editorial Advisory Board

Valentin Alek Dediu Magnetic Nanostructures for Spintronics and Nanomedicine, CNR-ISMN, Bologna, Italy Bernard Dieny SPINTEC UMR8191 (CEA/CNRS/Grenoble Alpes Univ.), Grenoble, France Burkard Hillebrands Fachbereich Physik, Technische Universita¨t Kaiserslautern, Kaiserslautern, Germany Stefan Maat HGST, a Western Digital Company, San Jose, CA, USA Satoshi Sugahara Department of Electronics and Applied Physics, Tokyo Institute of Technology, Tokyo, Japan Jonathan Z. Sun IBM Research, Yorktown Heights, NY, USA Jing Wu Department of Physics, University of York, York, UK Shinji Yuasa Spintronics Research Center, National Institute of Advanced Industrial Science and Technology (AIST), Tsukuba, Japan

xvii

Contributors

Hiroto Adachi Advanced Science Research Center (ASRC), Japan Atomic Energy Agency (JAEA), Tokai, Japan CREST, Japan Science and Technology Agency, Tokyo, Japan Juan G. Alzate Department of Electrical Engineering, University of California, Los Angeles, CA, USA Pedram Khalili Amiri Department of Electrical Engineering, University of California, Los Angeles, CA, USA A. Anane Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France Kazuya Ando Department of Applied Physics and Physico-Informatics, Keio University, Yokohama, Japan C. T. Au Chemistry Department, Hong Kong Baptist University, Hong Kong, People’s Republic of China Se´bastien Bandiera Crocus Technology, Grenoble, France Supriyo Bandyopadhyay Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, VA, USA Jack Bass Department of Physics and Astronomy, 4220 Biomedical Physical Sciences, Michigan State University, East Lansing, MI, USA Behtash Behin-Aein School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA Global Foundries, Milpitas, CA, USA O. Boulle Laboratoire SpinTec, CEA, Grenoble, France Jens Brede Institute of Applied Physics and Interdisciplinary Nanoscience Center Hamburg, University of Hamburg, Hamburg, Germany Chris Bunce Physics Department, University of York, York, UK xix

xx

Contributors

Daniel E. B€ urgler Peter Gr€unberg Institute, Electronic Properties (PGI-6), Forschungszentrum J€ulich GmbH, J€ulich, Germany Guido Burkard Department of Physics, University of Konstanz, Konstanz, Germany William Butler MINT Center, University of Alabama, Tuscaloosa, AL, USA Marc Cahay Department of Electrical and Computer Engineering, School of Electronics and Computing Systems, University of Cincinnati, Cincinnati, OH, USA Lin Chen State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, China Tuyuan Cheng Physics Department, University of York, York, UK Bruno Chilian Institute of Applied Physics and Interdisciplinary Nanoscience Center Hamburg, University of Hamburg, Hamburg, Germany A. V. Chumak Fachbereich Physik and Forschungszentrum OPTIMAS, Technische Universita¨t Kaiserslautern, Kaiserslautern, Germany Supriyo Datta School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA Bernard Dieny SPINTEC UMR8191 (CEA/CNRS/Grenoble Alpes Univ.), Grenoble, France Vinh Diep School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA John F. DiTusa Department of Physics and Astronomy, Louisiana State University, Baton Rouge, LA, USA B. Dlubak Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France Jun Du National Laboratory of Solid State Microstructures, Nanjing University, Nanjing, China Y. W. Du Nanjing National Laboratory of Microstructures, Nanjing University, Nanjing, People’s Republic of China S. Esefelder Leibniz Institute of Photonic Technology, Jena, Germany Claudia Felser Institute for Analytical and Inorganic Chemistry, Johannes Gutenberg-University, Mainz, Germany Albert Fert Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France Michael Foerster Institute of Physics, Johannes Gutenberg-University Mainz, Mainz, Germany

Contributors

xxi

Shinobu Fujita Toshiba Corporate R & D Center, Kawasaki, Japan Tanja Graf Institute for Analytical and Inorganic Chemistry, Johannes Gutenberg-University, Mainz, Germany IBM Almaden Research Center, San Jose, CA, USA Max-Planck-Institut f€ur Chemical Physics of Solids, Dresden, Germany Peter Gr€ unberg Peter Gr€unberg Institute, Electronic Properties (PGI-6), Forschungszentrum J€ulich GmbH, J€ulich, Germany Xiu-Feng Han Chinese Academy of Sciences, State Key Laboratory of Magnetism, Institute of Physics, Beijing, China Sameh Hassan York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Burkard Hillebrands Fachbereich Physik, Technische Universita¨t Kaiserslautern, Kaiserslautern, Germany Nguyen Hoa Hong Department of Physics and Astronomy, Seoul National University, Seoul, South Korea Hiroshi Idzuchi Center for Emergent Matter Science, RIKEN, 2-1 Hirosawa, Wako, Japan H. Jaffres Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France B. T. Jonker Naval Research Laboratory, Washington, DC, USA T. Jungwirth Institute of Physics ASCR, v.v.i., Praha 6, Czech Republic School of Physics and Astronomy, University of Nottingham, Nottingham, UK Alexy D. Karenowska Department of Physics and Magdalen College, University of Oxford, Oxford, UK Alexander Ako Khajetoorians Institute of Applied Physics and Interdisciplinary Nanoscience Center Hamburg, University of Hamburg, Hamburg, Germany Takashi Kimura Department of Physics, Inamori Frontier Research Center, Kyushu University, Fukuoka, Japan G. Kioseoglou Naval Research Laboratory, Washington, DC, USA Department of Materials Science and Technology, University of Crete, Heraklion Crete, Greece Mathias Kla¨ui Institute of Physics, Johannes Gutenberg-University Mainz, Mainz, Germany Kazuyuki Koike Department of Condensed Matter of Physics, Graduate School of Science, Hokkaido University, Sapporo, Japan

xxii

Contributors

Xufeng Kou Engineering Electrical Department, University of California, Los Angeles, CA, USA Murong Lang Engineering Electrical Department, University of California, Los Angeles, CA, USA C. H. Li Naval Research Laboratory, Washington, DC, USA Wenqing Liu York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Cong Lu Electronics Department, University of York, York, UK Xianyang Lu Physics Department, University of York, York, UK Yongxiong Lu York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Stefan Maat HGST, a Western Digital Company, San Jose, CA, USA Sadamichi Maekawa Advanced Science Research Center (ASRC), Japan Atomic Energy Agency (JAEA), Tokai, Japan CREST, Japan Science and Technology Agency, Tokyo, Japan Arley C. Marley Magnetic Recording Heads Development, HGST, a Western Digital Company, San Jose, CA, USA M.-B. Martin Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France R. Mattheis Leibniz Institute of Photonic Technology, Jena, Germany Daxin Niu York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Y. Otani Center for Emergent Matter Science, RIKEN, 2-1 Hirosawa, Wako, Japan Institute for Solid State Physics, University of Tokyo, Kashiwa, Japan Stuart S. P. Parkin IBM-Stanford Spintronic Science and Applications Center (SpinAps), IBM Almaden Research Center, San Jose, CA, USA Min Ren School of Chemistry and Chemical Engineering, Nanjing University, Nanjing, People’s Republic of China Eiji Saitoh Institute for Materials Research, Tohoku University, Sendai, Japan Angik Sarkar School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA Elaine A. Seddon The Photon Science Institute, The University of Manchester, Manchester, UK The Cockcroft Institute, Sci-Tech Daresbury, Daresbury, Warrington, UK

Contributors

xxiii

P. Seneor Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France A. A. Serga Fachbereich Physik and Forschungszentrum OPTIMAS, Technische Universita¨t Kaiserslautern, Kaiserslautern, Germany Yusuke Shuto Imaging Science and Engineering Laboratory, Tokyo Institute of Technology, Midori-ku, Yokohama, Japan Srikant Srinivasan School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, USA Iowa State University, Ames, IA, USA Philipp R. Struck Department of Physics, University of Konstanz, Konstanz, Germany Satoshi Sugahara Department of Electronics and Applied Physics, Tokyo Instituteof Technology, Tokyo, Japan Hao-Ling Sun Department of Chemistry, Beijing Normal University, Beijing, People’s Republic of China Jonathan Z. Sun IBM Research, Yorktown Heights, NY, USA Li Sun Department of Mechanical Engineering and Texas Center for Superconductivity (TcSUH), University of Houston, Houston, TX, USA Saburo Takahashi Institute for Materials Research, Tohoku University, Sendai, Japan Yota Takamura Dept. of Physical Electronics, Tokyo Institute of Technology, Meguro-ku, Tokyo, Japan Jinkui Tang State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, People’s Republic of China Brian K. Tanner Department of Physics, Durham University, Durham, UK Sebastiaan van Dijken NanoSpin, Department of Applied Physics, Aalto University, School of Science, Aalto, Finland Gerrit van der Laan Magnetic Spectroscopy Group, Diamond Light Source, Didcot, UK Kang L. Wang Department of Electrical Engineering, University of California, Los Angeles, CA, USA Jill Weaver York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Jens Wiebe Institute of Applied Physics and Interdisciplinary Nanoscience Center Hamburg, University of Hamburg, Hamburg, Germany

xxiv

Contributors

Roland Wiesendanger Institute of Applied Physics and Interdisciplinary Nanoscience Center Hamburg, University of Hamburg, Hamburg, Germany Iain Will York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Johnny Wong York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Jing Wu Physics Department, University of York, York, UK Yongbing Xu York-Nanjing International Center of Spintronics, Nanjing University, Nanjing, China Spintronics and Nanodevice Laboratory, The University of York, York, UK Shuu’ichirou Yamamoto Imaging Science and Engineering Laboratory, Tokyo Institute of Technology, Midori-ku, Yokohama, Japan Yu Yan York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK X. J. Yao Nanjing National Laboratory of Microstructures, Nanjing University, Nanjing, People’s Republic of China Hiroaki Yoda Toshiba Electronics Korea Corporation, Seoul, Republic of Korea Wen Zhang York Laboratory of Spintronics and Nanodevices, Department of Electronics, The University of York, York, UK Xiaoguang Zhang Department of Physics and Quantum Theory Project, University of Florida, Gainesville, FL, USA Computer Science and Mathematics Division and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN, USA Jianhua Zhao State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, China Li-Min Zheng School of Chemistry and Chemical Engineering, Nanjing University, Nanjing, People’s Republic of China W. Zhong Nanjing National Laboratory of Microstructures, Nanjing University, Nanjing, People’s Republic of China Shiming Zhou School of Physics Science and Engineering, Tongji University, Shanghai, China Xiao Zhou Physics Department, University of York, York, UK

Part I Physical Principles

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance Xiaoguang Zhang and William Butler

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Electron Spin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Two-Current Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Giant Magnetoresistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Two-Current Model for a Homogeneous System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Flip Scattering and the Drift-Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The FERPS Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Semiclassical Theory of GMR for Real Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Diffuse Interfacial Scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Theoretical Approach for Spin-Dependent Tunneling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Julliere Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Landauer Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Dependent Tunneling in the Free-Electron Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Lateral Symmetry of Bloch States in Electrodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Symmetry Filtering in the Barrier Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Complex Band Structure of MgO (001) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Symmetry Filtering in MgO Barrier Layer at Normal Incidence . . . . . . . . . . . . . . . . . . . . . . . . . . . Ballistic Tunneling in Fe/MgO/Fe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Majority Spin Transmission: Interference of Tunneling States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Minority Spin Transmission: Tunneling Through Interface Resonance States . . . . . . . . . . . . . Thickness Dependence of the Tunneling Conductance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5 5 7 7 9 15 18 23 37 39 39 40 42 45 49 49 51 53 53 56 59

X. Zhang (*) Department of Physics and Quantum Theory Project, University of Florida, Gainesville, FL, USA Computer Science and Mathematics Division and Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, Oak Ridge, TN, USA e-mail: [email protected] W. Butler MINT Center, University of Alabama, Tuscaloosa, AL, USA e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_2

3

4

X. Zhang and W. Butler

Other Epitaxial Tunnel Junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Co(bcc)/MgO/Co(bcc) and FeCo/MgO/FeCo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Effect of Interlayers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Reduced Symmetry Barrier Layer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

61 61 64 65 67 68

Abstract

This chapter describes the theory of the giant magnetoresistance effect and the tunneling magnetoresistance effect. Giant magnetoresistance and tunneling magnetoresistance arise when a magnetic field reorients the magnetization in different regions of a specimen causing a change in electrical resistance. Typically these regions are different ultrathin layers. Giant magnetoresistance can occur in metallic multilayers. Two geometries are important. Current-in-plane GMR was the first “spintronic” effect and was discovered in 1988. Current-perpendicular-to-plane GMR was observed a few years later and is conceptually easier to understand than current-in-plane GMR. In this chapter both of these phenomena are treated in a semiclassical approximation. For current-in-plane GMR, it is necessary to treat the transport as nonlocal. For current-perpendicular-to-plane GMR, a local approximation is often adequate. Tunneling magnetoresistance arises when quantum mechanical tunneling between ferromagnetic electrodes through an insulating layer depends on the relative orientation of the magnetizations of the two electrodes. In this chapter, tunneling magnetoresistance is treated using the Landauer approach which envisions ballistic electrons traveling between reservoirs with given chemical potentials being transmitted or reflected by the insulating layer. The tunneling current through the layer is carried by the evanescent states. The properties of these evanescent states and how they join to those electronic states near the Fermi energy of the electrodes for the majority and minority spin channels can be important for the size of the tunneling magnetoresistance effect. List of Abbreviations

AP bcc CIP CPP FERPS FM GMR MR MTJ P SAF TMR XMCD

Antiparallel Body-centered cubic Current-in-plane Current-perpendicular-to-plane Free electrons with random point scatterers Ferromagnetic Giant magnetoresistance Magnetoresistance Magnetic tunnel junction Parallel Synthetic antiferromagnet Tunneling magnetoresistance X-ray magnetic circular dichroism

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

5

Introduction Magnetoresistance refers to a change in the resistance of a material or structure caused by the application of a magnetic field. In this chapter we will discuss two types of magnetoresistance that can occur in structures containing ferromagnetic regions separated by nonmagnetic regions, giant magnetoresistance (GMR) and tunneling magnetoresistance (TMR). One important function of the nonmagnetic regions is to interrupt the short range interatomic exchange interactions that align the magnetic moments of a ferromagnet. This allows the magnetization of the different ferromagnetic regions to have different orientations. Figure 1 is intended to indicate that the ferromagnetic and nonmagnetic regions can have general shapes and distributions. It is more usual for the ferromagnetic and nonmagnetic layers to be alternating layers as described in section “Two-Current Model.” GMR and TMR both occur when a change in the relative orientation of the magnetization in different regions causes a change of the electrical resistance. They differ in the type of material that separates the ferromagnetic regions. For GMR, the separating material is metallic. For TMR the separating material is insulating but very thin so that electrons can travel from one (metallic) magnetic layer to another via quantum mechanical tunneling through the separating layer.

Electron Spin Magnetism is closely related to the angular momentum of charged particles. For example, the familiar law of Biot-Savart gives the magnetic field caused by a charged particle in motion in terms of its angular momentum relative to the observation point. A collection of such moving charged particles can give rise to a current distribution that generates a magnetic moment. For example, the persistent currents associated with the orbital motion of electrons around a nucleus in an atom generate a magnetic moment that is proportional to the net orbital angular momentum. The proportionality constant, known as the orbital gyromagnetic ratio is γ ¼ e=ð2me Þ  0:88  1011 C=Kg: However, the translational (or orbital) motion of the electrons is not the only source of angular momentum and magnetic moment in a material. In addition to the orbital angular momentum associated with their motion around the nucleus, electrons have an additional intrinsic angular momentum independent of any translational or orbital motion that we call “spin” angular momentum. It is difficult to develop an accurate classical picture for electron spin. We could imagine, for example, a classical electron as a spinning top. A spinning charged object will certainly generate a magnetic moment, but the observed gyromagnetic ratio for spin angular momentum is slightly greater than twice the gyromagnetic ratio calculated for the orbital or translational electron motion. Accommodating this observation within a classical picture of an electron as a spinning charge and mass

6

X. Zhang and W. Butler

Fig. 1 GMR and TMR arise when a magnetic field reorients the magnetization in different regions causing a change in electrical resistance

distribution would require the charge distribution to be different from the mass distribution. Unfortunately for this classical picture, the electron seems to have no discernable structure at all. The spin and magnetic moment of the electron arise naturally from the Dirac equation [1]. The intrinsic spin angular momentum of the electron is ℏ/2, and its magnetic moment from the Dirac equation is eℏ/(2me). Thus, the gyromagnetic ratio for the electron’s spin angular momentum is twice that for its orbital or translational angular momentum (this factor is actually slightly greater (2.002319) due to corrections from quantum electrodynamics). According to the laws of quantum mechanics, the angular momentum vector of a particle with spin ℏ/2 can be either aligned or anti-aligned with an arbitrarily chosen quantization axis. We usually choose the quantization axis to be in the z-direction and denote the electrons as up spin or down spin depending on whether they are aligned or anti-aligned with it. In atoms, the spin and orbital angular momentum are intimately coupled. Closed electron shells in both atoms and solids are simple because both the total orbital and total spin angular momentum for a filled shell vanishes. For the valence electrons of atoms, quantum mechanics tells us how to add the angular momenta of the individual electrons to get the total angular momentum and magnetic moment of a particular atomic state, and there are Hund’s rules for determining which of these states has the lowest energy. In solids, the valence electrons interact with multiple ions and so no longer experience a purely central potential with the consequence that the orbital angular momentum is largely “quenched.” Experimental evidence for this “quenching” comes from the measured magnetic moments of transition metal ions in ionic solids and from XMCD (X-ray magnetic circular dichroism) measurements in which the absorption spectra of X-rays with left and circularly polarized light are compared. Analysis of these spectra allows in favorable cases for the determination of both the spin and orbital magnetic moments The detailed XMCD study of spintronic materials is

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

7

presented in ▶ Chap. 19, “Magnetic Dichroism Studies of Spintronic Structures”, Part VII, by Liu et al. Usually, the spin-magnetic moment is observed to be at least one order of magnitude larger than the orbital magnetic moment. One important exception to this rule is materials which contain rare earth and actinide atoms. These atoms have unfilled f-shells which are very near the nucleus and shielded by the valence electrons from the electric fields produced by neighboring atoms. Such electrons experience a nearly spherical potential and consequently can have large orbital angular momentum. Even for these materials, it is expected that the orbital angular momentum associated with the itinerant current carrying electrons would be small.

Two-Current Model The preceding paragraphs amount to a very long-winded way of saying that to a very good approximation, one that is better for materials with small atomic numbers, many materials behave as if they are inhabited by two materials, one made up of the up-spin electrons and the other by the down-spin electrons. The approximation of independent up- and down-spin electrons is not as accurate for materials with high atomic numbers because the strong Coulomb field of the nucleus causes the orbital velocity of the electrons to be high leading to a relativistic effect which couples the orbital and spin angular momenta. Thus, the key to understanding the GMR effect is that the electric current in a GMR device is carried by two different types of electrons. In most materials there are equal numbers of the two types of electrons when they are in equilibrium; however, in ferromagnetic materials there will be more of one type than the other leading to a net magnetic moment. In these materials, electrons having spin moment along the direction of the total (net) magnetic moment of the material are called majority spin (or up spin), while the other types with spin moment opposite the total moment are called minority spin (or down spin). The number of up-spin and down-spin electrons can change through [2] spin-flip scattering from magnetic impurities that are not collinear with the electron spin, through electron-electron scattering or through spin-orbit coupling. In the 3d-transition metal series, these effects can be relatively weak so that the assumption of two separate conduction channels, one for each spin, with negligible interaction between them, is often a good approximation.

Giant Magnetoresistance Two basic geometries are used in practical implementations of giant magnetoresistance. Both use alternating layers of ferromagnetic and nonmagnetic materials. For the current-in-plane (CIP) implementation, the electric current flows parallel to the layers, and for the current-perpendicular-to-plane (CPP) implementation, the electric current flows perpendicular to the layers (Fig. 2).

8

X. Zhang and W. Butler

Fig. 2 Different geometries of GMR devices

CIP devices are usually easier to make. This geometry was used in the original experiments by Fert et al. [3] and by Griinberg [4] which led to the 2007 Nobel Prize in Physics. Fert’s team deposited a magnetic multilayer in which thin layers of Fe alternated with thin layers of Cr. When they did their experiments in 1987, it had recently been demonstrated that if the Cr layer thicknesses were within certain ranges, the magnetization of alternate Fe layers would be antiparallel [2]. When Fert’s team applied a magnetic field that forced the magnetization of all of the Fe layers to align in a common direction, the resistance decreased by a factor of about 2. They described this very large change in resistance as a “giant” magnetoresistance, which became the name of the phenomenon and is applied even when the magnetoresistance is not so large. The origin of the CIP-GMR effect is somewhat subtle and requires an understanding of transport that goes beyond the local version of Ohm’s law. The origin of CPP GMR by contrast can be explained in simple terms, but the phenomenon has its own subtleties. We shall see that a consistent theory of CPP GMR requires that we include the scattering of electrons between the spin channels. Experimentally, CIP GMR is easier to detect. Typically, the current flows in the plane of a thin multilayered film. The primary challenge is making the layers sufficiently thin compared to the mean free path of the electrons and controlling the relative orientation of the magnetic moments in the ferromagnetic layers. In contrast, the CPP geometry presents the additional challenge of measuring the resistance of a film perpendicular to the layers. In order for the CPP structure to produce a large enough signal, the resistance of the stack must be measurable in the presence of the resistance of the leads and other parts of the circuit. Various approaches have been used to detect and measure CPP GMR. One way is to reduce the cross section of the layers to the nanometer range. Another way is to stack a large number of layers in the sample, increasing the total thickness of the sample. The difficulty with this approach is that as the number of layers is increased, it may be harder to keep the spacer layer thickness uniform in order to maintain antiferromagnetic coupling across every spacer layer between neighboring ferromagnetic

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

9

layers. A third approach uses superconducting leads to minimize their resistance relative to the GMR part of the circuit. CPP GMR was successfully measured [5] 3 years after the initial discovery of CIP GMR.

Two-Current Model for a Homogeneous System When a field is applied to a homogeneous material, the up- and down-spin electrons will both contribute to the total current density, and over a scale much larger than the electron mean free path, we can write Ohm’s law as   J5 σ " þ σ # E:

(1)

Multiplying by the area and writing the electric field, E, as the potential difference divided by the thickness ΔV/t, we have   I ¼ σ " þ σ # AΔV=t

(2)

which gives the unsurprising result that the resistance is given by the parallel resistor formula R¼

ΔV ¼ I

1 R " R# ¼ : A ¼ 1 1 R " þ R# σ" þ σ# þ t R" R # 1

(3)

In other words, when the spin-flip scattering is neglected, and when we assume a local relationship between current and field as implied by Eq. 1, the two spin channels behave like two parallel conduction channels, each with its own resistance R" and R#. For the CPP geometry, this provides the basis for a very simple mechanism of GMR.

Parallel-Resistors-in-Series Model for CPP GMR One type of CPP-GMR system consists of a stack of ferromagnetic/nonmagnetic metallic layers. The nonmagnetic spacer layer has a carefully controlled thickness that gives the antiferromagnetic coupling between the two ferromagnetic layers that it separates. The structure may be repeated for as many periods as the fabrication technique allows without losing the antiferromagnetic coupling across the spacer layers. As shown in Fig. 3, the resistors-in-series model for such a system is simply a network of two parallel series of resistors, each series representing a spin channel. If the nonmagnetic spacer layers are chosen correctly and have the correct thickness, the magnetic configuration for zero applied magnetic field is an alternating up-down alignment of the ferromagnetic layers. Assuming that all ferromagnetic layers have the same thickness and that there is an even number of

10

X. Zhang and W. Butler

Fig. 3 Two-current circuit model for a magnetic multilayer in the CPP geometry. The parallel or aligned moment magnetic configuration is shown

ferromagnetic layers, the resistances for the two spin channels are the same and are given by AP RAP " ¼ R# ¼

 n F R" þ RF# þ 2nRN ; 2

(4)

where the superscript AP stands for antiparallel. RF"ð#Þ are the " (#) spin channel resistance for a single ferromagnetic layer, RN is the total resistance for a single spacer layer (2RN is the resistance for each spin channel), and n is the number of bilayers including one ferromagnetic layer and a nonmagnetic spacer layer. The total resistance of the stack is RAP ¼

AP RAP " R# AP RAP " þ R#

¼

 n F R" þ RF# þ 4RN : 4

(5)

When a magnetic field is applied to align the moments in all of the ferromagnetic layers, the resistance for each spin channel is different. Now we have h i RP"ð#Þ ¼ n RF"ð#Þ þ 2RN ;

(6)

where the superscript P stands for parallel, indicating that the moments in all of the ferromagnetic layers are now parallel to each other. The total resistance for P is h RP ¼

RP" RP# RP" þ RP#

¼n

RF" þ 2RN

i h i RN# þ 2RN

RF" þ RF# þ 4RN

:

(7)

From Eqs. 5 and 7, we can obtain a simple relationship ffi qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi   n   RAP  RP RAP ¼ RF"  RF# : 4

(8)

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

11

It is often convenient to separate the effect of interface spin-dependent scattering from the bulk resistance. To do this we replace the resistance, Rs, for each spin channel in the ferromagnetic layer by the sum Rs + 2rs where rs is the resistance at a single interface. Then the above equation becomes ffi qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  n   n   RAP  RP RAP ¼ RF"  RF#  þ r "  r # : 4 2

(9)

Multiplying by A on both sides, we finally obtain qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi    ffi RAP  RP RAP A ¼ ρ"  ρ# 

 tF nA  r "  r # ; Lþ 2 ðtF þ tN Þ

(10)

where tF and tN are the thicknesses of single ferromagnetic and nonmagnetic layers, respectively, RF"ð#Þ ¼ ρ#ð"Þ tF =A, and L is the total thickness of the sample. If the resistance of the spacer layers, RN, is negligible, we obtain a simple expression for the CPP magnetoresistance  AP

R MR ¼ P  1 ¼ R

RF"  RF# 4RF" RF#

2



ρ"  ρ# ¼ 4ρ" ρ#

2

! 1 ρ" ρ# ¼ þ 2 : 4 ρ# ρ"

(11)

Thus, in an ideal CPP-GMR sample, the magnetoresistance in this model is simply a function of the resistivity ratio between the two spin channels of the ferromagnetic layers. The larger the ratio of the resistivities of the two spin channels, the larger the GMR. One important result of the resistors-in-series model for CPP is the prediction that the electrochemical potential for the two spin channels at the same point in space can be different. This difference is largest in the AP configuration. To see this, let us examine a trilayer whose spacer layer resistance RN is negligible. For the AP configuration, the currents in the two spin channels are the same and each is given by I" ¼ I# ¼

RF"

V ; þ RF#

(12)

where V is the total voltage across the trilayer. The electrochemical potential in the spacer layer for the up (down)-spin electrons is V "ð#Þ ¼ V  I "ð#Þ RF"ð#Þ ¼

VRF"ð#Þ RF" þ RF#

:

(13)

The potential difference between the two spin channels in the low-resistance spacer layer which separates them is

12

X. Zhang and W. Butler

V"  V# ¼ V

RF#  RF" RF" þ RF#

:

(14)

Another curiosity of the parallel-resistors-in-series model can be seen in the parallel moment configuration. Let us suppose that the leads in Fig. 3 are nonmagnetic. In this case we expect equal currents to be transported by the two spin channels in the leads. However, that is clearly not the case for this model. We will see in section “Spin-Flip Scattering and the Drift-Diffusion Model” how the model can be extended to provide a more physical picture. Although the parallel-resistors-in-series model for GMR gives us a quick and simple picture that helps us understand the origin of GMR, it needs improvement in two distinct ways. We will need to include spin-flip scattering to obtain a realistic treatment of CPP GMR, and we will need to include the effects of a finite electron mean free path to obtain any CIP GMR at all.

Spin-Dependent Resistivity of Ferromagnetic Metals The discussion above makes it clear that one key to obtaining a GMR is choosing materials with a large difference in the resistivities of the two spin channels in the ferromagnetic layer. Because of differences in the electronic structure between the two spin channels in ferromagnetic metals, their resistivities may be quite different even if the scattering lifetimes are similar between the two channels. For clean films at room temperature, the scattering is dominated by phonon scattering, whose scattering rate is roughly proportional to the electron density of states at the Fermi energy, which in turn is inversely proportional to the Fermi velocity for a single band. Therefore, there is also a tendency for the scattering lifetime to be approximately proportional to the Fermi velocity. Furthermore, because the electron mean free path l is the product of the Fermi velocity vF and the scattering lifetime τ, l ¼ vF τ;

(15)

the fact that vF and τ tend to trend together magnifies the difference in the mean free path between the two spin channels. This is indeed the case in Table 1, where we list the typical values of the average Fermi velocity vF calculated from band structures, the estimated mean free path l from experimental measurements, the electronscattering lifetime τ = l/vF, and the resistivity ρ per spin channel estimated from an integration over the Fermi surface using the other parameters as input, for ferromagnetic metals Fe and Co, as well as for nonmagnetic Cu. In this table we see that there are significant differences between the majority and minority spin mean free paths for both Fe and Co films. In the case of Co, this translates into a very large difference in the resistivities of the two spin channels. Using Eq. 11 and the values in Table 1, we estimate that the maximum CPP GMR that can be achieved in an ideal Co/Cu multilayer is about

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

13

Table 1 Typical values of average Fermi velocity vF, mean free path l, scattering lifetime t, and resistivity r per spin channel in sputtered films of ferromagnetic metals. Also listed are the values for nonmagnetic copper films. Mean free path data is from Ref. [39] ˚) l (A τ (1015 s) ρ"(#) (μΩ cm) Metal vF (107 cm/s) Fe majority Fe minority Co majority Co minority Cu

3.3 4.1 7.9 2.7 10.7

15 21 55 6 300



ρ"  ρ# MR  4ρ" ρ#

4.5 5.1 7.0 2.2 28

49 65 32 141 4.6

2  66%:

(16)

Actual Co/Cu multilayers are far from ideal, and the measured MRs are significantly less than this value. The case of Fe is a little more complicated. The minority spin channel in Fe has a longer mean free path. But this does not translate into a smaller resistivity. Instead, the Fe majority spin has a smaller resistivity. This is because another factor plays the opposite role and overwhelms the difference in the mean free path. Fe majority spin has more bands than the minority spin. This translates into more conduction channels and results into a smaller resistivity. However, the difference in the resistivity as listed in Table 1 is not enough to give the amount of MR measured in Fe/Cr multilayers. Using Eq. 11 and the values for Fe in Table 1, we find an MR of only 2 %, far less than the 10 % observed in experiments. What are we missing? The missing ingredient is the intermixing of Fe and Cr atoms. When Cr atoms get into the Fe layer, they become strongly spin-dependent scattering centers. To see qualitatively how Cr impurities scatter differently in the two spin channels, we can simply count the number of valence electrons in each spin channel for Fe and Cr. Because both Fe and Cr bulk metals have the bcc structure, they have nearly the same band structure, with the difference in the position of the Fermi energy relative to the majority and minority spin bands. We write the total number of valence electrons per atom as N ¼ N" þ N# ;

(17)

where the arrows indicate the number of electrons in the majority (") and the minority (#) spin channels, and the total magnetic moment as   M ¼ N "  N # μB :

(18)

The number of valence electrons for Cr is 6 and for Fe is 8, and the moments are 0 for Cr (neglecting its weak antiferromagnetism) and 2 μB for Fe, respectively. From these values we find that both Cr and Fe have the same number of minority

14

X. Zhang and W. Butler

spin electrons (N# = 3), but very different numbers of majority spin electrons (N" = 3 for Cr and 5 for Fe). Thus, for the down-spin electrons, the Cr atom looks very similar to the Fe atom. This yields minimal scattering for electrons and a low resistance. On the other hand, the majority spin potential for the Cr atom is very different than that of the Fe atom; thus, a Cr impurity in Fe can cause strong scattering in the majority spin channel, leading to a large resistance for the majority spin. Not all intermixing will increase GMR. In the case of Fe/Cu or permalloy/Cu multilayers (permalloy is Ni80Fe20 alloy), significant intermixing near the interfaces will produce magnetic impurities with loose spins, whose magnetic moment is not aligned, neither parallel nor antiparallel to the moment of the ferromagnetic layer, or even magnetic dead layers. Loose spins increase spin-flip scattering, and dead layers introduce significant parasitic resistance. Both can reduce the GMR. There is an interesting connection between GMR and the Slater-Pauling rule. The Slater-Pauling rule [6, 7] pertains to 3d-transition metal alloys but has been generalized to other alloy systems. It notes a tendency of bcc and related alloys of 3d-transition metals to prefer to have 3 electrons per atom in the minority spin channel. This can lead to weak scattering in this channel as all of the 3d species adjust their magnetic moments so that their d-bands are nearly degenerate. The Slater-Pauling rule also notes a tendency for fcc-based alloys of 3d-transition metals to adjust their magnetic moments so that the majority d-band is filled. This can lead to weak scattering in the majority channel for these alloys because all atoms will have approximately 5.3 electrons in the majority channel.

Limitation of the Parallel-Resistors-in-Series Model Although the parallel-resistors-in-series model can successfully explain the existence of CPP GMR, neglecting the spin-flip scattering can sometimes lead to very wrong predictions. Here we give an example of a widely used multilayer system for which this model fails. We have explained that in order to obtain a large CPP GMR in multilayer systems, we want the zero field state be such that the moments in neighboring magnetic layers are antiparallel to each other, in what we call an AP configuration. This way when a small magnetic field is applied, it aligns the moment in all the ferromagnetic layers into the same direction, in what we call a P configuration, reducing the total resistance and resulting in the GMR effect. In a practical setup, it is often difficult to keep the spacer layer thickness at exactly the optimal point to ensure the antiferromagnetic coupling. Variations in the spacer layer thickness can greatly diminish or even eliminate the GMR effect. Many recent designs adopted an approach that uses a synthetic antiferromagnet (SAF) [8]. The basic idea is to have one of the ferromagnetic layers (free layer) rotate freely with the applied magnetic field and to have other ferromagnetic layers stay fixed (reference layer). To remove the effect of the magnetic field on the reference layer, two strongly antiferromagnetically coupled magnetic layers are used. Because they always align opposite each other, the total magnetic moment adds to zero. Thus, these two layers will not be rotated by the magnetic field.

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

15

The free layer is usually a soft magnetic material such as the permalloy. The SAF layer is a sandwich consisting of CoFe/Ru/CoFe. A typical stack of Py/Cu/CoFe/ Ru/CoFe (where Py stands for permalloy) would have the desired magnetic configurations tunable by the applied magnetic field and would often show a few percent magnetoresistance. Let us now apply the parallel-resistors-in-series model to this system. For the sake of simplicity, let us assume that the two CoFe layers in the SAF have the same thickness and thus the same resistance. Let us also neglect the resistance of Ru and Cu layers. The parallel (P) configuration is when the permalloy moment aligns with the neighboring CoFe layer, and the AP configuration is when their moments are oppositely aligned. The resistance for each spin channel in the P configuration is CoFe CoFe RP"ð#Þ ¼ RPy "ð#Þ þ R"ð#Þ þ R#ð"Þ :

(19)

For the AP configuration, the resistance is Py CoFe CoFe RAP "ð#Þ ¼ R#ð"Þ þ R"ð#Þ þ R#ð"Þ :

(20)

P AP P AP P It is clear that RAP # ¼ R" and R" ¼ R# . Consequently, the total resistance R = R and the parallel-resistors-in-series model predicts zero magnetoresistance for the system with the SAF reference layer which is known experimentally to work very well! The problem is in the neglected spin-flip scattering. The Ru layer in the SAF stack has a strong spin-orbit coupling. This causes a significant spin-flip term in the Ru layer. The circuit model of two independent parallel paths is no longer valid. Instead, there is a cross path mixing the two spin channels. Let us consider the extreme case that the two spin channels are completely mixed inside the Ru layer, i.e., τRu "# ¼ 0 . In this limit, the last CoFe layer does not play any role in the magnetoresistance except for adding to an additional parasitic resistance term. The stack Py/Cu/CoFe is similar to a trilayer spin-valve structure. Applying the parallel-resistors-in-series model only to the trilayer, the magnetoresistance is



  RCoFe RCoFe " #   : MR ¼  Py CoFe CoFe RPy þ R þ R R " # " # Py RPy "  R#

 

(21)

This example demonstrates that a complete theoretical description of the GMR must include the effect of spin-flip scattering.

Spin-Flip Scattering and the Drift-Diffusion Model As pointed out in section “Limitation of the Parallel-Resistors-in-Series Model,” a useful theory of CPP GMR must include processes that return the spin densities to

16

X. Zhang and W. Butler

their equilibrium distributions in the presence of spin-flip scattering. A simple model that does this was developed by Valet and Fert [9] who extended earlier work by Johnson and Silsbee [10]. The Valet-Fert equations can be derived as an approximation to the Boltzmann transport equation for an extended homogeneous system. Application to layered systems requires additional approximations. In this section we will obtain the Valet-Fert equations by a more heuristic route. We saw in section “Parallel-Resistors-in-Series Model for CPP GMR” that the up- and down-spin electrons can experience a different electrical potential in CPP geometry. This comes about because different conductivities for the up-spin and down-spin electrons lead to different Ohm’s law voltage drops. At the same time, it is clear that the voltage-induced spin-dependent currents must affect the density of the spins if we are to have equal spin currents in the leads and unequal spin currents through the effective resistors of Fig. 3. The first Valet-Fert equation is Ohm’s law applied to the individual spin channel microscopically, in the form Js ¼

σs ∇μ ; e s

(22)

where Js is the current density for spin s, σ s is the spin-dependent conductivity, and μs ¼ μs  eV is the local electrochemical potential for spin s. Thus, the spindependent current in this generalization of Ohm’s law flows not only in response to the microscopic electric field ∇V but also in response to a local accumulation of spin density described by a spatially varying spin-dependent chemical potential, ∇μs . Since electrons are neither created nor destroyed in an electrical circuit, in steady state, the divergence of the total current vanishes, ∇  J ¼ 0. However, if there is spin-flip scattering, up spins can be converted into down spins and vice versa. The continuity theorem tells us that ∇  Js ¼ e

@ns ; @t

(23)

where ns is the density of spins of type s. The density of electrons with spin s will be given in terms of the Fermi function as ns ¼

1X f ðEks  μs Þ; V k 0

(24)

and its rate of change will be given in terms of the Fermi energy density of states, Ns as X @f ðEks  μ Þ @μ @ns @μ s s 0 ¼ ¼ Ns s : @E @t @t @t ks k

(25)

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

17

The rate of change of the chemical potentials depends on how far out of balance they are (i.e., the magnitude of μs  μs), and on the rate of spin-flip scattering 1/τsf, @μs ðμ  μ Þ ¼  s sf s : τ @t

(26)

One of the great advantages of Valet-Fert theory is its parsimonious usage of material parameters. For a system with cubic symmetry, the Fermi energy density of states, Ns, is related to the conductivity through σs ¼

e2  2 v τs N s ; 3 Fs

(27)

where the semiclassical expression for the conductivity due to spin channels of an isotropic or cubic system is σs ¼

e2 X @f 0 ðEsk  μÞ 2  vsk τsk ; @Esk 3V k

(28)

and the Fermi energy density of states is given by Ns ¼

1 X @f 0 ðEsk  μÞ  : V k @Esk

(29)

Combining Eqs. 23, 24, 25, 26, and 27, we have ∇  Js ¼ eN s

ðμs  μs Þ σ s ðμs  μs Þ ¼  sf 2 τsf e ls

(30)

 2 where we have defined the spin-diffusion length for spin s, lsfs , through lfss ¼  2 vFs τs τsf =3. Insight into the meaning of the spin-diffusion length can be obtained through a random walk argument. Assume that the mean time between scattering events that change the electron’s momentum, but not its spin, is τs. In reality, this will depend on k but we will ignore that for the present argument. If the magnitude of the Fermi velocity is vFs, the mean distance that the electron travels between these momentum scattering events is ls = vFsτs. We further assume, after each scattering event, the electron goes off in a random direction completely independent of its direction before the scattering event. This is seldom exactly true, but the error can be at least partially compensated by adjusting the value of the momentum lifetime. We now assume that there will occasionally be a scattering event that also flips the electron’s spin. We can view this as a three-dimensional random walk problem in which we

18

X. Zhang and W. Butler

ask how far a random walker will get on the average taking n = τsf/τs steps of pffiffiffiffiffiffiffiffi length ls. The answer (root mean square of distance) is n=3ls which is equivalent to the spin-diffusion length defined above. Using Eq. 22, the continuity equation (charge density does not change in steady state) becomes   ∇2 σ " μ" þ σ # μ# ¼ 0:

(31)

Combining Eqs. 22 and 30, we can obtain 





∇ μ"  μ# ¼ μ"  μ# 2



! 1 l2sf "

þ

1 l2sf #

:

(32)

The Valet-Fert approximation consists of solving these last two equations for the electrochemical potentials subject to boundary conditions of continuity at the interfaces. We will derive the Valet-Fert equations more properly after we introduce the Boltzmann transport equation. For simplicity, let us consider a layered system with interfaces perpendicular to the z-direction and assume that Eqs. 31 and 32 which were derived for a homogeneous system can be applied to this layered geometry. Equation 32 becomes @ 2 Δμ Δμ ¼ 2 @z2 lsf

(33)

  where Δμ ¼ μ"  μ# and 1=l2sf ¼ 1=l2sf " þ 1=l2sf # . The general solution to this second-order differential equation is     Δμ ¼ Aexp z=lsf þ Bexp z=lsf :

(34)

The corresponding solution to Eq. 31 is σ " μ" þ σ # μ# ¼ Jz þ D;

(35)

where J ¼ J " þ J # is the total current density. Solving the simultaneous Eqs. 34 and 35 will yield the values for μ" and μ# as functions of z. For multilayers, one simply stitches the general solutions within each layer together using boundary conditions which are the continuity of the current density and the local electron chemical potential for each spin.

The FERPS Model The understanding of current-in-plane or CIP GMR requires the combination of two effects. First is the nonlocal conductivity in an inhomogeneous solid. Second is the

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

19

spin-dependent scattering of electrons. A relatively simple model that can incorporate both effects and generate CIP GMR is the model of free electrons with random point scatterers (FERPS) [11]. This model has been implicitly or explicitly assumed for almost all theoretical works on the GMR that were not based on first-principles electronic structure calculations. In this model one assumes that the scatterers are points, that they are distributed randomly in space, and that there are many scatterers within a region of space of volume ‘3 where ‘ is the electron mean free path. Because point scatterers scatter isotropically and do not have the so-called vertex corrections, the first assumption directly allows the use of a relaxation time approximation, which neglects the “scattering-in” term of the Boltzmann equation (sometimes known as the vertex corrections in quantum approaches). Even for many real materials with nearly free-electron-like dispersion, the relaxation time approximation for the impurity scattering is inaccurate. However, when the Fermi energy falls in the d-bands so that the scattering is primarily between s and d states or between two d states, the symmetries of the s and d wave functions are such that the leading order in the vertex corrections tends to vanish. For such materials, the relaxation time approximation may be reasonable. The assumption of random point scatterers offers an additional simplification. It keeps the effect of scattering local which makes it easier to speak in terms of a local scattering rate or a local mean free path. The FERPS model is certainly a major oversimplification, but it has tradition on its side, and it is sufficiently simple that one may hope to see general features without getting lost in detail. It is also useful for testing first-principles calculations which should be capable of giving the FERPS results in the appropriate limit.

Nonlocal Conductivity In the macroscopic model for electric conductivity in a metal, the conductivity is always considered to be local. In other words, the electric field at position r would cause a current density only at the same position, through the local version of the Ohm’s law, JðrÞ ¼ σ ðrÞE ðrÞ:

(36)

Although the two-current model using local conductivity is adequate to explain CPP GMR (meaning that it gives plausible results), it is not adequate to explain the phenomenon of CIP GMR. It is easy to show that the CIP GMR is exactly zero if the conductivity is completely local. In a magnetic multilayer, when the current flows within the plane of the layers, the total current is the sum of the current in each layer. If the conductivity is entirely local, then it is easy to show within the two-current model that no GMR will emerge even if there is spin-dependent conductance. For example, consider a ferromagnetic/spacer/ferromagnetic trilayer. If the conductivity is entirely local, then the current within each layer does not depend on the moment orientation of other layers. When the moments of the two ferromagnetic layers are aligned parallel, each spin channel has the total current

20

X. Zhang and W. Butler

1 I s ¼ I 1s þ I 2 þ I 3s ; 2

(37)

where s = " (#) indicates the spin channel and 1, 2, 3 indicates the layer. The total current is the sum over both spin channels, I ¼ I 1" þ I 1# þ I 2 þ I 3" þ I 3# :

(38)

When the moments are aligned antiparallel, the current in one of the spin channels is 1 I AP" ¼ I 1" þ I 2 þ I 3# ; 2

(39)

and in the other spin channels is 1 I AP# ¼ I 1# þ I 2 þ I 3" : 2

(40)

The sum of Eqs. 39 and 40 is identical to the total current for parallel alignment, Eq. 38. Thus, there would be no magnetoresistance if the conductivity is entirely local. The picture of a nonlocal conductivity can be understood if we imagine that an electron is accelerated by an electric field at point r0 and flies through the solid for a time τ (the relaxation time of the electrons) before being scattered by an impurity at point r and dissipating its extra momentum. Chambers [12] presented a semiclassical argument that the energy of an electron passing through point r at time t had its energy modified by ΔE, ΔEðr, tÞ ¼

ðt 1

0

v0 ðt0 Þ  eEðr0 Þeðtt Þ=τ dt0 ;

(41)

where v0 is the electron velocity at point r0 and time t0 . Every electron will have a similar equation due to the applied electric field. Assuming that the electrons were initially in equilibrium before their energy was modified by the applied field, then the distribution of all electrons can be described by a distribution function in the form f ðr, v, tÞ ¼ f 0 ðEÞ þ

@f 0 ΔEðr, tÞ; @E

(42)

where f0(E) is the equilibrium distribution function which depends only on the electron energy. The current density is calculated from the average velocity of all electrons, JðrÞ ¼ 

eX e X @f 0 ΔEðr, tÞ; vf ðr, v, tÞ ¼  v V v V v @E

(43)

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

where V is the volume of the sample and we used Eq. 41 into this, we find e2 X @f 0 v Jð r Þ ¼  V v @E

ðt

X v

21

vf 0 ðEÞ ¼ 0. Substituting

0

1

v0 ðt0 Þ  e½r0 ðt0 Þeðtt Þ=τ dt0 :

(44)

The current density at position r depends on the electric field at position r0 parametrically through the time variable t0 . For free electrons in a homogeneous medium, the factor @f0/@E is essentially a δ function at the Fermi energy, and v=vF ¼ ðr  r0 Þ=jr  r0 j. The above expression can be reduced to Jð r Þ ¼

e2 k2F 3

ð2π Þ ℏ

ð d3 R

RR  eðr0 ÞeR=‘ ; R2

(45)

where R = r  r0 and ‘ = vFτ is the electron mean free path. In general, if the 0 scattering rate is not homogeneous, then the exponential factor e(t  t )/τ in Eq. 44 ðt  dt00 =τðt00 Þ can be modified as e t0 . The current density can be written in the form ð JðrÞ ¼ d3 r0 σðr, r0 Þ  eðr0 Þ;

(46)

where σ(r, r0 ) is the nonlocal conductivity tensor. For layered structures, within the FERPS model, we can assume that the material is uniform in the xy directions and drop the dependence on xy. The integration over t0 can be converted to integration over z by dt0 ¼ dz0 =jvj cos θ where ð θ is the angle between the velocity v and the z-axis. We further have t  t0 ¼

z

z0

dz00 =jvj cos θ .

Thus, 2

e m σ ðz, z Þ ¼ 3 2ℏ 0

ð π=2 π=2

ðz tan θdθvF vF e

 z0

dz00 =‘ðz00 Þ cos θ

;

(47)

where ‘ðz00 Þ ¼ jvF jτðz00 Þ. We assume that the material properties are uniform within each layer. Thus, the local electric field will have a layer index I instead of coordinate dependence r and is written as E I. Similarly the scattering mean free path is ‘I, and the layer conductivity is σ IJ. The Camblong-Levy approximation [13] to the conductivity of the multilayer can be obtained by integrating Eq. 47 over z0 and averaging over z within the respective layers, 



 3 ‘I 1 dI dI  þ 2E3 σ IIxx ¼ σ I 1 þ  2E5 ; 4 dI 2 ‘I ‘I

(48)

22

X. Zhang and W. Butler

and for I 6¼ J, σ IJ xx ¼

3σ I ‘J 4 dI



      E3 ðϕIJ Þ  E3 ϕI1, J  E3 ϕI, Jþ1 þ E3 ϕI1, Jþ1       E5 ðϕIJ Þ þ E5 ϕI1, J þ E5 ϕI, Jþ1  E5 ϕI1, Jþ1 ;

(49)

where σ I ¼ e2 k2F ‘I =6π 2 ℏ ¼ nI e2 τI =m, and the ϕIJ are defined by ϕIJ ¼

ð zJ

dz zIþ1 ‘ðzÞ

ðI < J Þ;

(50)

where dI = zI + 1 – zI, and the functions En(x) are the exponential integrals defined by En ð x Þ ¼

ð1 1

ext dt: tn

(51)

The total conductance will be given by the sum of these nonlocal conductivities, for example, a trilayer CIP-GMR film with total thickness, d; width, W; and length along field direction, L, would have a different conductance for parallel alignment, GP ¼

 i  # Wd X h IJ  " # σ xx ‘1 , ‘2 , ‘"3 þ σ IJ , ‘ , ‘ ‘ 2 3 ; xx 1 L I, J¼1, 2, 3

(52)

and antiparallel alignment, GA ¼

 i  " Wd X h IJ  " # ‘ σ xx ‘1 , ‘2 , ‘#3 þ σ IJ , ‘ , ‘ xx 1 2 3 : L I, J¼1, 2, 3

(53)

The above Camblong-Levy expressions [13], Eqs. 48 and 49, for the conductivity can also be derived from the Fuchs-Sondheimer theory [14, 15] using a z-dependent lifetime τ(z) or in the multilayer case τI for layer I. Many other authors have also come up with their own derivations of the semiclassical theory using assumptions similar to the FERPS model. All these derivations are completely equivalent. The truncation of the sum over σ IJ in the case of a film with finite thickness is equivalent to complete diffuse surface scattering which would be modeled in Fuchs-Sondheimer theory by p = 0 in the boundary conditions at the surfaces. The conductivity calculated from the semiclassical theory agrees surprisingly well [11] with the numerical evaluation of the Kubo formula, which is the formula that yields the conductivity from a full quantum mechanical approach. Such agreement justifies the use of the semiclassical Boltzmann transport equation for modeling the GMR materials.

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

23

When the conductivity is nonlocal, the current within each layer has a contribution due to the field in other layers. Such contribution depends on the moment orientation of both (or all if the term spans across many layers) layers and changes when the moment configuration is changed. This term is the source of CIP GMR. In the classical picture, the contribution consists of terms involving, for example, an electron with a finite transverse momentum being accelerated in layer 1 by the applied field, traveling across the spacer layer into layer 3, and contributing to the current in layer 3 before getting scattered in that layer. Therefore, for GMR to arise, the electron mean free path in the spacer layer must be long compared to its thickness.

Semiclassical Theory of GMR for Real Materials Quantitative comparison between theory and experiment requires the theory to go beyond the FERPS model and use real band structures. However, for the most part, quantum coherence effects such as quantum well states and other quantum interference effects are rarely apparent in GMR measurements. The reason for this may lie in the fact that the wavelengths of the Fermi energy electronic states in transition and noble metals are comparable to atomic sizes and therefore comparable to the fluctuations in layer thicknesses in typical polycrystalline sputtered films. For this reason, a full quantum mechanical theory for GMR is usually not necessary, although this perspective may change if film quality improves significantly or if some of the layers only have Fermi energy electron states with long wavelengths. In this section we will follow a semiclassical approach. The electrons are assumed to behave like classical particles within the context of transport, except that they obey Fermi statistics (which implies that it is the Fermi energy electrons that are important for transport) and that the relation between electron energy and momentum and the transmission and reflection probabilities at interfaces are calculated using quantum mechanics. The quantum mechanical parts of the calculation are performed assuming equilibrium. The transport part is calculated using the Boltzmann transport equation.

Semiclassical Boltzmann Transport Equation The electron distribution function, fs(k, r, t), is defined as the number of electrons with given values of wave vector k and spin s, at position r and time t, a sevendimensional function (for each spin) measured in dimensionless units. In the absence of applied fields, the electrons are at equilibrium, and the distribution function is the equilibrium distribution function f 0 ðEsk Þ ¼ ½1 þ expðEsk =kB T Þ1 , where the band energy Esk is measured from the Fermi energy. If an electric field is applied, the distribution function will change, and if the field is not too large, the system will reach a new steady state. The total change in the distribution function (which vanishes in steady state) will consist of contributions from drift, field, and scattering,

24

X. Zhang and W. Butler

    df @f  @f  @f  @f  ¼0¼  þ  þ þ : dt @t drift @t field @t momentumscattering @t spinflipscattering

(54)

In this equation, the drift term describes the rate of change of the distribution function due to the movement of electrons caused by their velocity; the field term describes the rate of change of the distribution function due to the acceleration of the electrons caused by the applied external field; the momentum scattering term describes the change in the distribution function due to the scattering of electrons by the imperfections in the lattice which change their momentum, but not their spin; and the spin-flip scattering term describes the change in the distribution function due to scattering processes which change spin. From the definition of each term, we have the following for the rate of change of fs:  @f s  ¼ vðkÞ  ∇f s ðr, kÞ @t drift

 @f s  @k e  ∇k f s ðr, kÞ ¼ e  ∇k f s ðr, kÞ ¼ @t ℏ @t field  X @f s  ¼ Pkk0 ½f s ðr, k0 Þ  f s ðr, kÞ  @t momentumscattering 0 k

 X sf @f s  ¼ Pkk0 ½f s ðr, k0 Þ  f s ðr, kÞ  @t spinflipscattering k0

(55)

(56)

(57)

(58)

  Equation 56 uses Newton’s second law p_ ¼ ℏk_ ¼ eE and the notation ∇k to indicate a gradient in reciprocal space. The effect of the field, E, on the steady-state distribution function, fs(r, k), is to cause deviations from the equilibrium distribution that are limited to the vicinity of the Fermi energy, f s ðr, kÞ ¼ f 0 

@f 0 ðEsk  μ0 Þ gs ðr, kÞ: @Esk

(59)

We write gs(r, k) as the sum of two parts, one that is independent of k and one whose k-dependence is such that its average over the Fermi surface vanishes. gs ðr, kÞ ¼ μs ðrÞ  μ0 þ gas ðr, kÞ:

(60)

We shall see that the second part arises naturally in the solution of the Boltzmann equation for a homogeneous system and that the first part is needed to describe cases such as CPP-GMR systems where there spin density can be different from its equilibrium value.

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

25

The field term can be simplified by noting that the explicit factor of E makes it already first order in the field. Therefore, we need only to retain in ∇k f s ðr, kÞ the lowest nonvanishing order in E. Thus, ∇k f s ðr, kÞ  ∇k f 0 ðEsk  μ0 Þ . Additional simplification of the field term can be obtained by using ∇k f 0 ðEsk  μ0 Þ ¼

@f 0 ðEsk  μ0 Þ @f ðEsk  μ0 Þ ∇k Esk ¼ 0 ℏvsk : @Esk @Esk

(61)

Using these results, the drift, field, and scattering terms can be written as    @f  @f ðEsk  μ0 Þ ¼ 0 vðkÞ  ∇ μs ðrÞ þ gas ðr, kÞ @t drift @Esk  @f  @f ðEks  μ0 Þ ¼ e 0 E  vks @t field @Eks  @f  @f ðEsk  μ0 Þ gas ðr, kÞ ¼ 0  @t momentumscattering @Esk τsk

(62)

(63)

(64)

  1 @f  @f ðEsk  μ0 Þ  ¼ 0 μs ðrÞ þ μs ðrÞ þ gas ðr, kÞ sf  @t spinflipscattering @Esk τsk

(65)

Equations 64 and 65 depend on the additional approximations X k0

@f 0 ðEsk0  μ0 Þ a gs ðr, k0 Þ ¼ 0 @Esk0

(66)

@f 0 ðEsk0  μ0 Þ a gs ðr, k0 Þ ¼ 0: @Esk0

(67)

Pkk0

and X k0

Psfkk0

These are equivalent to assumptions that the momentum and spin-flip scattering probabilities are isotropic since by construction X @f ðEsk0  μ Þ 0 0 gas ðr, k0 Þ ¼ 0: 0 @E 0 sk k

(68)

Setting the sum of terms Eqs. 62, 63, 64, and 65 to zero yields   vsk  ∇ μs ðrÞ þ gas ðr, kÞ þ ee  vsk  gas ðr, kÞ

1 1 þ sf τsk τsk

! þ

μs ðrÞ  μs ðrÞ τsfsk

¼ 0: (69)

26

X. Zhang and W. Butler

The spatial gradient of the chemical potential can be included in the field term by introducing the electrochemical potential μs ðrÞ ¼ μs ðrÞ  eV ðrÞ which allows us to write Eq. 69 as   vsk  ∇ gas ðr, kÞ þ μs ðrÞ 

! 1 1 μ ðrÞ  μs ðrÞ þ sf gas ðr, kÞ  s ¼ 0: τsk τsk τsfsk

(70)

If we multiply Eq. 70 by @f0(Esk –μ0)/@Esk and average over the Brillouin zone, the terms proportional to vsk μs and to gas (r, k) average to zero leaving " # X @f ðEsk  μ Þ μs ðrÞ  μs ðrÞ 0 0 a vsk  ∇gs ðr, kÞ þ ¼ 0: @Esk τsfsk k

(71)

But this is just the Valet-Fert equation related to the continuity equation, Eq. 30, because ∇  Js ¼

e X @f 0 ðEsk  μ0 Þ μ ðrÞ  μs ðrÞ vsk  ∇gas ðr, kÞ ¼ eN s s : V k @Esk τsfsk

(72)

Similarly, if we multiply Eq. 70 by ðvskx @f 0 ðEsk  μ0 Þ=@Esk Þ and sum over the Brillouin zone, the terms that survive give X @f ðEsk  μ Þ 1 1 0 0 vskx gas ðr, kÞ þ sf @E τ sk sk τ k sk

! ¼

X @f ðEsk  μ Þ 0 2 @μs 0 : vskx @E @x sk k

(73)

If we assume that the scattering rates are uniform over the Fermi surface or (more realistically) that their inverse can be replaced by a suitable average lifetime, Eq. 73 yields the other (“Ohm’s law”) Valet-Fert equation, e X @f 0 ðEsk  μ0 Þ e X @f 0 ðEsk  μ0 Þ 2 @μs 1 1 J sx ¼ vskx gas ðr, kÞ  vskx þ sf V k @Esk V k @Esk @x τsk τsk

!1 : (74)

Assuming cubic symmetry, we can write this as Js ¼

σs ∇μ ðrÞ; e s

(75)

where σ s is given by the usual expression for the conductivity, e2 X @f 0 ðEsk  μ0 Þ 2 1 1 σs ¼ vsk þ sf @Esk τsk τsk 3V k

!1 :

(76)

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

27

These are just the Valet-Fert expressions that we found by more heuristic approach in section “Spin-Flip Scattering and the Drift-Diffusion Model” with a slightly modified expression for the spin-dependent electron lifetime. All of the equations in this section have been obtained for a homogeneous system in which spatial changes in the electronic structure are ignored. In applications, the assumption is made (often tacitly) that the electron mean free path is much shorter than the layer thickness. This assumption may be reasonable for some materials and structures. An additional feature is often added to CPP-GMR models that employ ValetFert theory, namely, the use of an additional spin-dependent interfacial resistance. This is typically assumed to result from a thin intermixed interfacial layer, but could also result from a spin-dependent voltage drop resulting from partial reflection of electrons at the interface as discussed in the next section.

Boltzmann Equation for Current-in-Plane Geometry If the system is composed of layers of different materials stacked along the zdirection, it is often a good approximation to assume that we have two-dimensional periodicity within each layer. If the layers are not too thin, we may also assume that within each layer, we can use the electron dispersion relation appropriate to that material in bulk. We would, of course, have to worry about obtaining the correct relative placement of the energy bands because, in general, when two materials are brought together, a dipole layer forms at the interface to balance the electrochemical potentials and allow the materials to have their correct Fermi energies far from the interfaces. These approximations lead, then, to a model in which the band energies, Einsk, and velocities, vinsk, within each layer are assumed to be those for a perfect (infinite) crystal. Here, an additional index, i, has been added to label the layer. The layers are separated by thin, interfacial regions that can be described by transmission and reflection probabilities as we shall show in a later section. In this section we shall assume that the materials are homogeneous in the x and y directions but that they vary (different materials, interfaces, boundaries, etc.) in the z-direction. Because we have boundaries and interfaces, the distribution function will vary with z and will satisfy Eq. 70 specialized to our layered geometry,

@ 1 vizsk þ g ðz, kÞ ¼ evisk  E: @z τis is

(77)

In Eq. 77, we have neglected the k-dependence of the lifetimes, and the “scatteringin” term. We assume that the electric field is applied perpendicular to the z-direction which yields the important simplification that the electric field is uniform so that (at least in the absence of spin-orbit coupling) we do not have to worry about spatially varying spin-dependent chemical potentials.

28

X. Zhang and W. Butler

0.8 0.6 0.4 0.2 ky

Fig. 4 Cut through the kx = 0 plane of the Fermi surface of copper. The arrows indicate the magnitude and direction of the electron velocity. ky and kz are given in units of inverse Bohr radii ˚ 1). The ky direction (1.89 A here is the (111) direction with respect to the conventional cubic axes

0

−0.2 −0.4 −0.6 −0.8

−0.8 −0.6 −0.4 −0.2

0 kz

0.2

0.4

0.6

0.8

The current density, however, will be z-dependent and is given by Ji ðzÞ ¼

eX visk gis ðz, kÞδðEisk  μÞ: V sk

(78)

In Eq. 78 we assume that the temperature is low enough that we can replace the energy derivative of the Fermi function with a delta function. In evaluating the sum over k = kx, ky, kz, we can take advantage of delta function in this ð layered geometry X ¼L dkz =ð2π Þ. Then we by converting the sum over kz into an integral, i.e., k z

can perform the integral over kz (for fixed k|| = kx, ky) using the δ-function (suppressing the layer index, i) as ð

 X g z, k v k    k ns ns   k j: dkz vskk kz gs z, kk kz δ Eskk kz  μ ¼ ℏjv kk zns n 

(79)

Equation 79 requires some explanation. Consider Figures 4 and 5 which depict calculated cuts through the Fermi surfaces of Cu and Co, respectively. Imagine that we are integrating over kz for arbitrary specified values of kx and ky. It is clear from these examples that as we vary kz from one side of the Brillouin zone to the other, we will pass through the Fermi surface 2n times. For Figure 4 and the left panel of Fig. 5, n is one for the chosen value of kx and any value of ky. For the right panel of Fig. 5, n will depend on the value ky for which the integration over kz is being

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance 0.8

0.8

0.6

0.6

0.4

0.4

0.2

0.2 ky

ky

1

0

0

−0.2

−0.2

−0.4

−0.4

−0.6

−0.6

−0.8

−0.8 −0.6 −0.4 −0.2 0

0.2 0.4 0.6 0.8

29

−0.8

−0.8 −0.6 −0.4 −0.2 0

kz

0.2 0.4 0.6 0.8

kz

Fig. 5 Cuts through the kx = 0 plane of the majority (left panel) and minority (right panel) Fermi surfaces of cobalt. The arrows representing the velocities are drawn to the same scale as for copper in Fig. 4 ky and kz are given in units of inverse Bohr radii

performed. When kz crosses the Fermi surface, the argument of the delta function passes through zero. As it passes through zero, we have Eskk kz  μ þ

    @Eskk kz  ¼ μ þ ℏvzns kk kz  kn kz  kn z z @kz

(80)

where kn z indicates the value of kz(k||) on the nth sheet of the Fermi surface and the  indicates whether the z-component of the Fermi velocity at this point is positive or negative. Using Eq. 79 in Eq. 78, we get     e X gns z, kk vns kk   Jð z Þ ¼  j: 2πA nsk ℏjv kk zns k

(81)

It is important to note that for every value of k|| and n, there will be two states one for which vz > 0 and another with vz < 0. This is true even if the Fermi surface does not have mirror symmetry around the plane kz = 0, as occurs, for example, in fcc Eq. 111. Using this result, we can write Eq. 81 as J z ðzÞ ¼ 

   e X þ gns z, kk  g ns z, kk ; 2πAℏ nsk

(82)

k

  where the superscript +() indicates the Bloch state with vþ kk > 0 and nsz         n stands for g . vnsz kk < 0 and the notation g z, k z, k , k k k z ns ns

30

X. Zhang and W. Butler

Boundary Conditions on Interfaces To uniquely determine the solution to the Boltzmann equation, we need a proper set of boundary conditions. For layered systems electrons traveling in the +z direction satisfy a different boundary condition from those traveling in the z direction. This was first worked out for single-layer films by Fuchs [14], and the generalization to multilayers [11, 13] is relatively straightforward. In most cases, the transmission and reflection on the interfaces are coherent, and the spin-flip scattering is also negligible. Therefore, in the discussion of the boundary conditions, we temporarily drop  the  spin index, s, but add the layer index, i. The boundary conditions on g z, k are obtained by requiring particle k n      conservation at each of the interfaces. Since gþ in z, kk and gin z, kk represent the distribution functions in layer i for electrons traveling in the +z and z directions, respectively, we can express the relationships between the distribution  functions  in  layers i and i + 1 (with interface at zi) in terms of the transmission T þþ and , T i i   þ reflection T þ , T probabilities of the interfaces. We use a convention illusi i 0 trated in Figure 6 in which, for example, T þ i ðk, k Þ is the probability for a z going 0 electron in Bloch state k incident on interface i to leave the interface going in the +z direction in Bloch state k. Consider the flux leaving this interface X of electrons   þ g zk traveling in the +z direction (in layer i + 1), k . This flux is the sum n, k iþ1, n k

of the transmitted flux of +z going electrons from layer i and the reflected flux from those electrons originally traveling in the z direction in layer i + 1. A similar flux conservation argument relates the z going electron flux leaving the interface to the incoming fluxes in the two layers, Fig. 6 Convention for the transmission and reflection probabilities

Layer i

Layer i+1

T− − T+ −

T− + T+ +

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

Fig. 7 The right-going beam in layer i + 1, gþ iþ1 , is the sum of the reflected part of the leftgoing beam in that layer, g iþ1, and the transmitted part of the right-going beam in layer i, gþ i

31

zi Layer i

Layer i+1

g + (zi+) i +1

g − (zi+)

g + (zi−)

i +1

i

þ  gþ iþ1, n zi , kk

¼

NR X n0 , k0k

þ

NL X

n0 , k0k

  g in zi , kk

¼

     0 T þþ nkk , n0 k0k gþ i in0 zi , kk

NL X n0 , k0k

þ

NR X

n0 , k0k

    0 0  þ 0 T þ nk , n k z , k g 0 k i k iþ1, n i k

:

     0 T þ nkk , n0 k0k gþ i in0 zi , kk

(83)

    þ 0 0 0  T  nk , n k z , k g 0 k i iþ1, n i k k

Here NL and NR denote the number of states on the left or right of the interface, respectively, for a given value of kj j0 . If we assume that the layers have two-dimensional periodicity, so that the momentum parallel to the interface is conserved on transmission or reflection, the boundary conditions become þ  gþ iþ1, n zi , kk

¼

NR X þ  0  T þ i ðn, n Þgiþ1, n0 zi , kk n0

NL X   0 þ þ T þþ i ðn, n Þgin0 zi , kk n0

g in



z i , kk



NL X   0 þ ¼ T þ i ðn, n Þgin0 zi , kk n0

NR X þ  0  þ T  i ðn, n Þgiþ1, n0 zi , kk0 j0

:

(84)

32

X. Zhang and W. Butler 1

1 Transmission

0.8

0.8

Copper Fermi surface

0.6

0.6 kz

Transmission Probability

Fig. 8 Transmission probabilities of copper electrons incident on majority cobalt for a cut through the Fermi surface with ky = 0. The Fermi surface of copper and majority cobalt are also shown

0.4

0.4

Cobalt Fermi surface

0.2 0

0.2

0

0.1

0.2

0.3

0.4

0.5

0.6

0 0.7

kx

1

1 Transmission

0.8

0.8

0.6

0.6

0.4

0.4

kz

Transmission Probability

Fig. 9 Transmission probabilities of copper electrons incident on minority cobalt for a cut through the Fermi surface with ky = 0. The Fermi surface of minority cobalt is also shown. Note that the transmission probability goes to zero if the velocity of the state receiving the electrons goes to zero. This is a consequence of flux conservation

Cobalt Fermi surface

0.2 0 0.1

0.2

0.3

0.4

0.5

0.6

0.2 0 0.7

kx

The first of these relations is shown pictorially in Figure 7. The transmission and reflection matrices can be calculated from the underlying electronic structure of the layers and their interface [16]. Figures 8 and 9 show the transmission and reflection probabilities for Bloch waves in copper incident on cobalt. The transmission and reflection probabilities conserve electron flux. Thus, considering incident left- and right-going waves of unit flux, respectively, we can derive the following conservation rules, NR NL X X 0 0 T þþ T þ i ðn, n Þ þ i ðn, n Þ ¼ 1 n

n

n

n

NL NR X X 0 0 T  ð n, n Þ þ T þ i i ðn, n Þ ¼ 1;

(85)

and considering unit left- and right-going fluxes leaving the interface, we obtain

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

33

NL NR X X 0 0 T þþ ð n, n Þ þ T þ i i ðn, n Þ ¼ 1 n0

n0

n0

n0

NR NL X X 0 0 T  ð n, n Þ þ T þ i i ðn, n Þ ¼ 1:

(86)

CIP GMR Using Realistic Electronic Structure CIP GMR arises from the nonlocal nature of electrical conduction. A necessary requirement for CIP GMR is that the electron mean free path be at least comparable to the thicknesses of the layers. One contribution to CIP GMR can be thought of as an effect similar to the effect of a boundary decreasing the conductivity. Consider a three-layer system, e.g., a layer of copper sandwiched between two layers of cobalt. Suppose that copper and cobalt matched perfectly in the majority channel. Then the majority electrons, when the moments of the two cobalt layers are aligned, would effectively see a film thickness equal to the sum of the thicknesses of the three layers while the minority electrons would tend to be confined within the individual layers because of the changes in electronic structure at the interfaces. When the moments are anti-aligned, however, both of the spin channels would see effectively two layers. This causes a difference in the total current and the giant magnetoresistance. In fact, of course, as indicated in Figures 4, 5, and 8, there is a difference between cobalt and copper in the majority channel. The copper majority Fermi surface is larger than that of cobalt. It holds 0.5 electrons while that of cobalt holds only 0.3. A cut through the Fermi surfaces of copper and majority cobalt is shown in Figure 8. The z-direction (perpendicular to the layers) is toward the top of the figure. The directions perpendicular to this direction are in the plane of the layers. If the interfaces are smooth on an atomic scale, then the component of the momentum parallel to the interface (k||) does not change on reflection or refraction at an interface. Thus, from Figure 8, it is clear that there are values of k|| for which states exist in the copper but not in the cobalt. This means that these states cannot refract into the cobalt; they must reflect back into the copper. This can lead to a significant contribution to the GMR if the interface is sufficiently smooth because some of the majority electrons can be “trapped” inside the copper where the resistance is significantly lower for both spin channels than for cobalt. This “trapping” of the electrons inside the copper layer is analogous to the trapping of light waves within a waveguide [17]. Note from Fig. 14 that interfacial disorder is relatively ineffective in reducing the specular reflection for values of k|| where total reflection occurs. A calculation for the current density in a CIP cobalt|copper|cobalt spin valve using realistic electronic structures is shown in Figures 10 and 11. Figure 10 shows the majority and minority currents for both parallel ("", ##) and antiparallel ("#, #") alignments. In this example, the scattering rate in the copper is chosen to give the copper a resistivity of 3 μΩ cm, a typical value for sputter-deposited copper films at room temperature. The scattering rates for cobalt were chosen to give it a resistance of 15 μΩ cm which is also typical of sputtered films. A much higher scattering rate

Fig. 11 Current densities for parallel alignment, antiparallel alignment, and difference or giant magnetoconductance for a CIP Co-Cu spin valve

0.0012 0.001 Co

Cu

Co

0.0008 0.0006 0.0004 0.0002 0

0

10

20

30 40 z (Angstroms)

50

60

70

0.0018 Current Density (Amp-Angstrom/Volt)

Fig. 10 Current densities for CIP Co|Cu|Co spin valves. The scattering rates correspond to bulk resistivities of 3 μΩ cm for copper and 15 μΩ cm for cobalt with the majority lifetime ten times longer than the minority lifetime for cobalt

X. Zhang and W. Butler

Current Density (Amp-Angstrom/Volt)

34

P =↑↑ + ↓↓

0.0016 0.0014

AP =↑↓ + ↓↑

0.0012 0.001 Co

0.0008

Cu

Co

0.0006 GMC = P−AP

0.0004 0.0002 0

0

10

20

30

40

50

60

70

z (Angstroms)

was chosen for the minority than for the majority cobalt. It can be seen that the current density is significantly higher in the copper than in the cobalt. It can be seen from Figure 11 that the largest contributions to the giant magnetoconductance arise from the copper spacer layer indicating the importance of the channeling effect. Comparison of calculated and measured values of GMR for a series of cobaltcopper spin valves with different thicknesses of the cobalt layers indicated the existence of important contributions to GMR from both the channeling effect and from the differences in bulk scattering rates for the majority and minority channels of cobalt (Fig. 11).

Boltzmann Equation for CPP When the system is inhomogeneous in the direction in which the field is applied, there will be accumulation of spin and charges near the interfaces between the layers. Leaving aside possible spin accumulation for the time being, charge accumulation can be easily illustrated through the example of a system with a local but

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

Fig. 12 Chemical potential divided by current density for copper-cobalt majority interface

Boltzmann Equation Diffusion Only Diffusion + Interface Resistance

–1.0

V/J (fΩ m2)

35

–1.5 Cu

Co

–2.0

–2.5 –4

–2

0 z (nm)

2

4

spatially varying conductivity σ(z) that depends on z, the direction which sustains a current, J. The current density is related to the local electric field through the conductivity, J ðzÞ ¼ σ ðzÞE ðzÞ:

(87)

In steady state, J(z) must be independent of z if charge is to be conserved. Thus, the local field, E(z), must vary as J/σ(z). We can think of this local field as arising from an applied field together with the fields due to the inhomogeneous distribution of electrons that is set up by the current passing through the sample. The case with both charge and spin accumulation was treated in section “Spin-Flip Scattering and the Drift-Diffusion Model” using a model in which the electronic structure did not change from one layer to the next. Here we extend that model for the case in which the electronic structure of the layers may differ. We begin with the Boltzmann equation, Eq. 70, specialized to our geometry (Fig. 12),

 @  a 1 1 μ ðzÞ  μs ðzÞ vzsk g ðz, kÞ þ μs ðzÞ  þ ¼ 0: gas ðz, kÞ  s @z s τs τsfs τsfs

(88)

Just as in section “Semiclassical Boltzmann Transport Equation,” we can average this equation over the Fermi surface obtaining @J zs ðzÞ μ μ ¼ eN s s sf s ; @z τs

(89)

while averaging after multiplying by vzsk gives J zs ðzÞ ¼

σ s @μs : e @z

(90)

36

X. Zhang and W. Butler

Fig. 13 Chemical potential divided by current density for copper-cobalt minority interface

2

V/J (f Ω m2)

1 Cu

Co

0 −1 −2 −3

Boltzmann Equation Diffusion Only Diffusion + Interface Resistance −2.0

−1.0

0 z (nm)

1.0

2.0

Just as in section “Semiclassical Boltzmann Transport,” these two Valet-Fert equations can be solved within each layer. However, the boundary conditions that are used to connect solutions in different layers are now determined by the transmission and reflection expressions derived in section “Boundary Conditions on Interfaces.” As a consequence, it is not sufficient to calculate only the spindependent currents and chemical potentials. It seems to be necessary to calculate the entire distribution function. An important point that must be kept in mind in applying the boundary conditions is that they must refer to the entire deviation function, not simply the anisotropic part. The Boltzmann equation together with the matching conditions at the boundaries can be solved by an iterative process [18]. Figures 12 and 13 show the calculated electrochemical potential, μ; for the majority and minority channels in the vicinity of a copper-cobalt interface. The scattering rates are similar to those used for the CIP calculations; the bulk resistivity of the copper is approximately 3 μΩ cm and that of the cobalt is approximately 15 μΩ cm with a scattering rate about 15 times higher for minority cobalt than for majority cobalt. The electrochemical potential ð has been divided by the current density so that the plots yield

dxρs ðzÞ. Without

incorporating the actual band structures of the copper and cobalt in the Boltzmann equation, one would simply obtain a straight line for each layer with the slope of the line being its resistivity. Using the actual band parameters in the Boltzmann equation leads to two main differences: (1) There is a discontinuity in the chemical potential at the interface which is equivalent to an interfacial resistance. This interfacial resistance is not due to intermixing or additional scattering at the interface (although this effect can be included in the model if desired) but to the mismatch of the bands across the interface which causes some of the electrons incident on the interface to be reflected. (2) There are exponential terms in the electrochemical potential in the vicinity of the interface that decay at a rate comparable to the component of the mean free path perpendicular to the layers.

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

37

The effect of these terms can be included as an additional interfacial resistance that is added to the discontinuous contribution just described as is indicated in the dotted lines of Figures 12 and 13. If this is done, however, it must be taken into consideration that this additional contribution depends on the environment of the interface, e.g., the proximity of other interfaces. The calculated interfacial resistances are comparable to those observed [5] in cobalt-copper multilayers at low temperature.

Diffuse Interfacial Scattering If the interface is disordered, the flux conservation rules must be extended to include the scattering of electrons between different values of k||. Diffuse scattering by interfaces and surfaces can be treated phenomenologically by including a specularity parameter Si for each interface so that Eq. 84 becomes þ, j 

giþ1 zþ i , kk



2 NR X  0 ¼ Si 4 T þ ðj, j0 Þg, j zþ , kk j0

i

iþ1

i

3 NL X  0 0 þ, j 5 þ T þþ z i , kk i ðj, j Þgi j0

, j 

gi

z i , kk



2 NL X  0 ¼ Si 4 T þ ðj, j0 Þgþ, j z , kk j0

i

i

(91)

i

3 NR X  0 þ 0 , j 5: þ T  i ðj, j Þgiþ1 zi , kk0 j0

The value of Si is between zero and one. For purely specular scattering, i.e., a perfectly clean interface where k|| is always conserved, Si = 1. In the other extreme, for completely diffuse scattering Si = 0. Although Eq. 91 is technically consistent with the spirit of the lifetime approximation, because it neglects all scatter-in terms when Si < 1, it does not conserve flux. This does not cause a problem for CIP when there is no net current flowing perpendicular to the interface and the current parallel to the interface is still conserved. For CPP a scatter-in term has to be added to keep the flux constant across the interface. There is no clear choice for the form of the scatter-in term. In the case of isotropic scattering, one can add a term proportional to the difference in the chemical potential on both sides of the interface. The constant specularity parameter approach to diffuse scattering is not very accurate and may not even be physical [11]. A model system in which there are random point scatterers at the interface between two free-electron regions can be solved in closed form in the weak scattering limit [19]. The result is a specularity function that is strongly dependent on k|| and that is quite different for transmission and reflection. The specularity function for transmission in this model is

38

X. Zhang and W. Butler kx/kF2

10

1–Sr

8 6 4

1–S

2

1–St

0 1–St

2 4 6 8 10 −1

1–Sr −0.8

−0.6

−0.4

−0.2

0 kx/kF1

0.2

0.4

0.6

0.8

1

Fig. 14 1 – St and 1 – Sr in the effective mass approximation. The Fermi momentum, kF1 corresponds to 0.5 electrons per spin channel (e.g., as in Cu) while kF2 corresponds to 0.3 electrons per spin channel (e.g., as in majority Co). The values of 1 – St and 1 – Sr are measured in terms of the dimensionless parameter 2meγn0a/ℏ2, where α is the lattice constant which is assumed to be fcc

  4π γn   0   St kk ¼ 1  ℏ vLz kk þ vRz kk

(92)

where γ is a measure of the interface roughness (γ is defined by the correlation function of the random interfacial potential, hV ðrÞV ðr0 Þi ¼ γδðr  r0 ÞδðzÞ), n0 is the Fermi energy DOS at the interface, and vL and vR are the electron velocities on the right and left sides of the interface. Similarly, the specularity function for reflection is     vLz kk γn0 ð0; EÞ 8π Sr k k ¼ 1        : ℏ vL kk 2  vR kk 2 z z

(93)

Note that within this model, interfacial disorder can only decrease the specular transmission, but it can either decrease or enhance the specular reflection depending on the velocities on either side of the interface. Specular transmission remains symmetric in the sense that that transmission from left to right remains the same as from right to left. Specular reflection, however, is no longer symmetric in the presence of a disordered interface. The specularity parameters calculated from Eqs. 92 and 93 are plotted both as functions of kk/kF1 and kk/kF2 in Fig. 14, where kF1 and kF2 are the Fermi wave vectors of the materials on two sides of the interface, respectively. Note that within this model the diffuse scattering vanishes as kk ! kF1. Generally, however, the

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

39

model predicts the effects of diffuse scattering to be significantly greater for the reflected beam than for the transmitted beam.

Theoretical Approach for Spin-Dependent Tunneling Julliere Model The first model used to describe spin-dependent tunneling was proposed by Julliere in 1975 [20]. In this model the spin-dependent tunneling conductance is described in terms of a polarization, P, of electrons “tunneling from ferromagnetic metals,” n"  n# ; n" þ n#

(94)

n" 1 þ P ; ¼ n# 1  P

(95)

P¼ thus

where n" and n# are some kind of the spin-polarized electron “density of states.” The tunneling conductance is then assumed to be proportional to the product of these “density of states” from the two electrodes,   GP ¼ C n"1 n"2 þ n#1 n#2

(96)

  GAP ¼ C n"1 n#2 þ n#1 n"2 ;

(97)

where the subscripts P and AP indicate the parallel and the antiparallel alignments of the magnetic moments in the two electrodes, 1 and 2 label the two electrodes, and C is a constant independent of the moment alignment. The magnetoconductance is then     n"1 n"2 þ n#1 n#2  n"1 n#2 þ n#1 n"2 GP  GA 2P1 P2 ¼ ¼ : " " # # GP 1 þ P1 P 2 n1 n2 þ n1 n2

(98)

This is the well-known Julliere formula for spin-dependent tunneling. It has been widely used to rationalize measurements of magnetic tunnel junctions, in particular to extract the values of spin polarizations P1 and P2 through the measurements of magnetoresistance. However, it is clear that these polarizations are defined in terms of n" and n# whose meaning is ambiguous. They cannot be the actual electron density of states at the Fermi energy for ferromagnetic electrodes, where the minority spin has a much greater density of states than the majority spin, opposite of the spin polarization values extracted from tunneling experiments. It may be

40

X. Zhang and W. Butler

argued that the definition of the spin polarization parameter should include the tunneling matrix element of the barrier. But then P is no longer a property of the electrode alone. A proper treatment of spin-dependent tunneling must begin from the tunneling theory.

Landauer Formula Tunneling conductance can be calculated using a very simple but general result due to Landauer [21] which relates the conductance to the probability of a Bloch electron in one of the electrodes being transmitted through the barrier layer to the opposite electrode. To understand the Landauer conductance formula, it is helpful to consider two reservoirs for electrons connected by a sample as shown in Fig. 15. If we imagine the left reservoir, with chemical potential μL, to be an emitter of rightgoing electrons, we can write the current density of those electrons that leave the reservoir on the left and enter the reservoir on the right as ð e þ Jþ ¼ (99) d 3 kvþ z ðkÞf ðE  μL ÞT ðkÞ ð2π Þ3 where T þ ðkÞ 

X k

T þþ ðk, k0 Þ

(100)

0

is the transmission probability of an electron at energy E and momentum ħk and z is the direction from reservoir L to reservoir R. In general the scattering within the sample region may not conserve the momentum; thus, the incident wave vector k and the exit wave vector k0 may not be the same, and the total transmission is calculated by summing over all k0 . For ballistic tunneling through epitaxial junctions, the transverse component kk is conserved. The group velocity vþ z ðkÞ ¼ ð1=ℏÞ @E=@kz which leads to Jþ

¼

ð1

ð1

X 1 @E f ðE  μ L Þ T þ ðk, jÞ, ℏ @kz ð2π Þ 0 0 j ð ð1 X   e 1 þ ¼ kk dkk dE T kk , j f ðE  μL Þ: 2πh 0 0 j e

2

kk dkk

dkz

(101)

Fig. 15 Two electron reservoirs connected by a conductor

μL

μR

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

41

Here, the sum over j is needed because there will, in general, be more than one Bloch state for a given value of kk. A line of reasoning similar to the one that led to Eq. 101 leads to an expression for the current of electrons emitted in the z direction by the reservoir on the right which enter the reservoir on the left, e J ¼ 2πh 

ð1

ð1 kk dkk

0

dE 0

X

  T  kk , j f ðE  μR Þ:

(102)

j

Assuming time reversal invariance (to apply the time reversal argument rigorously, we should reverse the moment directions as well as the electron directions. If we ignore spin-orbit coupling, however, T+ = T for the individual spin channels), we can equate T+ and T. We further neglect the energy dependence of the transmission probabilities. This allows us to write the net current as J ¼ Jþ  J ¼

e 2πh

ð1

ð1 kk dkk

0

dE 0

X

  T þ kk , j ½f ðE  μL Þ  f ðE  μR Þ: (103)

j

For a small bias voltage and low temperature, the energy dependence of T+(kk, J) within the transport window μL < E < μR (and a few kT both above and below) can be neglected, and the integral over E can be carried out, ð1 0



1 1 þ eðEμL Þ=kT  dE½f ðE  μL Þ  f ðE  μR Þ ¼ kTln ¼ μL  μR : (104) 1 þ eðEμR Þ=kT 0 ð1 X   e ðμL  μR Þ kk dkk T þ kk , j : (105) J¼ 2πh 0 j

Taking the derivative with respect to V = (μL – μR)/e yields the Landauer conductance formula, G¼

 e2 X þ  T kk , j : h k ,j

(106)

k

The physical interpretation of the Landauer conductance formula is that the conductance is given by a sum over the transverse normal modes of the sample (kk here). The conductance contributed by each normal mode is given by the probability that the electron in that transverse normal mode will be transmitted. Each normal mode contributes a maximum conductance of e2/h. In addition to its use in describing tunneling, it is also used extensively to describe electron transport through molecules. Ballistic transport such as described by the Landauer conductance formula neglects electron scattering except for that explicitly included in the transmission probability. As we learned in problem 1, however, a conductor will have a nonzero resistance and a finite conductance even if the electrons are transmitted without scattering because the number of transverse normal modes is finite.

42

X. Zhang and W. Butler

The original Landauer formula has the ratio of transmission probability divided by reflection probability (T/R) where we have only the transmission probability in Eq. 106. It is now usually accepted that this additional factor of 1/R is present or not depending on exactly how the measurement is performed, that is, on whether or not one measures current and voltage using the same leads, as is assumed in the derivation here, or whether a separate set of probes is used to determine the voltage across the sample.

Spin-Dependent Tunneling in the Free-Electron Model Layer-Wise Free-Electron Model The theory of electron tunneling can be presented cogently in terms of a general electronic structure. It is instructive, however, to have a specific electronic structure in mind. This will allow us to perform calculations that will help us to understand how the theory works in a concrete context. Once the principles are understood, it should be straightforward (if tedious) to apply them to more accurate and detailed representations of the electronic structure. Given a one electron Hamiltonian, H, and its associated Schro¨dinger equation, Hψ = Eψ , various techniques can be used to solve for the wave functions and energy spectrum. Two of the simplest approximations for the electronic structure are the free-electron model and the tight-binding model. In the free-electron model, only the kinetic energy term of the Schro¨dinger equation is retained. The freeelectron model provides a reasonable representation of the energy bands in the vicinity of the Fermi surface of certain metals, notably the alkali metals (e.g., Na, K, Rb, Cs); some of the simple metals such as Al, Zn, and Cd; the conduction bands of some semiconductors; and the noble metals (Cu, Ag, and Au). The free-electron model is not well suited for describing the electronic structure of transition metals except in special cases. One of these cases is the majority conduction bands of Ni and Co. For ferromagnetic Ni and Co, the exchange interaction shifts the majority bands down with respect to the minority bands so that the Fermi energy intersects the majority bands above the d-bands so that the Fermi surface is a nearly closed object resembling a sphere with eight small “necks.” The primary justification for our use of the free-electron approximation is that it allows us to perform simple calculations that help us to understand the more complicated systems that are more relevant to experiment. When two metallic layers are brought into contact, there will typically be a transfer of electrons from one layer to the other. This transfer of charge is necessary to equalize the Fermi levels of the two metals and results in a dipole layer that resides near the interface. A similar charge rearrangement also occurs when a metallic layer is interfaced with an insulator or semiconductor layer. For thick insulator or semiconductors, a Schottky barrier can form that may extend for hundreds of nanometers. The ultrathin insulating barrier layers in magnetic tunnel junctions are typically only a few nanometers thick. Such a thickness is not sufficient to build a Schottky barrier. Nonetheless, there is still an interface dipole

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

43

layer due to the charge transfer between the metal and the barrier layer. Such effects are neglected in a free-electron model. The change in potential at the interface is simply treated as a step. Since the potential in the Schro¨dinger equation depends only on z and not on x or y, we can write

ℏ2 2 ∇ þ V ðzÞ ψ ðx, y, zÞ ¼ Eψ ðx, y, zÞ:  2m Because of the planar symmetry, we can separate variables by writing ψ(x, y, z) = X(x)Y( y)Z(z). On substitution and division by ψ(x, y, z), we have

1 d2 X 1 d2 Y 1 d2 Z 2m  þ þ ¼ 2 ½E  V ðzÞ: 2 2 2 X dx Y dy Z dz ℏ The solutions for X(x) and Y( y) are simply X(x) = exp(ikxx) and Y( y) = exp (ikyy). It would be equally valid to use sines and cosines, but we will use exponentials because we will ultimately establish a correspondence between a wave function proportional to exp(ikxx + ikyy + ikzz) and a semiclassical electron with momentum ħk. Using the solutions for X (x) and Y( y), our Schro¨dinger equation becomes 

1 d2 Z 2m ¼ 2 ðE  V ðzÞÞ  k2x  k2y ; Z dz2 ℏ

where kx and ky are independent of z. The fact that kk ¼ kx x^ þ ky y^ does not change between layers is called kk conservation. It results from our assumption that V(z) depends only on z. If the interfaces between the layers are smooth, the component of the electron’s momentum parallel to the interfaces does not change from one layer to the next. Let us assume that our system consists of layers in the z-direction and that the potential is constant with value within each layer. 

@2 Z ðzÞ ¼ @z2



2m 2 2 ð  V Þ  k  k E F L x y Z ðzÞ: ℏ2

The solution within each layer is then trivial, Z ðzÞ ¼ AeikzL z þ BeikzL z ; where ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi r  2m ZðzÞ ¼ AeikzL z þ BeikzL z kzL ¼ ðEF  V L Þ  k2x  k2y . Because the x- and yℏ2 components of the electron’s momentum, kx and ky, are conserved at the interfaces between the layers, changes in kF due to a change in potential as the electron moves between layers, it will be the z-component of the momentum that changes. qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi We will suppress the z subscript on kz and write k ¼ k2FL  k2x  k2y ¼ kFL cos θ, where cos θ is the angle between the electron’s momentum and the normal to the layers, i.e., the z-axis. It is easy to forget (and important to remember) in the

44

X. Zhang and W. Butler

following, however, that it is the z-component of the momentum that is represented.

One-Dimensional Model for Spin-Dependent Tunneling A very simple model for spin-dependent tunneling can be derived from a transmission problem through a one-dimensional tunnel barrier for free electrons. Suppose that the wave vectors in three regions of space are k1 (left lead), iκ (barrier region), and k2 (right lead), then the transmission coefficient for this barrier can be found easily through matching the wave functions and their derivatives across the three regions. We find T¼

16k1 κk2 expð2κdÞ fκ ðk1 þ k2 Þ½1 þ expð2κdÞg2 þ fðκ2  k1 k2 Þ½1  expð2κd Þg2

(107)

where d is the barrier thickness. In the limit of κd 1, T is simplified to 16k1 κk2 expð2κdÞ  : T¼ 2 κ þ k21 κ2 þ k22

(108)

In the free-electron model, the two spin channels have different wave vectors, pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi k" ¼ EF  V " and k# ¼ EF  V # , at the Fermi level, where V"–V# is the exchange splitting. Thus, the majority conductance for parallel alignment of the moments on opposite sides of the barrier is found from Eqs. 108 and 106 using k1 = k2 = k", and for the minority conductance k1 = k2 = k#, 2 e 6 GP ¼ 16 κexpð2κd Þ4 h

3 k2"

k2#

7 2 þ  2 5: κ2 þ k2" κ 2 þ k2#

(109)

The conductance for antiparallel alignment of the moments is obtained by setting k1 = k", k2 = k#, e k" k#  : GAP ¼ 32 κexpð2κdÞ  h κ 2 þ k2 κ 2 þ k2 "

(110)

#

The tunneling magnetoresistance (TMR), defined as (GP – GAp)/GP, is given by  2  2 2 κ 2  k" k# k"  k# GP  GAP ¼ 2  2  2  2 : GP κ 2 þ k" k# k" þ k# þ κ 2  k" k# k"  k#

(111)

The polarization of the tunneling electrons used in the Julliere model, applied to free electrons, is simply that of the Fermi energy electrons, i.e.,

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance



k"  k# : k" þ k#

45

(112)

The TMR given by Eq. 111 cannot be easily reduced into a simple function of P as in the Julliere formula, Eq. 98. However, if we define an effective polarization in place of P, defined as Peff ¼ P

κ 2  k" k# ; κ 2 þ k" k#

(113)

P2eff : 1 þ P2eff

(114)

then the TMR in Eq. 111 becomes TMR ¼

This form resembles the original Julliere formula, Eq. 98, and is its generalization to the free-electron model by Slonczewski [22]. However, now the conductance ratio would depend on the type of tunnel junction. Although Slonczewski’s generalization does provide a reasonable description of free-electron tunneling through a step barrier, it is not simple to apply to experiment because the effective polarization Peff depends on both the ferromagnet moment alignment and the barrier height and thus cannot be uniquely defined for each material. Compared to the Julliere model which assumes that the barrier has no effect on the TMR, the Slonczewski model is an improvement and accounts for some of the effects of the barrier layer. However, the assumption of a single decay length for wave functions of both spins in the barrier layer is a severe limitation that still limits the achievable TMR to the spin polarization of the electrodes. An important contribution to the TMR comes from the symmetry-filtering effect of the barrier layer. Due to the symmetry-filtering effect, the TMR of epitaxial magnetic tunnel junctions can reach an order of magnitude above what is predicted by the Julliere or the Slonczewski models.

Lateral Symmetry of Bloch States in Electrodes In the free-electron model for tunneling, the electrons are described as free electrons, with the only major difference from true free-electron states in terms of an effective mass not equal to the electron mass. When we use the free-electron model with an effective mass to approximate an actual Bloch state in a solid, we choose the effective mass such that the free-electron band dispersion is the same as the dispersion of the Bloch state. Although the effective mass theory has been used successfully for describing tunneling processes that do not involve the electron spin, it is inadequate for describing spin-dependent tunneling. We will show what is missing in the effective mass theory and why first-principles theory is needed for making predictions in magnetic tunnel junctions.

46

X. Zhang and W. Butler

Symmetry of Bloch Wave Functions on a Square Lattice If the Bloch state consists of mostly s (angular moment l = 0) atomic orbitals, which is the case for vast majority of semiconductors, then the free-electron wave function can also approximate the Bloch wave function. This explains why the effective mass model is so successful for semiconductors. In semiconductors the relevant electron bands to transport are mostly s-character. However, if the Bloch state is made up of p or d electrons, then the free-electron wave function can differ significantly from the actual Bloch electron wave function. What affects the tunneling properties of electron states is the symmetry of the Bloch wave function within the plane perpendicular to the direction of transport. In this section we will examine this symmetry and the next section will show how the symmetry affects tunneling. We choose the coordinate system such that the plane is parallel to both the x and y directions. The wave function in the plane is simply ψ(x, y). For the sake of discussion, let us also assume that this plane contains a lattice with square symmetry and a lattice constant a. If we denote the Bloch wave vector within the plane as (kx, ky), then the Bloch theorem for this two-dimensional wave function is   ψ kx ky ðx, yÞ ¼ ukx ky ðx, yÞexp i kx x þ ky y ;

(115)

and ukx ky is a periodic function. The free-electron effective mass model only takes   into account the exp i kx x þ ky y part of the wave function and treats ukx ky as a constant (Fig. 16). In general, the periodic function ukx ky can be expanded in terms of plane waves on the two-dimensional reciprocal lattice Gn, uk x k y ð r Þ ¼

X

an expðiGn  rÞ:

(116)

n

Terms corresponding to different reciprocal lattice vectors have different symmetries. The origin of the reciprocal lattice, G0 = 0, gives a constant ukx ky . This is the term that the free-electron effective mass model corresponds to. The two different ways of describing the symmetry of the wave functions can be connected by evaluating the overlap integral between them. For example, the G0 = 0 wave function exp(iG0  r) is the same as the s orbital which is 1. So the cubic wave function with the lateral component described by G0 is predominantly s-character. Along the cubic (001) direction, this wave function is called the Δ1 state. Within the two-dimensional plane, this wave function also has nonzero overlap integrals with the pz and the d 2z2 x2 y2 orbitals. So the Δ1 state is mostly s-character but also contains some pz and d2z2 x2 y2 components. Similarly, the first reciprocal lattice vectors, G1 = (2π/a, 0) and (0, 2π/a), give the antisymmetric plane-wave functions sin[(2π/a)x] and sin[(2π/a)y] and the symmetric cos[(2π/a)x] and cos[(2π/a)y]. The antisymmetric pair has zero overlap integrals with the s orbital but have nonzero integrals with the px and py orbitals. These are called the Δ5 states. The symmetric pair has zero integrals with all of the

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

Δ1

Δ5

Δ2

Δ2⬘

47

Fig. 16 Symmetry of wave functions of a two-dimensional square lattice

s and p orbitals, but has nonzero integrals with the dx2  y2 orbitals. These are the Δ2 states and are d character. Finally, one of the wave functions made from the second reciprocal lattice vectors, G2 = (2π/a, 2π/a), is sin[(2π/a)x] sin[(2π/a)y]. This is the Δ20 state. It has no s or p component but has dxy component.

Different Decay Rates of Bloch States in Barrier Layer Although experimentalists like to fit the measured tunneling current to a simple free-electron model, such a model cannot correctly predict the spin dependence of the tunneling current. For example, in such a model there can be only a single decay qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi rate for a given value of kk ¼ k2x þ k2y ; and the tunneling current decays with the qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi barrier thickness, d, as exp(2kd) where κ ¼ 2m ðV  EÞ þ k2k. Because this decay ℏ2 rate does not depend on the electron spin, the only possible spin dependence of the tunneling current must come from the spin polarization of the density of states in the electrodes. This prediction by the free-electron model does not agree with experiments. The spin polarization of the tunneling current can be determined experimentally by tunneling into superconductors. In the vast majority of the cases, the tunneling current has been found to be dominated by the majority spin electrons regardless of the spin polarization of the density of states, even in cases such as nickel and cobalt whose minority density of states is an order of magnitude larger than that of the majority. It turns out that the Bloch wave function symmetries

48

X. Zhang and W. Butler

in the electrodes can lead to different decay rates in the barrier layer. Consequently the barrier layer serves as a symmetry filter for tunneling electrons and only selectively passes through electrons with high symmetry. Because of the different wave function symmetries of the majority and minority electrons, symmetry filtering in the barrier layer becomes spin filtering. This in turn becomes the fundamental reason for very large tunneling magnetoresistance in epitaxial tunnel junctions based on MgO barriers. The basic physical reason that the different Bloch states decay at different rates in the barrier is that they have different amounts of curvature in the plane parallel to the interfaces. We have shown in the previous section that these oscillations correspond to the two-dimensional reciprocal lattice vectors perpendicular to the direction of tunneling. Assume for simplicity that the boundary conditions at the edge of the barrier can be matched with the separable form ψ ðx, y, zÞ ¼ ϕðx, yÞexp ðκzÞ. Then, for a wave function described by a two-dimensional reciprocal lattice vector, ϕ(x, y) = aG exp[i(k|| + G)  r||], the decay perpendicular to a simple barrier with potential V is given by κ2 ¼

2m V  jkk þ Gj2 : ℏ2

(117)

At each k||, there are as many different decay rates as are number of reciprocal lattice vectors. At normal incidence, k|| = 0, the slowest decay rate corresponds to G = 0 which for the square lattice is the Δ1 state. The larger the value of |G|, the faster the decay rate. Because of the connection between the reciprocal lattice vector in the plane-wave expansion and the s, p, d waves in the spherical harmonic expansion, states that are primarily s-like which have little curvature decay the slowest. p-like states will decay faster, and d-derived states, in particular, will be disadvantaged in penetrating the barrier because of their higher curvature due to additional nodes in the plane parallel to the barrier, requiring a plane-wave expansion that contains primarily G2. The notion of multiple decay rates in a spatially homogeneous barrier layer may seem counterintuitive. To illustrate this more vividly, let us look at how electron wave functions at the Fermi energy of Fe(001) decay through a vacuum barrier. For this purpose, we calculate the modular square of the tunneling wave function with the boundary conditions that correspond to a unit flux of incident electrons in a single Bloch state on one side (left side in this case). For this calculation we choose the barrier height relative to the Fermi energy to be approximately equal to the work function in order to be an approximate representation of tunneling through vacuum. The results displayed in Fig. 17 show three decay rates. The majority Δ1 state qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ðV  EÞ , exactly as expected for (which has s, p, d character) decays as κ ¼ 2m ℏ2 the simple barrier model. The other states, however, decay more rapidly. The minority Δ2 (dx2  y2 symmetry) and all of the Δ5 states ( px and py) symmetry qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi decay as κ ¼ 2m ðV  EÞ þ G21 where G1 = 2π/a. The majority and minority Δ20 ℏ2

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

Fig. 17 Electron density for tunneling states at k|| = 0 for Fe(001)/vacuum/Fe(001). Vacuum is approximated here by a spatially homogeneous barrier

1

49

Δ1 up

10−5 Electron density

1

10−10 10−15 Δ2’ up

10−20

Δ2 dn Δ5 up Δ5 dn

Δ2’ dn

10−25 10−30 10−35 Fe

states that have dxy symmetry decay as κ ¼

Vacuum

Fe

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffi 2m ðV  EÞ þ G22 with G2 ¼ 22π=a. ℏ2

G1 and G2 are simply the magnitudes of the first two reciprocal lattice vectors of the two-dimensional lattice parallel to the interfaces. The lateral symmetry of the Δ2 band and the Δ5 band relates to the same reciprocal lattice vector G2. For tunneling through vacuum these two states also see the same barrier height. Therefore, both states decay with the same rate in vacuum. However, an insulating material such as MgO does not map to a simple step barrier. As we will see next, the decay rates of electron wave functions in a barrier layer are determined by the so-called complex band structure. The complex bands in MgO for the Δ2 symmetry and for the Δ5 symmetry are completely different. Thus, the decay rates of these two bands in MgO are also different.

Symmetry Filtering in the Barrier Layer Complex Band Structure of MgO (001) The bandgap in the insulator layer can be accurately described by a two-band model [23], 1 ℏ2 ℏ2 þ

: (118)  2¼

2m ðE1  EÞ 2m ðE  E2 Þ k The right-hand side of the equation is negative for E2 < E < E1, yielding an imaginary k whenever E is inside the bandgap. This equation accurately describes the dominant complex band of MgO along the (100) direction, as shown in Fig. 18. The complex band structure of the barrier layer is directly related to the tunneling conductance of a tunnel junction. The imaginary part of the wave vector represents the rate of decay of the evanescent electron wave function inside the barrier. In general, if the band dispersion is isotropic in k space, the transmission

50

X. Zhang and W. Butler

4 3 2 Energy (eV)

Fig. 18 The complex bands along the (100) direction of MgO. Data points are calculated with the layerKKR code for an artificial MgO lattice constant of 2.03 ˚ which matches the bcc Fe A lattice. The solid curve is the fit to the complex band with the smallest decaying wave vector using Eq. 118 with m* = 0.35

1 0

Δ1

−1

Δ5

Δ2’

Δ2

−2 −3 −4

0

2

4

6 8 Im k (1/nm)

10

12

14

contains a factor exp(2kd) where d is the thickness of the barrier and k can be assumed to obey, κ 2 ¼ κ20 þ k2k ;

(119)

where k|| is the transverse wave vector (parallel to the interface) and k0 = ik is the imaginary part of the wave vector for normal incidence. Accounting only for the decaying of the wave function due to the complex wave vector, the conductance of a single k can be assumed to be approximately   2e2 expð2κd Þ: G kk ¼ h

(120)

Such an assumption may not be very accurate in a real material. For now we assume that it is qualitatively correct and use it to calculate the conductance. The conductance is given by an integration over all k||, 2e2 1 G¼ h ð2π Þ2

ð1 0

 qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  exp 2 κ20 þ k2k d 2πkk dkk :

(121)

Integrating and keeping only the leading term in 1/d, we obtain G¼

2e2 κ0 expð2κ 0 dÞ: h 4πd

(122)

Thus, the product Gd scales with the barrier thickness as a simple exponential with an exponent of 2κ0. For thick barriers, the complex bands accurately predict the thickness dependence of the tunneling resistance.

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

Δ1(spd)

Electron Density

1

10 Δ5(pd)

10−10

10−15

10−15

10−20

−20

10

Δ2’(d)

Δ2(d) Δ2’(d)

−25

Fe

MgO

Fe

10

Fe

Δ1(spd)

1 10−5

Fe

1 10

Δ5(pd)

10

10−10

10−15

10−15

−20

−20

Δ2’(d)

10

MgO

−5

−10

10

Δ5(pd) Δ2(d) Δ2’(d)

−25

−25

10

Δ5(pd)

−5

10

10−25

Electron Density

1

−5

10−10

51

Fe

MgO

Fe

10

Fe

MgO

Fe

Fig. 19 Density of the tunneling electron states at kk = 0 for Fe(001)|8MgO|Fe(001). The four panels show the states for majority (upper left), minority (upper right), and antiparallel alignment of the moments in the two electrodes (lower panels). Additional Fe layers are included in the lower panels to show the electron density variation for the tunneling states extending into the right Fe electrode. Each curve is labeled by the symmetry of the incident Bloch state in the left Fe electrode

Symmetry Filtering in MgO Barrier Layer at Normal Incidence Let us first examine how electrons are transmitted through a MgO barrier layer if they are incidentally perpendicular to the interface. Using the language of Bloch wave vectors, these are the electrons whose kx and ky, the two components parallel to the interface, are exactly zero. The decay rates of the electron wave functions are determined by the complex band structure we just discussed. Because of the two-dimensional lattice periodicity, during tunneling the crystal momentum parallel to the layers k|| = (kx, ky) is conserved except that it may be changed by a reciprocal lattice vector. Tunneling with the conservation of k|| in this manner is called specular transmission. In Fig. 19, we show the density of tunneling states associated with each of the Fe(001) Bloch states having k|| = 0 for an Fe/MgO/Fe tunnel junction, similar to the plot for the vacuum barrier, Fig. 17. At kk = 0, the lateral components of the wave functions in the electrodes from the different bands have distinct symmetries. Not only their symmetries have to match those inside the barrier layer, they must also match the symmetry on both sides in order for electrons to be transmitted. When such matching of the symmetry cannot be found on both electrodes, the transmission is zero even when the barrier

52

X. Zhang and W. Butler

layer admits such wave functions. Spin-dependent tunneling is thus achieved through selective filtering of wave functions with different lateral symmetries and by virtue of the fact that wave functions in the two spin channels do not have the same symmetries. As an example, we show in Fig. 19 the density of tunneling electron states for an Fe/MgO/Fe junction. The top two panels show the plots when the moments of the two Fe electrons are aligned parallel. In this case, the majority spin channel (top left panel) has a Δ1 state with a large transmission probability, providing a large tunneling current. The Δ5 electrons decay faster in the MgO layer, leading to a smaller transmission. The Δ20 electrons decay extremely fast within MgO and provide negligible tunneling current. The minority spin Fe (top right panel) does not have Δ1 state, thus having a much reduced transmission. For the antiparallel moment alignment, the left-hand side of the lower left panel shows that the Δ1 electrons of the majority bands readily enter the MgO where they decay slowly with distance as predicted by the corresponding complex band of MgO. Once these electrons exit the barrier and enter the right Fe electrode, however, because there are no Fe minority Δ1 Bloch states at the Fermi energy, they continue to decay within the right Fe electrode leading to zero transmission. On the other hand, although the Δ5 electrons decay relatively rapidly in the MgO, because there are minority spin Δ5 states in the right electrode, these electrons have a finite transmission. Similarly, in the lower right panel of Fig. 19, the minority Δ2 state decays as a Δ20 state within the MgO and continues to decay within the majority Fe layer because there is no Δ2 state at the Fermi energy in majority Fe. Again, the Δ5 electrons decay rapidly but can enter the minority Fe while the minority Fe Δ20 electrons decay extremely rapidly. In this manner, a large difference in the transmission between the parallel and the antiparallel spin configurations is established. Because of the complete reflection of the Δ1 electrons at kk = 0, the maximum conductance for antiparallel alignment does not occur exactly at kk = 0. The “missing” of the Δ1 band within a certain energy range in the (100) direction of the bcc metal is a common feature due to the hybridization of the “s-band” with the d-bands. In the band structure of a typical bcc transition metal, the s-band starts from the Γ point a few eV below the d-bands. Its energy rises rapidly with k until it reaches the energy range of the d-bands. Near the bottom of this energy range, the s-band hybridizes heavily with the d-bands, causing it to flatten out and end at the Brillouin zone boundary. The part of the s-band above the energy range of the d-bands can also be followed downward in energy. It is again highly dispersive until it approaches the top of the energy range for the d-bands at which point it flattens out and intersects the zone center at the Γ20 point. In the (001) direction, the “s-band” has the Δ1 symmetry, and there is a range of energy over which there is no Δ1 band. For bcc iron, the spin splitting is such that the majority Δ1 band crosses the Fermi energy, but there is no minority Δ1 band near the Fermi energy, while there are d-bands crossing the Fermi energy in both spin channels.

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

53

Ballistic Tunneling in Fe/MgO/Fe The Landauer formula, Eq. 106, relates the conductance of a tunnel junction to the sum of the transmission probabilities of the electron wave function over all values of k||. Although the total transmission determines the tunneling conductance and the magnetoresistance, the dependence of the transmission on k|| can also reveal interesting physics about the spin-dependent tunneling process. In some cases, such k|| dependence can manifest itself as unexpected barrier thickness dependence, as we will see in this section in the cases of interference of tunneling states and transmission through interface resonance states.

Majority Spin Transmission: Interference of Tunneling States We begin our discussion by presenting the first-principles calculation with the layer Korringa-Kohn-Rostoker (layer-KKR) method [16]. In this calculation the elec˚ , and the trode layers of Fe are fixed at the experimental lattice constant of 2.866 A pffiffiffi ˚. in-plane MgO lattice constant (along the [100] axis) is 2 times larger or 4.053 A ˚ The out-of-plane MgO lattice spacing (along [001]) is fixed at 2.21 A, the experimental value [24]. The calculated transmission probability as a function of kk = (kx, ky) for the majority spin channel, when the moment in both Fe electrodes is aligned parallel to each other, is shown in Fig. 20 for 4, 8, and 12 layers of MgO. The transmission has a peak centered at kk = 0. Comparing the three panels of Fig. 20, we see clearly the increasing concentration of the transmission in the region near kk = 0 as the insulating barrier layer is made thicker. This general feature is expected from a barrier for which the transmission probability contains a factor exp (κd) where κ approximately follows Eq. 119. However, the detail of the dependence of the transmission on kk is much more complex and deviates significantly from Eq. 119, as is shown in Fig. 21 which plots the transmission probability as a function of kx for ky = 0 and compares it against Eq. 119. The most striking feature in Fig. 21 is that the kx dependence of the transmission probability is oscillatory, indicating that there is wave interference within the barrier. This oscillation is the result of the interference of two tunneling states within the barrier layer, a phenomenon that occurs in a crystalline barrier but not in vacuum or an amorphous barrier. This phenomenon was predicted and analyzed theoretically [23] and later was used to explain the oscillatory dependence of the magnetoresistance on the barrier layer thickness [25]. For interference to occur within the barrier layer, there has to be at least two different complex bands at the same value of kk, and these bands must also decay at exactly the same rate in the barrier. This indeed is the situation for certain values of kk away from the normal incidence. The complex values of kz at the Fermi energy are plotted as a function of kk in Fig. 22. The two states shown have the lowest value of the imaginary part of kz and are therefore the most important for determining the

54

X. Zhang and W. Butler

Fig. 20 Majority spin transmission probability for 4, 8, and 12 layers of MgO and parallel alignment of the moments in the Fe electrodes. Units for kx and ky are inverse Bohr radii

10−2 4 MgO layers

Transmission

Fig. 21 Majority transmission probability as a function of kx for ky = 0 for 4 and 8 layers of MgO. The curves end before the zone boundary is reached because there are no states for kx > 0.55(a.u.)1. Dotted red curves show the expected behavior of the transmission probability from Eq. 119

10−4 10−6 10−8

8 MgO layers

10−10 10−12

0

0.1

0.2

0.3

0.4

0.5

kx (1/a.u.)

transmission probability. The states are plotted as a function of kk along Γ to X. At kx = 0, the two states shown are the Δ1 and one of the Δ5 states. As kx increases from zero, their real part increases linearly from zero and is the same for both states. At kx Δz  0:59, the imaginary parts of the two states become equal and the real parts bifurcate. Afterward, the imaginary part remains approximately constant.

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

Fig. 22 Real and imaginary parts of kz plotted as a function of kx for MgO. The two values of kz with the smallest imaginary parts are shown. Circles (squares) denote values of the real (imaginary) part of kz calculated with the layerKKR code. The solid line and dotted lines are the real and imaginary parts, respectively, of the fit to complex kz described in the text

55

3 2.5 2 kzΔz

1

1.5 1 0.5 0

0

0.5

1

1.5

2

kxΔz

The real parts of kzΔz for the two states reach n and 0, respectively, at the zone pffiffiffi boundary which occurs at kx Δz ¼ π= 2. We focus on the part of Fig. 22 where the two states have the same imaginary part of kz. This is the region between kx Δz ¼ 0:59 and the zone boundary. Suppose that a wave function is a linear combination of two decaying waves with the two complex wave vectors depicted in this region, ψ ðzÞ ¼ Aeik1 z þ Beik2 z ;

(123)

then the electron density exiting the barrier is given by      jψ ðdÞj2 ¼ e2κðkx Þd Aj2 þB2 þ 2ReðA BÞ cos kr1 ðkx Þd  kr2 ðkx Þd þ ϕ g (124) where kr1 and kr2 are the real parts of the two values of kz, κ is their common imaginary part, and ϕ is the relative phase between the complex coefficients A and B. Thus, the transmission is a damped oscillatory function of thickness and is a purely oscillatory function of kx since κ is essentially independent of kx for kxΔz > 0.59. The interference between different tunneling states is a general phenomenon. For any barrier material, the dispersion relation in the vicinity of the gap can be expressed as a polynomial in cos kz Δz with real coefficients that depend on kx and k y, Ek ¼

X

  An kx , ky ð cos kz ΔzÞn :

(125)

n

Inside the bandgap, all solutions of kz for a given real Ek are complex and must be in pairs, i.e., both kz and its complex conjugate are roots of Eq. 125. Now consider the pair of roots with the smallest imaginary part, r = cos kz Δz and r ¼ cos k z Δz.

56

X. Zhang and W. Butler

Defining s ¼ eikz Δz so that cos kz Δz ¼ ðs þ s1 Þ=2, the values of kzΔz can be found from the equations, s2  2rs þ 1 ¼ 0

(126)

and s2  2r s þ 1 ¼ 0:

(127) q ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffi

Each of these equations has two roots, s r 2  1 , s ðr Þ2  1 . 1 ¼r 2 ¼ r  þ   Because sþ 1 s1 ¼ s2 s2 ¼ 1, we know that only two of these roots will represent þ þ  decaying waves. Thus, if sþ 1 < 1, it follows that the two decaying roots, s1 and s2 , have equal modulus,

  þ Imk2z Δz eImk1z Δz ¼ sþ : 1 j¼js2 ¼ e

(128)

Thus, the imaginary parts of kzΔz for these two solutions are equal. This would lead to the observed interference effect. In order for the interference effect to be observable experimentally as an oscillation of the tunneling magnetoresistance, it is necessary that the decay rate of the tunneling states that produce the interference effect to be the same as that of the dominating tunneling state, which in the case of Fe/MgO/Fe is the Δ1 state. This seems unlikely because all other states decay faster than the Δ1 state in ballistic tunneling. It turns out that the effect of nonspecular (diffusive) tunneling produces a scatter-in term which makes all states decay at the same rate within the barrier layer [25]. Thus, the interference of the tunneling states can indeed be observed as an oscillation of the tunneling conductance and TMR as a function of the barrier layer thickness [24, 26].

Minority Spin Transmission: Tunneling Through Interface Resonance States The transmission probability for the minority spin channel for the parallel moment alignment is shown in Fig. 23 for three different MgO layer thicknesses. The dependence on kjj for the minority spin transmission is dramatically different than for the majority spin. The minority spin transmission has a complicated, sharply peaked structure with peaks away from kjj ¼ 0 . These peaks do not correspond to anything in the bulk Fe electronic structure. Instead, they arise from resonant states localized on the Fe/MgO interface. The interface resonant states are similar to surface states in that both are electron energy eigenstates localized at the boundaries of the material. The eigenstates of an electron in a perfect crystal are the Bloch states which satisfy the Bloch periodic boundary conditions. These states are described by a wave vector k which has to

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

57

Fig. 23 Minority transmission probability for 4, 8, and 12 layers of MgO and parallel alignment of the moments in the Fe electrodes. Units for kx and ky are inverse Bohr radii

be real. Although there are also evanescent states that satisfy the Schrodinger equation for a perfect crystal, these states are not physically acceptable solutions because they diverge to infinity along one direction. At a surface or an interface, however, the periodicity of the lattice is interrupted, and the evanescent states become valid solutions for the material. These are the surface or interface states. True surface states are orthogonal to the bulk Bloch states. There are also surface or interface states that are coupled to the bulk Bloch states. These states are usually called resonance states. Interface resonance states in a magnetic tunnel junction can have a large impact on spin-dependent tunneling. In general, the interface resonance states are needed to properly match the boundary conditions for the electron wave functions across an interface. This is because at a fixed energy, the Bloch states alone do not form a complete basis. The collection of all Bloch states and evanescent waves (traveling or decaying) along a single direction forms a 2D complete basis set. However, the boundary conditions for an incident wave function include both the values of the wave function on a plane and its normal derivative. To satisfy both boundary conditions, there needs to be two independent complete basis sets. For example, consider a transmission problem with a single Bloch wave function of the left electrode incident on a tunnel barrier. In order to properly match the boundary conditions, we must use all the evanescent waves inside the barrier, plus all of the left-traveling Bloch states

58

X. Zhang and W. Butler

(i.e., reflected waves) and the evanescent waves on the electrode side, the latter decaying into the electrode. Under certain conditions, these evanescent waves form the interface resonance states. Written out explicitly, the boundary conditions are matched as ψ Lkz , kk þG þ rψ Lkz , kk þG þ

X X r GG0 ψ Lkk þG0 ¼ tGG0 ψ Bkk þG0 ; G0

(129)

G0

where the three terms on the left-hand side are the incident wave, principal reflected wave, and additional reflected wave components which include evanescent waves in the left electrode, respectively. On the right-hand side we include only the decaying evanescent waves into the barrier and omitted the growing evanescent waves. For sufficiently thick barriers, the growing evanescent states into the barrier can be neglected. The evanescent waves in the third term on the left-hand side are the interface resonance states. When the first two terms on the left-hand side of Eq. 129 have the same symmetry as the leading evanescent wave on the right-hand side, the contribution from other evanescent waves is small, and the transmission is essentially determined by the evanescent wave with the same symmetry as the incident wave. This is usually the case for the majority spin channel. But when the dominating evanescent wave function in the barrier layer matches poorly to the incident Bloch wave, the third term in Eq. 129 becomes important. Which evanescent term on the electrode side is the largest is determined entirely by the boundary conditions. For some values of kjj , the largest evanescent term has a very large decaying wave vector. As a result, the total wave function rises as a fast exponential toward the interface. Because of the exponential nature of these evanescent states, any small change in the boundary conditions can cause large changes in the total wave function, making it a sensitive function of kjj. Consequently the transmission plotted in the reciprocal space appears to have very sharp peaks as characteristics of interface resonance states. The interfacial resonance state is important to the transmission because it yields a huge wave function amplitude at the interface. However, it is only part of the story. For example, in Fe/MgO/Fe the minority spin channel electron state density as a function of kjj usually peaks at points along symmetry axes where the interface resonance is the strongest. But the transmission is quite low at these points. The second major factor determining the transmission is the wave function symmetry. This is because the Bloch states along these symmetry axes have no s-character. They can only couple to evanescent states in the MgO that decays rapidly. Very slightly away from these symmetry axes, the wave function has significant s-character and can couple to an evanescent state that decays slowly. Therefore, the strongest transmission due to interface resonances usually occurs close to but slightly off the symmetry axes, as shown in Fig. 23. The transmission as a function of kj j for antiparallel alignment of the moments (Fig. 24) shows a combination of the features observed in the majority and minority channels. For thinner layers, the highest transmission is near the line ky = 0 in the

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

59

Fig. 24 Transmission probability for antiparallel alignment of the moments in the Fe electrodes

two-dimensional zone where there is an interfacial resonance state. As the layers become thicker, the highest transmission occurs closer to the origin of the two-dimensional zone.

Thickness Dependence of the Tunneling Conductance Although the dominant factor in the thickness dependence of the tunneling conductance is the exponential factor expðκdÞ where κ is the imaginary part of the complex band wave vector and d is the thickness of the barrier layer, the actual thickness dependence is not simply an exponential function. The same simple model, Eq. 119, of an isotropic complex band at the Γ point gives the conductance for each spin channel in the form 1 ð  qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  e2 1 exp 2 k2k þ κ20 d 2πkk dkk : G ¼ γA h ð2π Þ2

(130)

0

This equation works directly for the majority spin channel in RP. Neglecting the contribution from the minority spin channel, we find

X. Zhang and W. Butler

Fig. 25 Sheet resistance of an Fe/MgO/Fe tunnel junction as a function of MgO thickness t, scaled by expð2κ 0 tÞ. Layer-KKR calculation (open circle, RP; filled circle, RAP) is compared to two experiments (open diamond, RP from Ref. [24]; filled diamond, RAP from Ref. [24]; plus, RP from Ref. [26]; cross, RAP from Ref. [26]). The solid curves are fits to layer-KKR results using Eqs. 131 and 133

RA exp (-2κ0t) [Ω µm2]

60

P AP

1

Theory Ref. 33 Ref. 20

0.1

0.01 1

RP A ¼

1.5

2 2.5 3 3.5 MgO Thickness [nm]

4

8πh expð2κ0 d Þ : γ P e2 1 2κ0 þ d d2

4.5

(131)

Using γ P as the only fitting parameter, this result fits the layer-KKR calculation of RP very well, as shown in Fig. 25, except for the first two points where the minority spin channel still contributes significantly to the total conductance. In order to make this comparison more clearly, we first calculated the complex band structure at the Fermi energy of the Fe electrodes for a bulk MgO lattice built using the selfconsistent potential of the middle MgO layer of an Fe/6MgO/Fe tunnel junction. The complex band calculation yields κ0 ¼ 2:92 nm1 for the Δ1 band at kjj ¼ 0. This number is used as the baseline decay rate of the tunnel wave functions: the baseline exponential factor exp(2κ0d ) was removed from the calculated total tunneling conductance of the Fe/MgO/Fe tunnel junction as a function of the MgO thickness, resulting in the plot of Fig. 25. For the AP configuration, the Δ1 band at Γ point cannot enter the opposite electrode. We modify the contribution to the conductance from kjj by multiplying a   factor 1  exp αk2k , which suppresses the conductance at kjj ¼ 0, to mimic the effect, GAP ¼ γ AP A

1 ð  qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi h  i e2 1 exp 2 k2k þ κ20 d 1  exp αk2k 2πkk dkk : 2 h ð2π Þ

(132)

0

Integrating and assuming that ακ 20 1, we find RAP A ¼

4πh d2 þ ακ0 d expð2κ 0 dÞ: γ AP e2 ακ 20

(133)

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

61

The two parameters γ AP and a are treated as fitting parameters when we compare this formula to the layer-KKR calculation in Fig. 25. The agreement for RAP is very well for large MgO thicknesses. At small thicknesses, the disagreement comes from the interface resonance states which contribute significantly to the AP conductance for thin MgO barriers. The layer-KKR results are also compared to two experiments in Fig. 25. The agreement for RP is surprisingly good, especially considering that there are no adjustable parameters in the calculation. This agreement demonstrates two points. First, the nonspecular scattering seems to have little effect on RP. Second, the local density approximation (LDA) produces decay wave vectors at the Fermi energy that are in excellent agreement with experiments. In other words, the bandgap error in the LDA does not seem to affect the calculated tunneling current in the linear response regime. The significantly larger resistance in experimental measured samples for MgO thickness smaller than 1.5 nm is due to increased diffusive scattering rates in these samples. On the other hand, the experiments disagree with the calculated RAP by more than an order of magnitude. This difference arises from diffusive scattering from defects inside the barrier and is not included in the calculation.

Other Epitaxial Tunnel Junctions The principle of spin-dependent tunneling by symmetry filtering within the barrier layer is quite general for epitaxial tunnel junctions. Half-metallic ferromagnetic electrodes (i.e., ferromagnets with states of only one spin channel at the Fermi energy) are not required in order to obtain very large TMR. If one can achieve sufficiently good two-dimensional periodicity within the barrier and near the interface that kj j is reasonably well conserved, i.e., the scattering is mostly specular, then one may take advantage of a class of electrode-barrier combinations in which some of the states of one spin channel decay much more slowly in the barrier than those of the other. There have been some works to explore different electrode materials and barrier materials that can be used to achieve symmetry filtering. For electrode materials, the requirements are that they must be ferromagnetic and that they must be able to form epitaxial junctions with an insulator or a semiconductor. Simple ferromagnetic materials include Fe, Co, and Ni. There are more complex magnetic materials such as Heusler alloys which have also been studied.

Co(bcc)/MgO/Co(bcc) and FeCo/MgO/FeCo Because it is much easier to find matching 2D lattices with the square symmetry between a metal and an oxide, the best candidates for ferromagnetic electrodes are ones with a cubic lattice. Iron is bcc at room temperature and below. Although the bulk ground state for cobalt is hcp, thin cobalt bcc films can be grown epitaxially,

62

X. Zhang and W. Butler

Table 2 Tunneling conductivity (in 1/Om2) for all spin channels for the Co(bcc)/MgO/Co(bcc), FeCo/MgO/FeCo, and Fe/MgO/Fe tunnel junctions. Each junction contains eight atomic layers of MgO. Resonant state contributions to the minority spin channel are excluded Junction material FeCo/MgO/FeCo Co/MgO/Co Fe/MgO/Fe

"" 1.19  109 8.62  108 2.55  109

## 2.55  106 7.51  107 7.08  107

"#(#") 1.74  106 3.60  106 2.41  107

σ P/σ AP 340.5 130.2 54.3

and Co(bcc)/MgO/Co(bcc) magnetic tunnel junctions can also be made. Compared to Fe/MgO/Fe junctions, cobalt junctions have certain advantages. First, cobalt is less easy to oxidize than iron, so it is less likely to form an oxide layer on the interface with MgO which can greatly diminish the spin-filtering effect. The second advantage is that in bcc cobalt along the (100) direction, the majority bands and minority bands are completely orthogonal to each other at the Fermi energy. In order words, there are no common bands shared by the majority and minority spins. This helps to enhance the spin-filtering effect in Co/MgO/Co junctions and can potentially lead to higher TMR than in Fe/MgO/Fe. The FeCo alloy combines the advantages of both iron and cobalt. On the one hand, FeCo alloy has nearly as good selectivity as cobalt in terms of spin filtering. On the other hand, FeCo has the ground state of B2 structure which is equivalent to the bcc lattice of a pure material. Therefore, in practice it is much easier to grow FeCo/MgO/FeCo junctions than Co(bcc)/MgO/Co(bcc) junctions. As we show below, the FeCo electrodes also lead to higher TMR. Most of magnetic tunnel junctions in use are based on FeCo electrodes (except for a small amount of boron which makes the as-deposited electrode amorphous to improve the formation of crystalline MgO during annealing). The tunneling conductance for the three types of electrodes, bcc Fe(001), bcc Co(001), and B2 FeCo(001), all using an eight atomic layer MgO(001) barrier, is shown in Table 2. These calculations are done by integrating the transmission probability over the entire two-dimensional Brillouin zone with 8256 kjj points in 1/8th of the zone. For the minority spin channel, interfacial resonance states generate extremely sharp peaks as a function of kjj . The contributions from these peaks are omitted because they are difficult to calculate accurately and are usually absent under experimental conditions. These contributions would have made the calculated TMR higher for the Co and FeCo electrodes because they contribute to the minority spin conductance for parallel moment alignment but do not contribute significantly to the antiparallel conductance. On the other hand, for Fe/MgO/Fe the interface resonance states contribute to both the parallel minority conductance and the antiparallel conductance and would lead to a reduced TMR. From Table 2 we can see that both Co/MgO/Co and FeCo/MgO/FeCo have even larger TMR than Fe/MgO/Fe. The main reason for the larger TMR is that because in

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

63

Co/MgO/Co and FeCo/MgO/FeCo there are no Bloch eigenstates in the minority spin channel with Δ1 symmetry in both materials, for the antiparallel spin alignment, all states are completely reflected at kjj ¼ 0. For bcc cobalt and bcc FeCo, no minority Δ1 band crosses the Fermi energy, and the only band that crosses the majority Fermi energy is a Δ1 band. This contrasts sharply with bcc iron for which in addition to the Δ1 band, there are other bands in the majority channel that cross the Fermi energy at kjj ¼ 0 . These additional bands have the same symmetry as those in the minority channel, which allow the transmission through the barrier. The presence of some types of disorder may not greatly diminish the strong spin filtering in tunnel junctions made of cobalt and FeCo electrodes. For strongly magnetic alloys, i.e., those with filled majority d-bands, the moments are such that the bands match extremely well in the majority channel. Therefore, kjj conservation arguments can be applied to majority electrons. The problem will be in the minority channel where the scattering is expected to be relatively strong. Even there, however, the bcc Co and FeCo electrodes should offer the possibility for relatively large TMR. Consider the case of antiparallel alignment. Majority electrons injected from the left electrode will decay slowly in the MgO barrier. When they encounter the right electrode, however, the (initially) Δ1 states that would decay exponentially if the electrode were well ordered will continue do so for several layers until diffuse scattering converts a significant fraction of the surviving flux into symmetries that can propagate. Experimentally, CoFe electrode was among the first electrodes used in magnetic tunnel junctions. Unlike pure Fe electrode which usually needs to be grown through MBE in order to ensure high-quality junctions, CoFe electrode can be grown using the much cheaper sputtering method and can form good epitaxial junctions through appropriate annealing. In addition, CoFe is less likely than pure Fe to oxidize and form the FeO interface layer that often greatly diminishes the TMR. Parkin et al. [27] made sputtered CoFe/MgO/CoFe junctions on an amorphous substrate that reached 220 % TMR at room temperature. Today the standard technique to grow CoFe/MgO/CoFe junctions is to start from amorphous CoFeB. First a sandwich structure of CoFeB/MgO/CoFeB is deposited. Then through annealing, (100) textured CoFe/MgO/CoFe junction is formed. The advantage of starting from amorphous CoFeB is that it allows the junction to grow easily on top of synthetic antiferromagnetic substrates [28]. Also because of its amorphous lattice structure, CoFeB has a smaller strain with MgO than crystalline CoFe. This reduces interface roughness and facilitates the growth of MgO(100). During annealing, MgO(100) acts as a template for the crystallization of bcc CoFe on both sides of the barrier layer [29]. The boron atoms diffuse very fast during annealing and are generally believed to diffuse away leaving a clean CoFe/MgO interface. There is also evidence that some of the boron atoms may diffuse into the barrier layer [30, 31]. This could have an impact on the structure and electronic properties of the barrier layer, which will be discussed later.

64

X. Zhang and W. Butler

Effect of Interlayers Inserting interlayers between the electrodes and the barrier layer is a convenient way to modify the properties of magnetic tunnel junctions. One motivation of adding interlayers in particular is to prevent the formation of FeO on the interfaces which is shown to be very harmful to high TMR. Naturally the inserted interlayer would serve to separate the iron atoms from the oxygen atoms in the barrier layer. Two of the popular choices for interlayer materials are cobalt and magnesium. Other choices include silver and chromium. A common requirement for these interlayers is that they do not alter the two-dimensional square symmetry of the electrodes and the barrier layer.

Cobalt Interlayer Because Co/MgO/Co and CoFe/MgO/CoFe junctions both have very large TMR, cobalt is a natural choice for interlayers in Fe/MgO/Fe or CoFe/MgO/CoFe. First of all, the electronic structure of the inserted cobalt layer is sufficiently close to that of the iron or CoFe electrodes, in which it is not expected to negatively impact spin filtering due to band symmetry. In addition, cobalt moments are more “robust” in the sense that it is less likely to have “loose spins” on the interface due to roughness than iron atoms. Furthermore, cobalt is more resistance to oxidization than iron. In fact, even in the experiment nominally examining Co(bcc)/MgO/Co(bcc), the thickness of the cobalt electrode layers is only four atomic layers thick [32, 33] in order to retain the bcc structure. Therefore, such a structure is better described as an Fe/Co/MgO/Co/Fe system containing cobalt interlayers. Ideal epitaxial cobalt interlayer is predicted by first-principles calculation to cause a number of effects [34]. The first effect of adding cobalt interlayers is that it suppresses the interface resonance states due to the iron d-bands. This could boost TMR if these interface resonance states contribute more conductance to the AP spin configuration than to the P configuration. As more cobalt layers are added, the quantum well states confined within the cobalt layers move across the Fermi energy one by one, causing the tunneling conductance and TMR to oscillate with the number of atomic cobalt layers. As far as the TMR is concerned, a single atomic layer of cobalt seems to be the optimal thickness. A similar effect was also predicted with a single atomic layer of silver interlayer [35]. For thicker cobalt interlayers, interface resonances that correspond to cobalt d-bands start to appear. These interface resonances tend to increase the minority spin and antiparallel tunneling conductances, and consequently TMR decreases somewhat. Magnesium Interlayer Another special interlayer material is magnesium. An ultrathin magnesium layer is often deposited on the iron electrode before depositing the MgO layer in order to prevent the oxidization of the iron electrode which can greatly diminish the TMR. In addition, the magnesium layer may also serve as a crystalline seed to improve the texture of the MgO layer and the interface structure. Because magnesium does not

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

65

have an intrinsic spin polarization, the concern is whether adding the magnesium layer would reduce the TMR by reducing the spin polarization of the incoming current. Experiments show that inserting one or two atomic layers of magnesium have a moderate effect on the TMR, while significantly reducing the junction resistance, the latter effect is believed to be caused by the prevention of FeO forming on the interface. First-principles calculation [36] shows that an ultrathin magnesium layer inserted between iron and MgO preferentially transmits the Δ1 band electrons, crucial for achieving large TMR. With a mono-atomic layer of magnesium, although the TMR is lower than the ideal Fe/MgO/Fe junction, it is still significantly higher than the junction with an FeO layer, which is likely to result from the deposition process of MgO without first covering the iron electrode with magnesium. Interlayers also often produce quantum well states within them. These quantum well states can lead to oscillations in the transmission as a function of interlayer thickness and sometimes lead to negative TMR.

Reduced Symmetry Barrier Layer Symmetry filtering is the reason for very high magnetoresistance in epitaxial tunnel junctions. All of the tunnel junctions discussed so far in this chapter have square symmetry. The MgO barrier preferentially filters Δ1 band electrons. Another suggested cubic barrier material, SrTiO3, does not provide efficient symmetry filtering. Thus, the electrode Fermi energy density of states is more important in determining the tunneling conductance through SrTiO3. Consequently tunneling current through SrTiO3 tends to have polarization similar to that of the Fermi energy density of states of the electrodes. In the case of Fe or Co electrodes, the minority density of states is much higher than the majority spin, so the tunneling current has a negative spin polarization. This is indeed observed experimentally. For barrier layers that do not have cubic symmetry, can there still be efficient symmetry filtering? It turns out that for many insulators the answer may be yes. In order to keep the epitaxial relation between the electrodes and the barrier, often the reduced symmetry of the barrier layer has to be such that its unit cell is a multiple of the square unit cell of the (100) bcc electrode. For example, consider an orthorhombic lattice with a rectangular unit cell whose side matches the bcc lattice and the b side is twice of the bcc lattice. Obviously the evanescent wave functions in such a barrier layer do not have cubic symmetry. The spin-filtering effect depends on how these evanescent states couple to the cubic Δ1, Δ2, and Δ5 bands in CoFe and how their decay rates are relative to each other. The Δ1 band corresponds to the reciprocal lattice vector G0 = 0 which is unchanged for the barrier layer. The corresponding evanescent wave in the barrier will likely have the slowest decay rate of all the complex bands. The Δ5 band can be expressed as a

66

X. Zhang and W. Butler

linear combination of antisymmetric wave functions containing G1 (see section “Lateral Symmetry of Bloch States in Electrodes”), jΔ5 i ¼ A sin ½ð2π=aÞx þ B sin ½ð2π=aÞy:

(134)

The smallest reciprocal lattice vector along the x direction is ð2π=a, 0Þ which is the same as the wave vector along the x direction in the electrode, and the corresponding antisymmetric evanescent state has the symmetry of sin[(2π/a)x]. This gives us the first term in Eq. 134. The second term needs to be matched from wave functions corresponding to the reciprocal lattice vectors along the y direction. The first reciprocal lattice vector of the barrier layer is ð0,  2π=bÞ which is half of G1 in CoFe. This state cannot match to the Δ5 band in the electrode. The next reciprocal lattice vector is ð0,  4π=bÞ which is the same as ð0,  2π=aÞ and matches the second term in Eq. 134 perfectly. Thus, the Δ5 state in the electrodes can be matched to a linear combination of the evanescent states corresponding to the reciprocal lattice vectors ð0,  4π=aÞ and ð0,  π=bÞ. Because of their larger transverse wave vectors, these states likely have faster decays in the direction of transmission than the evanescent wave function that matches the Δ1 state. Therefore, if the unit cell of a reduced symmetry barrier layer is a multiple of the square unit cell of the electrode, the wave functions can be matched so that there is a likelihood that symmetry filtering will occur. A class of materials that are being studied as barrier materials for magnetic tunnel junctions are the spinal oxides [37]. The spinels are any of a class of oxides of general formulation AB2O4. They usually form a cubic crystal, with the oxygen atoms arranged in a cubic close-packed lattice and the cations A and B occupying some or all of the octahedral and tetrahedral sites in the lattice. Examples of spinels that are of interest as barrier materials for magnetic tunnel junctions include MgAl2O4, ZnAl2O4, SiMg2O4, and SiZn2O4. These spinel oxides have much better lattice match with the bcc Fe or CoFe electrodes. For example, the lattice of MgAl2O4 rotated 45 produces a less than 1 % mismatch with bcc Fe along the (001) direction, compared to the more than 3 % mismatch between MgO and bcc Fe or CoFe electrodes. Due to the relatively large lattice mismatch between the bcc Fe or CoFe with MgO, the epitaxial interface usually has a large lattice strain that is likely to induce defects which in turn would reduce the TMR. Using spinel oxides as the barrier layer can greatly eliminate this problem. The (001) crystal plane in spinel oxides has the C2v symmetry. This is a different symmetry than the MgO barrier. The complex bands along the [001] direction are e 2, Δ e 3 , and Δ e 4 bands. These bands are listed along with the corresponding e 1, Δ the Δ bulk bands of bcc Fe along the [001] direction in Table 3. As one can see, the complex bands in the spinels do not have a one-to-one correspondence to the Δ1, Δ2, Δ20 , and Δ5 bands defined by the C4v symmetry of the bcc electrodes. In e 1 band, particular, the slowest decaying complex band in the spinel oxides, Δ matches to both Δ1 and Δ2 bands in the electrodes. However, that both Δ1 and Δ2 bands match to the same complex band in the spinel barrier layer does not destroy

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

67

Table 3 Fe and spinel band symmetries. The orbital composition is listed in parenthesis. The last column shows the corresponding imaginary part of the wave vector for SiMg2O4 at the Fermi energy of an Fe/SiMg2O4/Fe junction Fe Δ1 ðs, pz dz2 Þ   Δ2 d x2 y2   Δ20 d xy

Rotate 45 Δ1 Δ2

Spinel e 1 ðspz d z2 Þ Δ   e 2 d xy Δ   e 1 d x2 y2 Δ

Δ5( pxpydzxdyz)

Δ5

e 3 ðpx d zx Þ, Δ e 4 py dyz Δ

Δ20

Imk(2π/a) 0.47 1.86 1.84 



1.04

the symmetry-filtering effect as one would naively believe. It turns out that the e 1 has multiple branches. The branch that matches to the Δ1 band has complex band Δ the smallest imaginary part, and the branch that matches to the Δ2 band, even though it has the same symmetry, has a much larger imaginary part, as listed in Table 3 for SiMg2O4. Therefore, the spinel oxides can indeed serve as barrier layers that provide the symmetry filtering for spin-dependent tunneling. Experimental measurement on single crystalline Fe/MgAl2O4/Fe MTJs found over 110 % TMR ratio [38]. More importantly, these junctions produced large Vhalf (bias voltage at which the TMR ratio is half of that at zero bias), ranging from 1.0 to 1.3 V, significantly greater than the typical values obtained from MgO-based MTJs, which is usually about 0.5 V. The reason for the improved Vhalf is also due to the better lattice mismatch. For the MgO-based MTJs, the significant lattice strain at the interfaces produces many dislocation defects [24]. These defects can lower the magnon excitation energy which in turn lowers the TMR at finite voltages. By using a barrier layer with a better lattice match, the magnon scattering is reduced and high TMR is maintained to higher voltages.

Summary Spin-dependent electron transport, specifically the phenomena of giant magnetoresistance and tunneling magnetoresistance, is amenable to a combination of semiclassical Boltzmann transport theory and first-principles quantum mechanical treatment. Current-in-plane giant magnetoresistance is discussed within a nonlocal semiclassical transport approximation. It is shown that CIP GMR can be treated by solving the Boltzmann transport equation for a multilayer system. The Boltzmann equation is solved using electronic structures derived from first principles for each of the layers and by taking into account the boundary conditions at the interfaces between the layers. The non-locality of the transport is less critical for current-perpendicular-toplane GMR, but inclusion of the spin-diffusion length is critical. Spin-dependent tunneling is treated using the Landauer approach. The symmetry of the evanescent states in the insulating tunnel barrier can be used to obtain a giant TMR effect even when the tunneling electrodes are not strongly spin-polarized at the Fermi energy.

68

X. Zhang and W. Butler

References 1. Dirac PAM (1928) The quantum theory of the electron. Proc R Soc Lond A 117:610–624 2. Gr€unberg P, Schreiber R, Pang Y, Brodsky MB, Sowers H (1986) Layered magnetic structures: evidence for antiferromagnetic coupling of Fe layers across Cr interlayers. Phys Rev Lett 57(19):2442–2445 3. Baibich MN, Broto JM, Fert A, Nguyen Van Dau F, Petroff F, Etienne P, Creuzet G, Friederich A, Chazelas J (1988) Giant magnetoresistance of (001)fe/(001)cr magnetic superlattices. Phys Rev Lett 61(21):2472–2475 4. Binasch G, Gr€unberg P, Saurenbach F, Zinn W (1989) Enhanced magnetoresistance in layered magnetic structures with antiferromagnetic interlayer exchange. Phys Rev B 39(7):4828–4830 5. Pratt WP, Lee S-F, Slaughter JM, Loloee R, Schroeder PA, Bass J (1991) Perpendicular giant magnetoresistances of Ag/Co multilayers. Phys Rev Lett 66(23):3060–3063 6. Bozorth RM (1951) Ferromagnetism. D. van Norstrand, New York, p 441 7. Chikazumi S (1964) Physics of magnetism. Wiley, New York, p 73 8. van den Berg HAM, Clemens W, Gieres G, Rupp G, Schelter W, Vieth M (1996) GMR sensor scheme with artificial antiferromagnetic subsystem. IEEE Trans Magn 32(5):4624–4626 9. Valet T, Fert A (1993) Theory of the perpendicular magnetoresistance in magnetic multilayers. Phys Rev B 48(10):7099–7113 10. Mark J, Silsbee RH (1987) Thermodynamic analysis of interfacial transport and of the thermomagnetoelectric system. Phys Rev B 35(10):4959–4972 11. Zhang X-G, Butler WH (1995) Conductivity of metallic films and multilayers. Phys Rev B 51(15):10085–10103 12. Chambers RG (1950) The conductivity of thin wires in a magnetic field. Proc R Soc A 202(1070):378–394 13. Camblong HE, Levy PM (1992) Novel results for quasiclassical linear transport in metallic multilayers. Phys Rev Lett 69(19):2835–2838 14. Fuchs K (1938) The conductivity of thin metallic films according to the electron theory of metals. Math Proc Camb Philos Soc 34(1):100–108 15. Sondheimer EH (1952) The mean free path of electrons in metals. Adv Phys 1(1):1–42 16. MacLaren JM, Zhang X-G, Butler WH, Wang X (1999) Layer KKR approach to Bloch-wave transmission and reflection: application to spin-dependent tunneling. Phys Rev B 59(8):5470–5478 17. Butler WH, Zhang X-G, Nicholson DMC, Schulthess TC, MacLaren JM (1996) Giant magnetoresistance from an electron waveguide effect in cobalt-copper multilayers. Phys Rev Lett 76(17):3216–3219 18. Butler WH, Zhang X-G, MacLaren JM (2000) Solution to the boltzmann equation for layered systems for current perpendicular to the planes. J Appl Phys 87(9):5173–5175 19. Stewart DA, Butler WH, Zhang X-G, Los VF (2003) Interfacial scattering in magnetic multilayers and spin valves. Phys Rev B 68(1):014433 20. Julliere M (1975) Tunneling between ferromagnetic films. Phys Lett A 54(1):225–226 21. Landauer R (1957) Spatial variation of currents and fields due to localized scatterers in metallic conduction. IBM J Res Dev 1(3):223–231 22. Slonczewski JC (1989) Conductance and exchange coupling of two ferromagnets separated by a tunneling barrier. Phys Rev B 39(10):6995–7002 23. Butler WH, Zhang X-G, Schulthess TC, MacLaren JM (2001) Spin-dependent tunneling conductance of Fe|MgO|Fe sandwiches. Phys Rev B 63(5):054416 24. Yuasa S, Nagahama T, Fukushima A, Suzuki Y, Ando K (2004) Giant room-temperature magnetoresistance in single-crystal Fe/MgO/Fe magnetic tunnel junctions. Nat Mater 3(12):868–871 25. Zhang X-G, Yan W, Han XF (2008) Theory of nonspecular tunneling through magnetic tunnel junctions. Phys Rev B 77(14):144431

1

Theory of Giant Magnetoresistance and Tunneling Magnetoresistance

69

26. Matsumoto R, Fukushima A, Nagahama T, Suzuki Y, Ando K, Yuasa S (2007) Oscillation of giant tunneling magnetoresistance with respect to tunneling barrier thickness in fully epitaxial Fe/MgO/Fe magnetic tunnel junctions. Appl Phys Lett 90(25):252506 27. Parkin SSP, Kaiser C, Panchula A, Rice PM, Hughes B, Samant M, Yang SH (2004) Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers. Nat Mater 3(12):862–867 28. Yuasa S, Djayaprawira DD (2007) Giant tunnel magnetoresistance in magnetic tunnel junctions with a crystalline MgO(001) barrier. J Phys D Appl Phys 40(21):R337–R354 29. Yuasa S, Suzuki Y, Katayama T, Ando K (2005) Characterization of growth and crystallization processes in CoFeB/MgO/CoFeB magnetic tunnel junction structure by reflective highenergy electron diffraction. Appl Phys Lett 87(24):242503 30. Cha JJ, Read JC, Buhrman RA, Muller DA (2007) Spatially resolved electron energy-loss spectroscopy of electron-beam grown and sputtered CoFeB/MgO/CoFeB magnetic tunnel junctions. Appl Phys Lett 91(6):062516 31. Read JC, Cha JJ, Egelhoff WF Jr, Tseng HW, Huang PY, Li Y, Muller DA, Buhrman RA (2009) High magnetoresistance tunnel junctions with MgBO barriers and NiFeB free electrodes. Appl Phys Lett 94(11):112504 32. Yuasa S, Katayama T, Nagahama T, Fukushima A, Kubota H, Suzuki Y, Ando K (2005) Giant tunneling magnetoresistance in fully epitaxial body-centered-cubic Co/MgO/Fe magnetic tunnel junctions. Appl Phys Lett 87(24):222508 33. Yuasa S, Fukushima A, Kubota H, Suzuki Y, Ando K (2006) Giant tunneling magnetoresistance up to 410% at room temperature in fully epitaxial Co/MgO/Co magnetic tunnel junctions with bcc Co(001) electrodes. Appl Phys Lett 89(4):042505 34. Yan W, Han XF, Zhang X-G (2008) Effect of Co interlayers in Fe/MgO/Fe magnetic tunnel junctions. Appl Phys Lett 93(17):172501 35. Belashchenko KD, Velev J, Tsymbal EY (2005) Effect of interface states on spin-dependent tunneling in Fe/MgO/Fe tunnel junctions. Phys Rev B 72(14):140404 36. Wang Y, Jia Z, Zhang X-G, Hai-Ping C, Han XF (2010) First-principles study of Fe/MgO based magnetic tunnel junctions with Mg interlayers. Phys Rev B 82(5):054405 37. Jia Z, Zhang X-G, Han XF (2012) Spinel oxides: 1 spin-filter barrier for a class of magnetic tunnel junctions. Appl Phys Lett 100(22):222401 38. Sukegawa H, Xiu H, Ohkubo T, Furubayashi T, Niizeki T, Wang W, Kasai S, Mitani S, Inomata K, Hono K (2010) Tunnel magnetoresistance with improved bias voltage dependence in lattice-matched Fe/spinel MgAl2O4/Fe(001) junctions. Appl Phys Lett 96(21):212505 39. Gurney BA, Speriosu VS, Nozieres J-P, Lefakis H, Wilhoit DR, Need OU (1993) Direct measurement of spin-dependent conduction-electron mean free paths in ferromagnetic metals. Phys Rev Lett 71(24):4023–4026

2

Spin Quantum Computing Philipp R. Struck and Guido Burkard

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 Quantum Computation in a Nutshell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 The Loss–DiVincenzo Proposal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 Universal Quantum Computing with the Spin Exchange Coupling . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 Optimization of Quantum Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Spin Relaxation in Quantum Dots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Nuclear-Spin-Induced Decoherence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 Singlet–Triplet Qubits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91 Spin Qubits in Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92 Spin Relaxation in Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Hyperfine Interaction in Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100

Abstract

This chapter describes the use of electron spins in semiconductor quantum dots as quantum bits for quantum information processing. Among the central themes of the chapter is the mechanism for a two-qubit operation based on the exchange interaction. Another important topic pertains to the mechanisms that lead to the loss of quantum coherence and are related to phonons or nuclear spins in the host semiconductor. The last part of this chapter is focused on the prospects for extending the ideas of spin-based quantum information to new materials such as graphene, where both nuclear-spin- and phonon-induced decoherence and relaxation are suppressed.

P.R. Struck (*) • G. Burkard Department of Physics, University of Konstanz, Konstanz, Germany e-mail: [email protected]; [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_5

71

72

P.R. Struck and G. Burkard

List of Abbreviations

13C 2D 2DEG AlGaAs As CNOT EPC Ga GaAs HF InGaAs MoS2 QD QPC RSA SiGe SO SU(2) WS2 XOR

Carbon-13 Two dimensional Two-dimensional electron gas Aluminum gallium arsenide Arsenic Controlled NOT (NOT is not acronym) Electron phonon coupling Gallium Gallium arsenide Hyperfine Indium gallium arsenide Molybdenum disulfide Quantum dot Quantum point contact Rivest–Shamir–Adleman Silicon–germanium Spin orbit Special unitary group in two dimensions Tungsten disulfide Exclusive OR (OR is not an acronym)

Introduction The purpose of this chapter is to provide an introduction and up-to-date overview of spin-based quantum information processing (This chapter is adapted from Struck [1]). We begin with an introduction to quantum computation where we discuss the main requirements which any quantum computer will have to fulfill. Then we show how spins in semiconductor quantum dots can be used to implement quantum information processing and how pairs of spins in adjacent quantum dots can be coupled to each other via the exchange interaction. The standard implementation of spin-based quantum information processing requires single-spin rotations in addition to exchange, but we will explore how quantum computation can be performed with the exchange interaction only. This provides a possible solution for the problem of relatively time consuming and technically challenging single-spin rotations. In the following chapters, we will then shift focus to actual implementations of quantum bits (qubits) and their properties. In particular, we give an overview of the important problem of decoherence, i.e., the loss of quantum information stored in a qubit. We will see that there are two types of informationdestroying processes. First we will discuss the relaxation of spins into an energetically lower state. For spins in semiconductor quantum dots, this process is mainly caused by the spin–orbit interaction combined with the spontaneous emission of acoustic phonons. The other process is the loss of coherence of a quantum mechanical superposition caused by nuclear spins in the host material of the quantum dot.

2

Spin Quantum Computing

73

Although the atomic hyperfine interaction is in some sense weaker than the spin–orbit interaction, we will see that in the case of quantum dots, it is in fact the hyperfine interaction that limits the decoherence time. In the penultimate chapter, we discuss qubits based not on a single spin 1/2 but on the singlet and triplet states of two spins 1/2 located in a double-quantum dot which are also the subject of current scientific investigations. In the last chapter of this introduction, we will discuss spin qubits in graphene quantum dots. Up to now, their experimental implementation remains challenging, but the theory predicts long decoherence times due to weak spin–orbit and hyperfine interaction.

Quantum Computation in a Nutshell Before we turn to the physical implementation of quantum computing devices, let us take a moment to review the reasons why it is of interest to employ the laws of quantum mechanics for computational (more generally, information processing) tasks. While quantum computers cannot outperform classical computers in terms of which problems can be solved (computability), there is strong evidence that they beat classical computers in terms of how fast they can solve certain problems. The first statement means that every task a quantum computer can perform can in principle also be done by a (classical) Turing machine and therefore any available computer today. This is understandable as the quantum machine obeys the rules of quantum mechanics as we know them and those rules and equations can be formulated in the language of mathematics which in turn can be formulated as a (classical) computer program. However, computability in itself is typically not the main issue in real-world applications. What is much more important is the efficiency of a computation which can be expressed mathematically in terms of the complexity of the problem. As we will see, there are problems which require a time exponentially long in the size n of the input (instance of the problem) when being γ solved on a classical computer or Oðecn Þ or more generally, Oðecn Þ with c > 0 and γ > 0. This makes those problems intractable in practice for classical computers. What a quantum computer can do is to solve some of these problems much faster than a classical computer. By “much faster,” one typically means a speedup from exponential to polynomial complexity, Oðnα Þ with some (hopefully not too large) fixed exponent α. Note however that this is not a general feature of quantum computers. There is no theorem which states that there is a more efficient quantum version of any given classical algorithm. However, so far, there exist a number of quantum algorithms which are much more efficient than their known classical counterparts. One of the most striking examples of a quantum speedup is the algorithm proposed by Peter Shor to find the prime factors of a given integer number [2]. Public-key cryptography schemes such as RSA rely on the fact that the factorization of sufficiently large numbers is practically impossible with classical computers. Before we start the discussion of specific implementation of qubits and quantum gates using spins in solid state, it is instructive to think about the general

74

P.R. Struck and G. Burkard

requirements for a working and useful quantum computer. David DiVincenzo proposed five criteria which any system which is a candidate for a quantum computer must fulfill [3] and which we briefly review in the following: 1. Many qubits are required for a working quantum computer. Hence we have to demand scalability, i.e., the possibility to combine an arbitrary number of qubits to form larger registers needed to store and process information. Solid-state qubits are very promising in this respect because they can be manufactured using conventional semiconductor fabrication techniques. The scalability requirement mathematically translates to that of a precisely enumerable Hilbert space. This sensible requirement means that we have to know the exact number of qubits in which we want to use to store and to manipulate information. Furthermore, it should be possible to decompose the Hilbert space into a direct product of the individual qubit Hilbert spaces. As a result, the dimension of the total Hilbert space grows exponentially, and for 10 qubits, i.e., 10 two-level systems, it is already 210 = 1,024-dimensional. In principle, one can use systems with more than two levels to do quantum computing, but in the following, we will always refer to two-level systems (qubits). 2. In order to actually start a quantum computation, it is necessary to initialize the system, i.e., prepare the entire qubit register into a known and well-defined state such as “all qubits ‘zero.’” This is easily done in some systems by simply cooling the qubits to their ground states. However, if one uses nuclear spins, this criterion turns out to be harder than it sounds, and one may need to resort to active (dynamical) cooling schemes. Besides the obvious necessity to initialize the qubits, supplying the system with low-entropy states is also important in the context of quantum error correction where it is a means of extracting entropy from the system which builds up due to (unavoidable) decoherence [4]. 3. The relevant decoherence times of the qubits must be longer than the gate operation times. This requirement at first sounds most challenging for solidstate systems such as the spin qubits we are discussing here. Unlike, e.g., trapped atoms hovering in vacuum, the electron spin in a solid interacts with a rather noisy environment. Memoryless decoherence processes of a single qubit can be described using two time constants: the so-called energy relaxation time T1 and the decoherence time T2. The T2 time describes how long it takes until a coherent quantum superposition of |0i and |1i described by the pure qubit state jψ i ¼ αj0i þ βj1i turns into an incoherent mixture of |0i and |1i described by the density matrix ρ ¼ jαj2 j0ih0j þjβj2 j1i h1j, where α and β are complex numbers. In some of the most important semiconductor materials such as GaAs, the dominant cause for the loss of phase coherence of electron spin qubits is the hyperfine interaction with the surrounding nuclear spins (although this environment is typically not memoryless). The nuclear-spin-induced decoherence can be avoided to a large extent by the use of materials with few or no nuclear spins such as carbon, silicon, or germanium.

2

Spin Quantum Computing

75

Spin qubits in graphene will be discussed in more detail in section “Spin Qubits in Graphene.” The mechanisms leading to energy relaxation in spin qubits will be discussed in section “Spin Relaxation in Quantum Dots.” In order to perform quantum computations, the typical time Top required to perform an elementary one- or two-qubit operation must be much smaller than the decoherence time. Another way of stating this requirement is to say that the error probability per gate needs to be small, e ¼ T op =T 2  1. The same condition must be fulfilled for the relaxation time T1, but in most cases T1 ≳ T2 as we will see in section “Nuclear-Spin-Induced Decoherence.” The fact that in practice e > 0 forces us to find ways of coping with the errors that occur during a quantum computation. This is an interesting and nontrivial issue which deserves a detailed treatment which we cannot give in this chapter. For a good introduction to quantum error correction, we refer the reader to the available textbooks [4–6]. Let us merely remark that under certain assumptions regarding the nature of the errors, fault-tolerant quantum computation has been proven to be possible when the error rate per gate e lies below a certain threshold eth. The value of the threshold depends on the details of the type of errors as well as on the type of quantum error correction used. Typical values for standard quantum error correction schemes are eth  104, but recently, methods to achieve a higher threshold have not been reported [7, 8]. 4. The fourth criterion provides the link between the hardware and the software: a universal set of quantum logic gates needs to be implemented. A quantum logic gate, or just quantum gate for short, is simply a unitary operation U on a finite number of qubits (one or two qubits in the following). A quantum algorithm can be understood as a series of quantum gates U k U k1 . . . U 2 U 1 acting on the qubits that form the memory of the computer. Generally speaking, the unitary operator describing the quantum gate is obtained as   ð i t 0 0 U ¼ Texp  H ðt Þ dt ℏ 0

(1)

where H(t) describes the time-dependent control Hamiltonian of the system and T is the time-ordering operator. The exact form of the Hamiltonian depends on the system under consideration and can involve externally applied magnetic or electric fields. Formally, finding a Hamiltonian H to perform a desired gate operation U as in Eq. 1 is straightforward. However, this may lead to unphysical interactions and therefore the physical implementation can still be challenging, including many-body interactions or strong magnetic fields. The set of quantum gates to be implemented needs to be universal, i.e., any unitary operation on an arbitrary number of qubits needs to have a (finite) decomposition into a product of unitaries from this set. It is known [9] that a universal set can be made from all (unitary) one-qubit operations U  SU(2), which can be identified with spin rotations, in combination with one appropriate two-qubit operation U(2) (This came somehow as a surprise in the early days of

76

P.R. Struck and G. Burkard

quantum computing because in reversible classical computing three-bit gates are necessary to form a universal set), i.e.,   (2) S ¼ Uð2Þ [ SU ð2Þ: One popular choice for the two-qubit operator U(2) is the CNOT gate, which is the quantum version of the XOR gate [10]. CNOT is short for controlled NOT and it is one instance of the general set of two-qubit gates in which the state of one qubit, say, the first one, acts as a control parameter of an operation performed on the second qubit, the so-called target qubit. In this case, the second qubit is flipped if the first qubit is in the state |1i1, where |iij with i, j = 0,1 denotes the basis states. If the control qubit is in the state |0i1, the gate acts as an identity operator, i.e., nothing happens. The effect of the CNOT gate on all four basis states is the following: j0, 0i 7! j0, 0i;

(3)

j0, 1i 7! j0, 1i;

(4)

j1, 0i 7! j1, 1i;

(5)

j1, 1i 7! j1, 0i:

(6)

In this basis, it is easy to write down the matrix representation of the gate as 0

UCNOT

1 B0 B ¼@ 0 0

0 1 0 0

0 0 0 1

1 0 0C C: 1A 0

(7)

We would like to stress at this point once more the difference between classical and quantum bits. For the former, the CNOT gate is nothing but an XOR gate where the target bit is the result of the addition modulo 2. In the quantum case, the input and output states can be much more intricate. For  example, consider the effect of a control state in a superposition jψ i1 ¼ p1ffiffi2 j0i1 þ j1i1 on a target state jψ i2 ¼ j0i2 . The initial state can be written as a product state 1 jψ i1  jψ i2 ¼ pffiffiffi j0i ðj0i þ j1iÞ: 2

(8)

The final state is easily calculated as   1 UCNOT jψ i1  jψ i2 ¼ pffiffiffi ðj0i j0i þ j1i j1iÞ; 2

(9)

which cannot be written as a product state anymore. We have created an entangled state which is impossible with classical bits. As an alternative to the

2

Spin Quantum Computing

77

CNOT gate, the square-root-of-SWAP gate together with one-qubit rotation also forms a universal set of quantum gates [11]. We will come back to this gate in the section on universal quantum computing with exchange interactions. 5. After the computation, individual qubits have to be measured. In the case where the measurement takes place in the computational basis given by |0) and |1), the associated observable to be measured is the Pauli operator  σz ¼

1 0

 0 : 1

(10)

After this description of the requirements that a system has to meet in order to be useful for quantum computations, we now give an example of a quantum algorithm that could run on such a machine. We will introduce the Deutsch algorithm as an instructive example and refer the reader to Nielsen and Chuang [4] and Mermin [6] for a thorough introduction to quantum computation. The piece of quantum software with most practical relevance known so far is arguably Shor’s algorithm for efficiently factoring large integer numbers [2]. Perhaps even more relevant are the prospects of efficiently simulating quantum systems with a quantum computer, which typically turns out to be very hard when using conventional computers [12]. Let us introduce the notation for the description of quantum computational processes. A quantum gate acting on states of n qubits is described by a unitary transformation U which can be represented as a unitary 2n  2n matrix (conventionally also denoted by U). In the simplest case of single-qubit operations, U is a 2  2 matrix. Unitaries necessarily describe reversible functions, but we can also use them to compute arbitrary functions f by retaining the input state |xi together with the output state |yi as |xi |yi and write the transformations as matrices acting on both states. The unitary transformation acting on the two states can be written as Uf jxi jyi ¼ jxijy  f ðxÞi

(11)

where  denotes the XOR operation (0  0 = 1  1 = 0,1  0 = 0  1 = 1) or bitwise addition modulo 2. If the output register is set to zero at the beginning, we find U f jxi j0i ¼ jxi jf ðxÞi;

(12)

and therefore the output state contains the desired function of the input state. As an instructive example, let us now turn to the Deutsch algorithm [13] whose task is to determine whether a given function f ðxÞ : f0, 1g ! f0, 1g is balanced ðf ð0Þ 6¼ f ð1ÞÞ or constant ðf ð0Þ ¼ f ð1ÞÞ. One can think about this task in terms of classical objects. This illustration is not just useful for the purpose of making things more understandable, but it also highlights the point that although the actual computation of a quantum computer follows the laws of quantum mechanics, the input and output are typically classical. Let’s suppose we have a coin and want to

78

P.R. Struck and G. Burkard

find out whether it is biased or not, i.e., whether it shows head or tail on both sides or not. The easiest way is to look on one side, turn the coin, and compare the outcome to the other side. So one needs two elementary operations (here, observations of one side of the coin), or two evaluations of the function f(x), to find an answer. And obviously two is also the minimum number of evaluations or operations that suffices to complete the task. Deutsch showed that by means of quantum mechanics, it is possible to do the same in one single step. (In the original algorithm, this is possible only with a success rate of 1/2. Later it was shown that is also possible to get an answer in a single step every time.) The basic idea is to use a superposition of both states as an input state, let the algorithm operate on this superposition of both possible inputs, and then do the readout. A superposition of basis states is often required in quantum computing and it is therefore instructive to learn about the quantum gate that does the job. The gate is called the Hadamard gate (after the French mathematician Jacques Salomon Hadamard (1865–1963)) and has the form 1 1 H ¼ pffiffiffi ðσ x þ σ z Þ ¼ pffiffiffi 2 2



1 1

 1 : 1

(13)

If we let H act on one of the two basis states, we obtain the superpositions 1 H j0i ¼ pffiffiffi ðj0i þ j1iÞ, 2

1 Hj1i ¼ pffiffiffi ðj0i  j1iÞ: 2

(14)

To solve the problem, Deutsch suggested to use an initial state which contains all possible combinations of input and output states at the same time, i.e., as a superposition. As just shown, this state can be made by using Hadamard gates 1 jψ i ¼ H  H j0ij1i ¼ ðj0i þ j1iÞ ðj0i  j1iÞ: 2

(15)

The unknown function Uf is now applied to this state. Following Eq. 12 and making use of j0  f ðxÞi ¼ jf ðxÞi and j1  f ðxÞi ¼ σ x jf ðxÞi, where σ x is the single-qubit NOT operation, one obtains h i U f jψ i ¼ ð1Þf ð0Þ j0i þ ð1Þf ð1Þf ð0Þ j1i ðj0i  j1iÞ

(16)

A measurement in the j0i  j1i basis (equivalent to a Hadamard and a σ z measurement) in the first register yields the desired information, whether f is constant or balanced. This would not have been possible with any classical computer or algorithm. The key feature of quantum mechanics that has been used to obtain this result is sometimes called quantum parallelism. Note, however, that we could not have obtained both values of f at once, because this information cannot be extracted from the final state (a global phase cannot be measured). So, the output state contains exactly what we wanted to know, and not a bit more (literally!).

2

Spin Quantum Computing

79

The Loss–DiVincenzo Proposal In their proposal for electron spin-based quantum information processing, Loss and DiVincenzo showed how a universal set of one- and two-qubit gates can be implemented on a register of coupled spin qubits located in an array of quantum dots [11]. As will be shown in detail below, the entangling two-qubit unitary gate U(2) directly emerging from the exchange interaction between spins in adjacent quantum dots is the square-root-of-SWAP gate. A combination of square-root-ofSWAP gates and single-spin rotations can then also produce the more standard CNOT gate. Single-spin rotations generated by some local magnetic interaction form the set SU(2) which completes the universal set of gates. As described above, this combined set of gates is universal in the sense that they can be combined in a quantum circuit, i.e., a sequential application of quantum gates, to perform arbitrary quantum algorithms. There exist other variants of this proposal that rely on the same type of exchange interactions, e.g., involving the nuclear or electron spins of donor atoms buried in a silicon substrate [14], or electron spins in SiGe quantum dots [15], or electrons trapped by surface acoustic waves [16]. For a recent review of spinbased quantum computing systems, see Kloeffel and Loss [17] (Fig. 1). One can model such spin qubits in a quantum dot array using the well-known Hubbard model in which the tunnel hopping strengths tij are pulsed, i.e., are functions of time t. High tunnel barriers effectively switch the coupling between neighboring qubits i and j off with exponential precision (tij = 0), while for a coupling parameter much smaller than the onsite Coulomb repulsion (charging) energy U, i.e., tij  U, the description can be restricted to the charge sector with one electron per dot (half-filling of the Hubbard model). The spins experience a Heisenberg coupling H ðtÞ ¼

X

J ij ðtÞ Si Sj ;

(17)

hiji

with a time-dependent exchange coupling J ij ðtÞ ¼ 4t2ij ðtÞ=U. The microscopic origin of the exchange coupling lies in the fact that the energy of the spin singlet is lowered by J due to virtual hopping processes, while the spin triplet remains unaffected because hopping is forbidden by the Pauli exclusion principle. The value of the exchange coupling in dependence of external fields and the inter-dot distance can be obtained from a Heitler–London or Hund–Mulliken ansatz [19]. We can identify the projection operator onto the spin singlet state formed by the spins on sites i and j as PSij ¼ Sij Sij ¼ 1=4  Si Sj and rewrite the Hamiltonian as H ðt Þ ¼ 

X hiji

J ij ðtÞ PSij ¼

X

Hij ðtÞ;

(18)

hiji

where Hij ðtÞ ¼ J ij! ðtÞPSij and where a (time-dependent) term proportional to the identity has been omitted since it only produces an irrelevant global phase.

80

P.R. Struck and G. Burkard

electron

B⊥

gates B

ac

AlGaAs GaAs

2DEG

Fig. 1 Schematic of a lateral spin quantum dot array with one excess electron per dot. The spin of the electron on each quantum dot represents a qubit [11]. Indicated here are only two adjacent spins while a quantum register would consist of a large array of qubits. Such a quantum dot array can be realized in various semiconductor heterostructures such as GaAs. The free electrons caused by the doping form a two-dimensional electron gas (2DEG) of typically 10 nm thickness at the interface of the heterostructures which is located 50–100 nm below the surface [18]. Regions of confinement can be created by applying voltages to the metallic gates on top (as shown) or on the bottom of the heterostructure. A constant magnetic field is used to induce a Zeeman splitting; varying magnetic and electric fields may be used to manipulate the spins. The Heisenberg exchange interaction can be employed to couple spins in adjacent quantum dots. The size of such a device is approximately on the order of 100–1,000 nm

Making use of the projector identity

2 PSij ¼ PSij , we can easily find the time

evolution operator for coupling two specific qubits i and j (while all other couplings are set to zero) by exponentiating the Hamiltonian. We obtain U ij ðϕÞ ¼ e

ℏi

Ðt 0

dt0 Hij

  ¼ 1 þ eiϕ  1 PSij ;

(19)

where 1

ϕ¼ℏ

ðt

dt0 J ij ðt0 Þ:

(20)

0

A π-pulse of the exchange interaction, defined as ð 1 dt J ij ðtÞ ¼ π ϕ¼ ℏ

mod 2π ;

(21)

will implement a SWAP gate, SWAPij ¼ Uij ðπ Þ ¼ 1  2PSij ;

(22)

which precisely interchanges the states of the qubits i and j. While the SWAP gate by itself is not useful for quantum computation, it can be used to shuttle around

2

Spin Quantum Computing

81

qubits and thus to overcome the locality of the exchange interaction in situations where distant qubits need to be coupled. Therefore, while the Hamiltonian (17) solely couples neighboring qubits directly, a series of SWAP gates, which exchange the states in two neighboring qubits, in principle allows for operations between two arbitrary qubits can be accomplished. As we will see in section “Universal Quantum Computing with the Spin Exchange Coupling,” there are also proposals how to couple distant qubits and thus avoiding the extra time required for a series of SWAP operations. To implement a useful entangling gate for universal quantum computation, one can pulse the interaction such that ð 1 π ϕ¼ dtJ ij ðtÞ ¼  ℏ 2

mod 2π :

(23)

Such a pulse generates a square-root-of-SWAP gate (up to an irrelevant global phase factor). The square-root-of-SWAP gate has been implemented experimentally in a double-quantum dot [20]. With Eq. 23, the square-roots-of-SWAP gates S are obtained as (the other two square roots are obtained by changing the overall sign of the unitary) S S ij ¼ U ij ðπ=2Þ ¼ 1 þ ði  1ÞPij ¼

1i 1 i þ SWAPij : 2 2

(24)

Let us pick the positive sign and omit the fixed indices i and j in what follows. The gate S = S+ together with single-qubit rotations is just as universal as the abovementioned CNOT gate. In fact, together with single-spin rotations U i ðϕÞ ¼ expðiϕSi Þ about axis i with an angle ϕ, the square-root-of-SWAP gates can be converted into a controlled phase-flip gate [11] UCPF ¼ eiπ=2 eiπS1 =2 eiπS2 =2 SeiπS1 S z

z

z

(25)

which in turn is equal to the CNOT gate up to a basis change. In the presence of spin–orbit coupling, the exchange coupling Eq. 17 can acquire anisotropic terms which do not conserve Sz [21]. However, it turns out that in the first order, it is possible to eliminate these terms by using time-symmetric gate pulses [22]. So far, we have discussed how a number of spin qubits coupled via the exchange interaction can be used to construct a universal set of quantum gates which is a necessary requirement for a working quantum computer. As we have learned in section “Quantum Computation in a Nutshell,” another requirement is that the gate operation time is much shorter than the decoherence time of the spins involved. But in any case, there will be some amount of decoherence during a gate operation. In addition to the proposal described above, in Loss and DiVincenzo [11], the nonideal situation of a SWAP operation during which the spins are coupled to a magnetic environment is studied using a (quantum) master equation.

82

P.R. Struck and G. Burkard

Universal Quantum Computing with the Spin Exchange Coupling In the previous section, we discussed how the exchange interaction between two qubits can be used to implement a universal set of quantum gates. The relative strength, short range, and large on-off ratio of the Heisenberg exchange coupling allow for fast gate operations. For the operation of spin-1/2 qubits, in addition to the Heisenberg coupling Eq. 17, one needs single-spin operations generated by some form of local magnetic field, giving rise to a Hamiltonian, H ðt Þ ¼

X hi, ji

J i, j ðtÞSi Sj þ μB

X

gi ðtÞBðri , tÞ Si ¼ H ex ðtÞ þ H Z ðtÞ;

(26)

i

where HZ is the local Zeeman term necessary for the single-spin rotations. We are now going to address to question whether the exchange coupling alone might be sufficient for universal quantum computation. The straightforward answer to this question for spin-1/2 qubits is “no,” because the Heisenberg interaction preserves both the total angular momentum and the magnetic quantum number   H ex , S2 ¼ ½Hex , Sz ¼ 0

(27)

which immediately means that without supply of additional single-qubit operations, it cannot be universal, because it cannot explore the entire computational Hilbert space. This means that when one starts with a state having a certain sharp value of, say, total Sz as an input of the computation, then only states with that same value of Sz could result from the time evolution under the exchange interaction. However, for universal quantum computing, every final state would have to be reachable in principle, since this might be demanded by the quantum algorithm. There are practical motivations to do without the single-spin rotations. The control over individual spins located very close to each other makes single-qubit rotations quite challenging. It requires the local (de)tuning of the Zeeman splitting gμBB away from resonance, so that Rabi oscillations are suppressed. The standard way to rotate spins is by electron spin resonance (ESR), i.e., using a small oscillatory magnetic field perpendicular to a larger static magnetic field. Applying rf magnetic-field pulses locally, on a scale of 10–100 nm, without inducing photonassisted tunneling, in order to achieve single-spin ESR, however, requires advanced techniques [23]. The gradient in the static magnetic field required for selective ESR on one of the dots only can be induced by the surrounding nuclear spins [23] or alternatively by a micromagnet [24]. The requirement for single-spin rotations can be circumvented if instead of a single spin 1/2 as the qubit representation, one makes use of multiple spins 1/2 which remain in a two-dimensional subspace of the Hilbert space with fixed total spin quantum numbers. The smallest number of spins 1/2 that allows for an encoding for which the Heisenberg interaction alone is universal is three [25]. (Note that the general scheme of quantum computing using only the exchange coupling is not only restricted to quantum dots using electronic spins but can be

2

Spin Quantum Computing

83

applied to other systems described by isotropic exchange coupling.) In this case, two of the spins form either a singlet (S = 0) or triplet (S = 1). Together with the third spin, the total spin of the three qubits is either S = 1/2 or S = 3/2. One can choose, for example, the two states with S = 1/2 and Sz = 1/2 as the computational basis. The Heisenberg interaction conserves these quantum numbers and does not cause transitions non-computational states. An explicit choice for the basis states of the encoded qubit could be [25] 1 j0i ¼ jSij"i ¼ pffiffiffi ðj"#i  j#"iÞ j"i 2 rffiffiffi rffiffiffi 2 1 j 1i ¼ jT þ ij#i  j T 0 i j "i 3 3 rffiffiffi rffiffiffi 2 1 ¼ ðj"#i þ j#"iÞj"i: j""ij#i  3 6

(28)

(29)

The state |0i can be prepared by applying a sufficiently strong magnetic field to align the third spin, i.e., gμB B > kB T, but sufficiently small in order not to destroy the singlet, i.e., gμB B < J ij . The important question remains: what is the computational overhead one has to accept, i.e., how many more operations are necessary to do implement a chosen set of universal gates? The implementation of a two-qubit gate with three spins encoding each qubit means one in principle has to deal with a Hilbert space of dimension 22 3 ¼ 64. The question of how much overhead the use of encoded qubits produces can be reformulated by asking how can one produce a two-qubit gate on the encoded qubits which is equivalent to a CNOT gate or, more quantitatively, how many two-spin exchange interactions, so-called pulses, are necessary to produce a two-qubit gate which is equivalent to a CNOT gate. A sequence of 19 pulses was found numerically [25] and it was later confirmed analytically that this sequence indeed produces a CNOT gate [26]. It should be mentioned, however, that this only works if the qubits are in a specific subspace of the computational subspace. It takes additional steps to produce this necessary initial state. Sequences which do not have this requirement have been found with a length of 23 pulses [27] and 39 pulses [28]. Recently, there has been renewed interest in three-spin encoded qubits where the exchange coupling is left on and is supplemented by radio-frequency pulses [29–31]. There are other ways how the exchange interaction can be used to implement quantum gates. If a locally changing g-factor can be engineered, e.g., by an appropriate choice of the material surrounding a qubit, it is sufficient to use pairs of spins to implement logical qubits. In this case, the computational overhead is reduced as only two gate operations are needed for a controlled π-phase flip [32]. Instead of using a locally varying g-factor, one can also use the anisotropic XY interaction on a set of spins to construct encoded qubits. The advantage is again a smaller number of gate operations in comparison to the Heisenberg exchangeonly proposal [33]. Single- and two-qubit gates can be implemented for even more general exchange Hamiltonians [34].

84

P.R. Struck and G. Burkard

Optimization of Quantum Circuits If several of the interactions in Eq. 26, i.e., exchange and local magnetic fields, can be controlled simultaneously and independently, then the CNOT gate (or, equivalently, the controlled phase-flip gates) can be implemented without using the sequence Eq. 25 in a single parallel interaction pulse. In the case of identical pulse profiles for the two magnetic fields, a CNOT between qubits 1 and 2 can be achieved with the magnetic field applied in z-direction with pulse strengths [35] pffiffiffi 1þ 3 B1 ¼ 2 pffiffiffi 1 3 B2 ¼ 2

J 12 ; gμB

(30)

J 12 ; gμB

(31)

where the magnitude of the inter-dot exchange coupling pulse J12 determines the gate time T gate ℏ=J 12. In general, more complicated operations can be optimized by breaking up the time evolution into discrete steps with constant parameters Jij and Bα,i where α = x, y, z.

Spin Relaxation in Quantum Dots Up to now, we have considered perfect qubits which maintain their quantum mechanical state as long as they are not operated on or read out. In reality, this is never exactly the case. In fact, decoherence is one of the biggest challenges in building a usable quantum computer. However, the third DiVincenzo criterion (see section “The Loss–DiVincenzo Proposal”) only requires that the decoherence has to be maintained much longer than the gate operation time and not infinitely long. How much longer depends on the details of how errors during a quantum computation are corrected (quantum error correction is an interesting subject of its own which we will not discuss here). To fulfill the above requirement, two things can be done. First, the gate operations should be performed as fast as possible, and second, a system has to be chosen which exhibits only weak decoherence. Spin qubits typically have much longer decoherence times compared to charge qubits. Before we go into the details of spin relaxation processes, we need to clarify what we mean by relaxation and decoherence. So far, we have used the term “decoherence” to describe any process which destroys or alters the qubit states in a noncontrollable way both during and in between gate operations. However, to be precise, there are two distinct ways this can happen for qubits (Fig. 2). Decoherence in its strict meaning refers to a transition of a coherent superposition of states, e.g., of the |0i and |1i of the qubit, into a statistical mixture of these states (this process is sometimes also referred to as pure decoherence or dephasing). Spin relaxation, on the other hand, describes essentially the decay of the higher-energy qubit state (say, |1i) into the lower-energy one (|0i in our example). If the qubit is coupled to an

2

Spin Quantum Computing

sz 1/2

∝ e−t/T1 2

4

6

T1 8

10

t [a.u.]

–1/2

|0 = | ↑

sx 1/2



2 –1/2

85

1 cos(gµBBt/) e−t/T2 2 4

6

8

10

|1 = | ↓

T2

t [a.u.]

|1 + |0

| ↑↑ | + | ↓↓ |

Fig. 2 This figure illustrates the two processes which lead to loss of information of a qubit. On the right-hand side, Bloch spheres are used to illustrate the spin states in a QD. The states |"i and |#i are eigenstates of σ z that are separated in energy by an external magnetic field along the z-direction. The upper row depicts a spin relaxation process in which a spin prepared in an σ z eigenstate flips due to exchange of energy with the environment. It is characterized by an exponential decay with relaxation time T1. The lower row illustrates the decoherence which describes the decay of quantum mechanical superpositions in to statistical mixtures when the system initially is in an eigenstate of, e.g., σ x. The time scale for this process is given by the decoherence time T2. Note that T2 describes the decay of a single system during a single run of the experiment. An experimentally more useful measure is the dephasing time T*2 which arises from averaging over an ensemble of nuclear-spin configurations. It is often considerably shorter than T2

environment with a temperature comparable with the qubit energy splitting (divided by kB), then there can be random excitations in the reverse direction and the qubit relaxes to a thermal equilibrium. In the case of Markovian noise (i.e., short correlation times of the environment of the spin qubit), these two processes are described by exponential decays with time constant T1 for spin relaxation and T2 for decoherence. If the latter is in addition averaged over many samples or many experimental runs, then one conventionally uses the notation T*2 for the inhomogeneous decoherence time. Spin relaxation refers to the process of a flipping of |"i to |#i. This decay is typically caused by the emission of a phonon carrying the Zeeman energy away into the bulk crystal lattice. The correlation time of the phonon bath is very short, and thus the spin–phonon interaction is Markovian to a good approximation and, hence, spin relaxation is usually exponential. On the other hand, decoherence, as was already mentioned, is the transition of a coherent transverse state, such as an σ x eigenstate |"i + |#i, in the presence of a magnetic field along z, into an incoherent mixture |"ih"| + |#i|"i. This decay can be exponential as well but for the case of the nuclear-spin bath, it is typically more complicated as we will see in section “Nuclear-Spin-Induced Decoherence.”

86

P.R. Struck and G. Burkard

For the remainder of this section, we will be dealing with spin relaxation. The host material for many experiments with single-electron spins in quantum dots is the two-dimensional electron gas (2DEG) formed at the interface between layers of GaAs and AlGaAs. The dominating mechanism for spin relaxation in QDs in which a pair of Zeeman sublevels of the lowest orbital state is used as a qubit can be described using the Hamiltonian [36] H = H0 + gμBBSz + HSO + HEPC where H0 = p2/2m + U(r) and the exact form of the confining potential U only has a minor influence on the relaxation time. The eigenenergies of H0 + gμBBSz can be written as En  12 gμB B, where the sign in front of the Zeeman energy corresponds to the two-spin eigenstates σ = " = +1 and σ = # = 1. In the absence of structural inversion symmetry in the crystal hosting the 2DEG, a spin–orbit interaction of the Rashba type is present, HSO = ΔR(σ xpy + σ ypx) [37]. As a consequence, the Zeeman sublevels which form the qubit are not product states of up- and downspin with one orbital level anymore. Instead, each sublevel n acquires a small admixture of different orbital states m and opposite spin. The amount of this admixture is given by the ratio of the spin–orbit coupling ΔR and the single-particle level spacing, and due to the smallness of this parameter, the problem of finding the new eigenstates can be treated perturbatively, jnσi ¼ jnσi

ð0Þ

þ

X m6¼n

ð0Þ

ð0Þ

hm,  σjH SO jnσi jm, αið0Þ ; 1 En  Em gμB B 2

(32)

where |nσi denotes the spin–orbit admixed states and |mσi(0) the unperturbed eigenstates of H0 + gμBBSz. These new eigenstates are still orthogonal (to lowest order), but the electron–phonon coupling HEPC enables transitions between them. The transition matrix elements are given as X ðH EPC Þq ðH SO Þ"# ðHSO Þ"# ðH EPC Þq mn nm nm nm þ hqjhnσjH EPC jn,  σ ij0i ¼ E  E  gμ B E  E þ gμ n m n m B BB m6¼n

! (33)

The processes related to this matrix element can be described as a spin flip accompanied by a transition to an energetically higher level due to the spin–orbit interaction HSO, followed by a decay back to the lower orbital via the emission of a phonon with wave vector q. Here, |0i and |qi denote the phonon vacuum and the state with a single phonon with wave vector q, respectively (here, we assume that the temperature of the phonon bath is much smaller than the Zeeman splitting gμBB). In a second possible process, the electron–phonon coupling acts first and then the spin–orbit coupling. With the above equation, the spin relaxation rate can be calculated by using Fermi’s Golden Rule, ð d   1 d q ¼ 2πAdot jhqjhn # jH EPC jn "ij0ij2 δ ϵ q  gμB B ; d T1 ð2π Þ

(34)

2

Spin Quantum Computing

87

with the dot area Adot and the phonon dispersion ϵ q and wave vector q. Depending on the dimensionality of the material, the phonons can move in d = 3 (GaAs), d = 2 (graphene), or d = 1 (carbon nanotubes) dimensions. For acoustic phonons, ϵ q = sq with the sound velocity s. In the case of a single-valley direct-bandgap semiconductor such as GaAs, the bound-state Zeeman sublevels |nσi and |n,σi occurring in Eq. 33 are timereversed partners of each other, i.e., at vanishing magnetic field B = 0, they form a degenerate Kramers pair. As a consequence, the matrix element Eq. 33 vanishes in the limit B = 0. Formally, the two terms of Eq. 33 cancel because the electron–phonon matrix element is symmetric with respect to the exchange of its indices, while the spin–orbit matrix element is antisymmetric. This so-called Van Vleck cancelation [38] reduces the matrix element at small values of B by a factor / B and thus the relaxation rate by a factor of B2. The energy dependence of the density of states of 3D acoustic phonons ρ / q2 / ϵ 2q / B2 combined with the q-dependence of the matrix elements / q1/2 / B1/2 lead to T1 / B5 for low fields [36] which was confirmed experimentally [39]. At sufficiently low fields, the spin relaxation time was found to exceed 1 s. The cancelation can be traced back to the time-reversal invariance of H and its eigenstates at B = 0, i.e., the fact that both spin–orbit interaction and electron–phonon coupling preserve time-reversal symmetry. Note that this is the case in GaAs and many other semiconductors but there are cases where time-reversal symmetry can be broken by a specific choice of states for the qubit. In the case where one picks two states of opposite spin from one of the two K-valleys in graphene as a qubit, then these states are not time-reversed partners and thus Van Vleck cancelation does not occur (see section “Spin Qubits in Graphene”). Instead of electrons, the spins of holes confined to quantum dots can also be used as qubits. While the spin relaxation time T1 depends very strongly on the applied B-field [40], relaxation times of more than 0.2 ms have been measured for selfassembled heavy-hole quantum dots in InGaAs [41, 42].

Nuclear-Spin-Induced Decoherence Energy relaxation of the electron spin in a quantum dot on the order of T1  1 s has been observed [39] which means that these processes can be slower than the typical gate operation times Top by many orders of magnitude, since Top  100 ns (singlequbit operations) and Top ≲ 1 ns (two-qubit operations). However, we also require T2  Top and it turns out that in GaAs T2 is much shorter than T1 due to the coupling of the electron spin to the surrounding nuclear spins. The ensembleaveraged coherence time T*2 is typically around 10 ns in electrostatically defined GaAs QDs. Before we turn to the description of nuclear-spin-induced electron spin decoherence, we point out that the spin–orbit coupling which is responsible for T1 also has an effect on T2 which is much weaker than the effect of the nuclear spins in GaAs and in the absence of nuclear spins would lead to a T2 on the same order as T1. To see this, we consider the following argument due to Golovach et al. [43].

88

P.R. Struck and G. Burkard

In the case of a laterally confined electron with single-particle level spacing ℏω0 and the presence of both Dresselhaus-type (intrinsic) and Rashba-type (extrinsic) spin–orbit interaction, an effective Hamiltonian is obtained by means of a Schrieffer–Wolff transformation, Heff ¼ gμB ðB þ δBðtÞÞ S;

(35)

which corresponds to the coupling of the electron spin S to an effective fluctuating time-dependent magnetic field δB(t) caused by the phonons. Interestingly, these fluctuations are always transverse to the applied field, i.e., δB ⊥ B. Using the Bloch equations to calculate the relaxation and dephasing times, one finds that for the case of purely transverse B-field fluctuations δB the relation T2 = 2T1 holds. In the special case of equally strong intrinsic and extrinsic spin–orbit interactions, the relaxation rate 1/T1 approaches zero in lowest order in the electron–phonon coupling and in all orders of the spin–orbit coupling. In this limit, other processes such as direct spin–phonon coupling and dephasing via two-phonon processes become important. Since in fact T 2  T 1 in GaAs QDs, there must be another mechanism leading to fast spin decoherence, i.e., a short T*2. There is a large body of evidence leading to the conclusion that the dominant decoherence mechanism for the electron spin in GaAs QDs is the hyperfine interaction with the roughly 105  106 nuclear spins of the Ga and As atoms surrounding the electron. Although the hyperfine interaction is relatively weak, the large number of nuclear spins can lead to a sizable fluctuation in the effective nuclear magnetic field (Overhauser field) which leads to fast electron spin decoherence. To obtain an understanding of how the hyperfine interaction causes decoherence, we first consider its microscopic origin (see, e.g., Coish [44] and Coish and Baugh [45]). To start with, there is the atomic hyperfine interaction between a spinful nucleus and a single electron. There are two ways how to derive a Hamiltonian. A nonrelativistic derivation starts from a hydrogen atom with an additional vector μ0 Mr potential A ¼ 4π r3 with M as the magnetic dipole moment of the atomic nucleus and μ0 the permeability of free space. The vector potential A enters the Hamiltonian via minimal coupling and in the form of an additional term which couples the electron’s spin to the magnetic field B = ∇  A. After making use of Gauss’ law and taking the limit of the radius of the proton being zero, one arrives at the hyperfine Hamiltonian which has three contributions: • A coupling between the nuclear spin I and the electron’s orbital angular momentum L of the form H LHF / I L , which resembles the (regular) spin–orbit interaction • A dipole-type spin–spin interaction between the nuclear and electron spins dip, 1 dip, 2 / I S / ðI rÞr5ðS rÞ, where r denotes which consists of two terms, H HF r3 and H HF the distance vector between the electron and the nucleus • A contact interaction HcHF / I SδðrÞ

2

Spin Quantum Computing

89

A more elegant derivation starts from the relativistic Dirac equation in the presence of the same vector potential A as above. The equation can be rewritten into an eigenvalue problem for the electron part of the four-component spinor. Taking the nonrelativistic limit E  mc2, one obtains the same terms of the hyperfine interaction. In addition, this derivation also gives rise to the spin–orbit interaction as we have seen in a previous section “Spin Relaxation in Quantum Dots.” An electron (or hole) in a quantum dot is not bound to a single atomic nucleus, as it would be in an atom, but is loosely bound and is in contact with the spins of about 104–106 atomic nuclei. Of the three types of hyperfine interaction mentioned, not all contribute equally to decoherence. When the electron’s wave function is of s-type symmetry, the contact interaction H cHF / I SδðrÞ is dominant. The other terms decay strongly with the distance r like H LHF / r 3 . The hyperfine interaction between a single-electron spin S and a large number of nuclear spins Ik in a QD is obtained by summing over all atomic nuclei, H HF ¼

X

Ak Ik S ¼ gμB Bn S;

(36)

k

where the coupling constants Ak depend on the electron wave function at the position rk of the k-thP nucleus, Ak / |ψ(rk)|. In Eq. 36, we have defined the Overhauser field Bn ¼ k Ak Ik =gμB which describes the effective magnetic field seen by the electron due to the nuclear spins. For the case of a fully polarized nuclear-spin bath in the QD, the absolute value of the magnetic field can be quite large. For example, in GaAs where A  90 μeV and I = 3/2, one finds Bmax  5T n [45]. In equilibrium, however, the nuclear spins will be far from being polarized. Only a very small number of spins will be aligned along the external B-field. The distribution of the polarization in thermal equilibrium is given by a Boltzmann pffiffiffiffi distribution with fluctuation around Bn given by  Bmax n = N which amounts to a value of a few mT for a number of nuclear spins N  104–106 [45]. These random fluctuations are the key to decoherence. The effects of the static fluctuations of the nuclear spins lead to a reduction of the inhomogeneous decoherence time T*2 but this effect can be undone using spin-echo techniques. However, there are also timeP dependent fluctuations of Bn ¼ k Ak Ik , coming from two different microscopic origins and leading to a reduction of T2 which cannot be undone with spin echo. The first source of those fluctuations is the internuclear magnetic dipole–dipole interaction which causes flip-flop processes where two nuclei simultaneously flip (or change) their spin. At sufficiently large external field, these processes conserve total nuclear spin, but even then the flip–flip event typically takes place between nuclei with different values of Ak such that the value of the Overhauser field is changed. If the nuclear spin is larger than 1/2, there are typically inhomogeneous quadrupolar splittings which suppress nuclear-spin diffusion and can lead to a prolongation of the electron spin coherence [46]. The second source of temporal nuclear field fluctuations is the hyperfine interaction between the electron and the nuclei itself [47].

90

P.R. Struck and G. Burkard

Just as the spin–orbit interaction, the hyperfine interaction can cause both spin dephasing and spin relaxation. However, whereas the spin–orbit interaction dominates the relaxation time T1, the hyperfine interaction mainly limits the dephasing time T2. By rewriting Eq. 36 as HHF ¼

X k

Ak I k S ¼

 1X  þ þ  z z Ak I k S þ I  k S þ 2I k S ; 2 k

(37)

with I the nuclear spin and S the electron spin raising and lowering operators, we see that spin flips are caused by the transverse components Bx,y n of the nuclear field assuming the external magnetic field B0 to be along the z-direction. However, typically Bxn, y  B0 and thus the energy transfer is very small compared to the level distances of the electron in the QD. Thus the contribution of this process to the spin relaxation time T1 is small. Spin relaxation can also occur in a nuclear-spin–orbit-type interaction. Bx,y n depends on the orbital symmetry of the electron’s wave function via the coupling constants Ak. They in turn depend on the overlap of the wave function with the nuclei. In effect, the spin and orbital degree of freedom are mixed, and in analogy to the case of “actual” spin–orbit interaction, relaxation is possible via the emission of a phonon. While this effect is typically weak, it is the limiting factor for the spin relaxation time T1 at very low magnetic fields. The main contribution of the hyperfine interaction to decoherence is the dephasing caused by fluctuations in both amplitude and phase of the longitudinal component of the Overhauser field Bzn. These random fluctuations yield the electron spin a random phase over the time in which it evolves. The exact law of the dephasing depends on the details of the distribution of the nuclear spin. When Bzn is randomly chosen from a hGaussian distribution, the dephasing would also be of a  2 i 2 Gaussian type, i.e., / exp t = T 2 . For typical fluctuations of  1 mT, the resulting dephasing time is on the order of ns [20]. Here, we want to point out that the hyperfine interaction as presented before is in principle similar for electrons and holes. However, the coupling constants are different in the case of holes. Their wave functions have a p-type symmetry and thus vanish at the origin, i.e., at the position of the nucleus. For this reason, the contact hyperfine interaction does not play a role for hole QDs. Instead the anisotropic term and the coupling to the orbital degree of freedom of the electron dominate. A difference exists between light and heavy holes. The coupling P of zthez latter to the nuclear-spin bath takes the form of an Ising interaction k A k I k Sk instead of the isotropic Heisenberg coupling [48]. Since the surrounding nuclear spins are the main cause for the decoherence of the electron and hole spin in a quantum dot, one has several options toward improving coherence. The first option is to manipulate the nuclear-spin bath in such a way as to minimize nuclear-spin fluctuations and thus electron and hole decoherence. One possible way of achieving this would be to prepare a highly polarized nuclear-spin system [19]. However, to gain a factor of 10 in the spin

2

Spin Quantum Computing

91

decoherence time, a polarization of 99 % would be required [49]. So far, nuclearspin polarization up to 70 % has been experimentally achieved via dynamical nuclear polarization [50]. However, full nuclear polarization is not necessary to reduce the nuclear field fluctuations; it is sufficient to narrow the nuclear-spin distribution, i.e, to reduce the fluctuation in the Overhauser field. This can in principle be achieved electrically [51, 52] or optically [53, 54]. These methods can lead to improvements in the decoherence times of several orders of magnitude. The second option in response to nuclear-spin-induced decoherence is to use materials with low nuclear-spin density; see section “Spin Qubits in Graphene.”

Singlet–Triplet Qubits In the following, we will discuss qubits encoded in the spin of a pair of electrons in a double-quantum dot (Fig. 3), consisting of two QDs next to each other with a tunable tunnel barrier in between [32, 55, 56]. Each individual dot can be independently loaded with electrons by lowering the energy level of the respective dot with electrostatic gates [18]. We shall focus on charge states of the doublequantum dot with two electrons in total. Using the notation (n,m) where n and m correspond to the electrons in each of the dots, the two-electron configurations of interest are (2, 0), (1, 1), and (0, 2). The states of the two electrons can be categorized according to their total spin, i.e., one singlet state S and three triplet states T0,+,. The triplet states in the configurations (2, 0) and (0, 2) are energetically unfavorable because the Pauli exclusion principle requires one of the electrons to be in an excited state, and hence these two states can be neglected (or, better, they are eliminated via a Schrieffer–Wolff transformation to yield the exchange coupling). The actual qubit is then encoded in the singlet and one of the triplets, e.g., 1 S ¼ pffiffiffi ðj"#i  j#"iÞ, 2

1 T 0 ¼ pffiffiffi ðj"#i þ j#"iÞ: 2

(38)

For the initialization of a qubit, the energy of the first QD is lowered such that only a charge configuration of (2, 0) is favorable. When two electrons are then loaded into the first dot, they will be in a singlet state which is lower in energy than the triplet states. To perform operations, the system is brought adiabatically into the (1, 1) configuration (the adiabaticity is with respect to the tunnel coupling t; in the presence of nuclear spins, the transition actually needs to be non-adiabatic with respect to the hyperfine coupling). Coherent rotations S $ T0 can be performed by magnetic-field gradients which can be created either by dynamic nuclear polarization [51] or micromagnets [24] brought in vicinity of the double QD. Reading out the spin state can be accomplished by spin-to-charge conversion. This works similarly to the initialization. The energy of the first dot is lowered again. When the qubit in the (1, 1) configuration is in a singlet state S(1, 1), a transition to S(2, 0) is energetically favorable. However, when the qubit is in a state T0(1, 1), the Pauli

92

P.R. Struck and G. Burkard gates

double QD

QPC

IQD (2)

IQPC AlGaAs

(1)

IQPC

2DEG

GaAs

Ohmic contact

Fig. 3 An illustration of a typical GaAs double-quantum dot. The coupling of the two dots in the center of the device can be tuned by the two long gates in the center. For the readout of the spin states, a spin-to-charge conversion based on Pauli spin blockade is used. The two quantum point contacts (QPCs) on both sides sense how many electrons, i.e., 0, 1 or 2, are in each quantum dot. The current is measured at the Ohmic contacts at the corner of the device

principle implies that the configuration T0(2, 0) requires one of the electrons to occupy a higher orbital level which is energetically unfavorable. Thus, when measuring the charge in the first QD, only one electron will be detected if the state was a spin triplet. The required charge readout can be performed by quantum point contacts (QPCs) as shown in Fig. 3. The conductance of a QPC is quantized and when it is tuned very close to a transition between two conductance plateaus, very small changes in the charge of a capacitively coupled device lead to measurable changes in current through the QPC [18]. Using double QDs has several advantages over single QDs. One advantage of singlet–triplet qubits is their controllability with electric fields [56]. Moreover, the decoherence time T2 can be up to 500 times longer which corresponds to  280 μs. On top of that, double QDs allow for faster operation times τop and thus allow more operations to be performed before coherence is lost. Up to T2/τop  9  103 operations are possible for a double QD versus  22 for a single QD. A good overview of state-of-the-art performance numbers can be found in Ref. 21. Additionally, double QDs feature a higher readout fidelity  90 %. The price to be paid for these advantages is the added complexity in building and operating a double dot, as well as a significantly shorter spin relaxation time of T1  5 ms versus T1 > 1 s in a single dot [39].

Spin Qubits in Graphene So far, we have considered implementations of quantum dots in “conventional” semiconductors, such as GaAs. These systems are relatively mature and well understood, and the feasibility of all required operations for a quantum computer

2

Spin Quantum Computing

93

has been experimentally demonstrated [18]. As mentioned before, spin relaxation times of more than one second [39] and decoherence times sufficiently long to allow for 9  103 operations [17] have been measured. However, the decoherence caused by the surrounding nuclear spins in the host material remains challenging and adds complexity to those qubits. In the light of its low nuclearspin density, it seems natural to use carbon-based materials for spin qubits, in particular graphene, the two-dimensional allotrope of elemental carbon [57]. The isotope mixture of naturally occurring carbon is such that it consists of 99 % 12C which has no nuclear spin and only 1 % 13C with nuclear spin 1/2; hence the hyperfine interaction is expected to play only a minor role. Furthermore, spin–orbit interaction in graphene is expected to be relatively weak due to the low atomic mass of carbon and therefore long relaxation times are expected. There are also cases where spin–orbit coupling is desirable for electrically controlled spin manipulation. Bilayer graphene offers the possibility to induce and regulate a Rashba spin–orbit coupling with a perpendicular electric field [58]. The transition metal dichalcogenide family (such as MoS2, WS2, etc.) offers two-dimensional semiconductors with a relatively strong spin–orbit coupling and an interesting gapped graphene-like band structure [59] which might be interesting for spintronics [60] and spin qubits. Aside from all the promising properties, there are some challenges that need to be overcome before graphene can be used for spin-based quantum information processing. First, the gapless linear spectrum prevents the localization of particles in an electrostatic potential well; this phenomenon from relativistic quantum mechanics is called the Klein paradox. Second, the valley degeneracy in graphene (as in other group IV elemental solids such as Si and Ge) endows the Heisenberg exchange interaction with additional complexity which needs to be dealt with when performing exchange-based quantum gates [57, 61]. To understand these issues, let us look at the microscopic structure of graphene, a single layer of graphite, or equivalently, a two-dimensional arrangement of carbon atoms in a hexagonal lattice [62]. The carbon atoms in the graphene lattice are bonded via hybridized sp2 orbitals, i.e., one s orbital and the px and py orbitals hybridize to three σ orbitals which lie within a plane and form the bonds between the carbon atoms. The electron in the remaining pz orbital is weakly bound and therefore responsible for the curious peculiar electronic properties of graphene. Another important ingredient is the hexagonal lattice structure (see Fig. 4), consisting of a triangular Bravais lattice with a two-atomic basis. Another way to think about it is as two triangular sublattices (A and B). The reciprocal lattice of graphene is also a hexagonal lattice and at the six corners the conduction and valence bands touch in single points. Only two of these points, denoted by K and K0 , are different (modulo reciprocal lattice vectors). A simple but – for many purposes – sufficient theoretical description of the band structure is obtained from a simple tight-binding model. It is assumed that the weakly bound electrons in the pz orbitals can hop to their nearest neighbors with a hopping matrix element t. Since all three neighboring sites of any given atom are of the contrary

94

P.R. Struck and G. Burkard y

ky

b1

b2

K

x B A

kx

a2 a1

pffiffiffi Fig. 4 Graphene is made of a hexagonal lattice of carbon atoms with a bond length of a= 3 ¼ 0:142 nm where a = ja1j = ja2j = 0.246 nm is the lattice constant. This two-dimensional solid can be described as a trigonal Bravais lattice with a two-atomic basis, or equivalently, as two trigonal sublattices, denoted by A and B. The first Brillouin zone has the same hexagonal symmetry as the lattice in real space. At the six corners, the conduction and valence bands touch in single points, two of which (K and K0 ) are distinct (modulo reciprocal lattice vectors). The regions around K and K0 are also referred to as the two valleys of graphene

sublattice type, hopping only occurs between different sublattices to a good approximation. The dispersion relation obtained from the tight-binding model is sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffi 3aky akx akx cos þ 4 cos2 EðkÞ ¼ γ 1 þ 4 cos 2 2 2

(39)

where a = 2.46  1010 m is the lattice constant and γ = 2.8 eV the nearestneighbor hopping energy. As can be seen in Fig. 5, the dispersion relation reflects the symmetry of the lattice. To a good approximation around the two K-points, the dispersion relation can be treated as linear in the momentum k, EðkÞ ¼ ℏvF jkj;

(40)

with vF = 106 m/s as the Fermi velocity. The charge carriers, i.e., electrons and holes, in graphene behave like ultrarelativistic massless particles. Hence, they can be described by a Dirac–Weyl equation for massless particles, H 0 ψ ¼ iℏvF σ ∇ψ ¼ Eψ;

(41)

where vF ~ 106 m/s is the Fermi velocity. The vector σ consists of the Pauli matrices σ x and σ y which act on the pseudo-spin, i.e., on the sublattice space. The free solutions of this equation have the form  ψ ðx, yÞ ¼

ψ A ðx, yÞ ψ B ðx, yÞ



 ¼ eikx x eiky y

 1 ; eiϕ

(42)

2

Spin Quantum Computing

95

3

0.1

2 1 E=t 0

E=t 0

–1 –2 –3 –4

–2 ak x

0

2

4

–2 –4

0

2 ak y

4

–0.1 –0.1

0.1 0 ak x

0 0.1 –0.1

ak y

Fig. 5 On the left, the band structure of graphene, Eq. 39, as obtained from a tight-binding model including only nearest-neighbor hopping is shown. It reflects the hexagonal symmetry of both the lattice and the reciprocal lattice. The energy is given in units of the next-nearest-neighbor hopping energy t = 2.8 eV, a = 2.46A. Around each K-point where the conduction and valence bands touch, the band structure can be approximated by linear dispersion E(k) = ℏvF|k| as shown on the right. This approximation is valid for energies ≲ 1 eV. Thus the charge carriers in graphene behave like massless relativistic fermions

with eigenenergies E = ℏvF|k| and kx = |k| cos ϕ and ky = |k| sin ϕ. Again, note the spinor structure of the wave function which is due to the two sublattices. If we now add an additional potential barrier, it is diagonal in the sublattice space, i.e., it does not mix the pseudo-spin. To find the wave function in the presence of a, say, rectangular barrier, one has to solve the equation in each region separately and put the pieces together at the interfaces. However, because the Dirac equation is a first-order differential equation, only the wave function but not its derivative needs to be matched. The resulting transmission coefficient as a function of the incident angle is plotted for different heights of the potential barrier in Fig. 6. One can see that, irrespective of the barrier heights, electrons arriving at a right angle always pass the barrier as if it wasn’t there [62]. This is the Klein paradox. The reason why we discussed the Klein paradox is that it makes building spin qubits with graphene quantum dots more challenging than it is for “regular” semiconductors. One has to come up with clever ways how to trap and guide charge carriers. In the following, we will present three ways on how to deal with this. In addition to the Klein paradox, there is another issue in graphene which we have briefly mentioned before. The first Brillouin zone has the same structure as the lattice itself and therefore it has two independent K-points. The eigenstates of a free charge carrier are degenerate in this degree of freedom which is not desirable if the aim is to build a pure two-level system which serves as a qubit. In fact, a lifted valley degeneracy is necessary for the spin-only Heisenberg exchange interaction to appear.

96

P.R. Struck and G. Burkard

1.0 −k

k

q 0.5

k E

V0

0.2 0.4 0.6 0.8 1.0 t



2

0.5 1.0 Fig. 6 This figure illustrates the Klein paradox in (gapless) graphene. When an electron with energy E and momentum k impinges on the barrier of height V0 head-on, it is never scattered back. In the center, the dispersion relations outside (left) and inside (right) the barrier are shown. The green and red lines describe the dispersion of the forward moving and backscattered particles, which lie on the respective Dirac cones. The dotted line marks the Fermi energy up to which all states are occupied. As the charge carriers are chiral, the pseudo-spin (black arrow) is along the direction of the momentum and fixed to one branch of the cone. The electron entering the barrier continues its propagation as a hole or vice versa. On the right-hand side, the transmission |t|2 of an electron with energy E = 80 meV through a barrier of thickness 100 nm is shown as a function of the barrier heights 100 meV (red), 200 meV (orange), and 300 meV (blue) are shown

One idea how to circumvent both the Klein paradox and the valley degeneracy problems is to use graphene nanoribbons [57]. For armchair boundaries with alternating A and B atoms along the edge and suitable width, it turns out that such ribbons become one-dimensional semiconductors with a small bandgap / ℏvF/W [63], in which the valley degeneracy is lifted in the lowest subband [64]. A semiconducting armchair nanoribbon can be used to build a QD using electrostatic gates due to the finite gap [57]. For a width of W  30 nm, a gap of  60 meV is opened, which is a fairly small value compared to conventional semiconductors. As a result, only a small number of confined states can exist in such a graphene nanoribbon quantum dot. The length of the ribbon as well as the back-gate voltage can be used to adjust the number of bound states. A logical extension of a single QD on a graphene ribbon would be an array of QDs next to each other, forming a quantum register. The experimental demonstration of a double-quantum dot in a graphene nanoribbon has been reported [65]. It is an interesting question how to couple such QDs to each other via the Heisenberg exchange coupling which is used to construct a universal set of quantum gates (see section “Universal Quantum Computing with the Spin Exchange Coupling”). Since the valley degeneracy can be lifted in armchair graphene nanoribbons, the exchange coupling will have its usual (spin-only) form in this case. It is even possible to utilize the nearby valence band in order to obtain a nonlocal (quasi-long range) exchange coupling between distant spin qubits [66]. It is challenging to fabricate nanoribbons with well-defined edges. While it is likely that the precise form of the

2

Spin Quantum Computing

97

edge will not matter for the opening of a bandgap, disordered edges tend to give rise to additional bound states at the edge or additional unintended quantum dots which are problematic. It is therefore interesting to consider the alternative of graphene quantum dots without atomic boundaries where a bandgap is opened by breaking the inversion symmetry in graphene, either due to a substrate [67] (e.g., BN) or a perpendicular electric field (in particular, in bilayer graphene). Here, we briefly discuss the proposal for electrostatically defined quantum dots in gapped single or bilayer graphene [68]. The experimental realization of quantum dots in graphene remains challenging due to the smallness of the gap in many cases. Recently [69], confinement and Coulomb blockade in bilayer graphene sandwiched between boron–nitride dielectrics was reported. To describe bound states in a graphene quantum dot with a circular confinement potential in gapped graphene, one can write separate Hamiltonians for the two valleys [68] H τ ¼ vF ðp þ eAÞ σ þ τΔσ z þ U ðrÞ;

(43)

where the valley-isotropic form of the Dirac spinors was used and where a magnetic field B = ∇  A = (0, 0, B) has been introduced. In polar coordinates, one finds the solution wave functions Ψτ ðr, φÞ ¼ eiðj1=2Þφ



 χ τA ðr Þ ; χ τB ðr Þeiφ

(44)

with the components χ τσ ðr Þ

¼2

ð1þnσ Þ=2 br 2 =2 nσ

e

r 



ασ U ðqσ , 1 þ nσ , br 2 Þ, βσ Mðqσ , 1 þ nσ , br 2 Þ,

r > R, r < R;

(45)

where U and M denote confluent hypergeometric functions (reducing to Bessel functions in the limit B = 0) and where b = eB/2, aσ = 2b( j + σ/2)  (ϵ 2  Δ2)/v2. Here, j is the eigenvalue  of the totalangular momentum Jz = i@ φ + σ z/2, nσ = jj  σ/2j and qσ  14 abσ þ 2ð1 þ nσ Þ . The coefficients ασ and βσ are calculated using the continuity condition for the wave function at the circumference of the QD. Interestingly, the valley degeneracy can by lifted with the magnetic field (Fig. 7).

Spin Relaxation in Graphene Spin relaxation in graphene can be expected to be quite different from that in GaAs (section “Spin Relaxation in Quantum Dots”) because: 1. In contrast to spin sublevels in a direct-bandgap valley-nondegenerate semiconductor, in graphene the qubit states obtained from two opposite spins and the same orbital state in a given valley do not form a Kramers pair because timereversal maps one valley to the other. Hence, there is no Van Vleck cancelation.

98 11.0

10.8

10.6

E/d

Fig. 7 Lowest conduction band energy levels in a circular quantum dot in gapped graphene. The QD has radius R and is obtained by electrical gating. The magnetic field B leads to a splitting of the states into two valleys of graphene [68] pffiffiffiffiffiffiffiffiffiffiffi (here, lB ¼ ℏ=eB denotes the magnetic length). Energies are plotted in units of δ = ℏvF/R (Reprinted with permission from Recher et al. [68]. Copyright (2009) by the American Physical Society)

P.R. Struck and G. Burkard

10.4

K K'

10.2

10.0 0.0

0.5

1.0

1.5

2.0

2.5

3.0

R /lB 2. The linear dispersion in graphene implies that the electron velocity is a constant vF rather than proportional to the momentum p/m. Therefore, the spin–orbit coupling in graphene is independent of p. 3. While GaAs is piezoelectric, graphene is not. Whereas the dominant electron–phonon coupling mechanism in GaAs is piezoelectric, the deformation potential dominates in graphene. 4. Because graphene is a two-dimensional solid, the acoustic phonon density of states is linear rather than quadratic in the phonon frequency (energy). Also, in a precisely flat and infinite 2D crystal, the out-of-plane lattice vibrations have a quadratic dispersion, leading to a diverging density of states at zero energy. Thus, the flexural phonons destabilize the graphene sheet, but in practice, this is prevented by the support on a substrate, the finite size of the sample, the tension from the support, the presence of frozen ripples, etc. A calculation done for graphene, similar to the one described in Sec. V for GaAs QDs, yields a finite relaxation rate at zero magnetic field, if one assesses a perfectly flat and infinite graphene sample [70]. However, for realistic samples, one expects that the out-of-plane modes are gapped or at least assume a linear dispersion at small wave vectors which then implies a crossover to a T1 / B2 behavior (Fig. 8) [70].

Hyperfine Interaction in Graphene If the valley degeneracy is lifted, the electron spin decoherence in a graphene QD with lifted valley degeneracy due to the 1 % 13C atoms can be calculated in a similar way as described in section “Nuclear-Spin-Induced Decoherence.” [71]

2

Spin Quantum Computing 10–1

99

TA, g2; B2

LA, g1; B 4

10–2

LA, g2

linear

ZA'; B 2

ZA≈const.

10–3 π/2

0

π

10–4

10–5

quadratic

1 0.75

f(q)

T1 [s]

B2

0.5 0.1

0.2

0.5

1

2

5

10

20

B [T]

Fig. 8 Theoretically calculated spin relaxation time T1 in a circular graphene quantum dot, as a function of the applied perpendicular magnetic field [70]. Inset: anisotropy, in dependence on the direction of the magnetic field (Reprinted with permission from Struck and Burkard [70]. Copyright (2010) by the American Physical Society) Fig. 9 In graphene, every one in one hundred carbon atoms is a 13C atom with nuclear spin 1/2, while the remaining atoms are 12C without nuclear spin. The 13C atoms act as weak but strongly localized scatterers, thus allowing for large momentum scattering from one valley to the other

Ψσ(r)

Ii

The differences between the hyperfine-induced decoherence in graphene and GaAs are (1) small abundance of nuclear-spin-carrying atoms in natural carbon and (2) the smallness and anisotropy of the hyperfine tensor. Regarding the latter, the hyperfine interaction in graphene is typically more than 100 times smaller in graphene than in III–V semiconductors, i.e., below 1 μeV. The atomic orbitals that form the relevant bands in graphene are carbon p orbitals (rather than s orbitals as in the GaAs conduction band), and the contact hyperfine interaction / |ψ p(R)|2 vanishes. The dipolar coupling leads to a somewhat smaller and anisotropic coupling [71]. In naturally occurring carbon, about 1 % of the carbon atoms in the graphene host material are 13C atoms with nuclear spin I = 1/2, the rest being 12C with spin I = 0. Starting from the hopping model for graphene and adding the hyperfine interaction term, one obtains at the low-energy Dirac Hamiltonian [72]

100

P.R. Struck and G. Burkard

Hhf ’ S

ð0Þ

h τ0 þ

X

! ðiÞ

h τi ;

(46)

i¼x, y, z

with the ordinary hyperfine field h(0) as in Eq. 36 for GaAs plus the valley-flip fields h(x) and h(x). The origin of the h(x) and h( y) terms lies in the following fact about the hyperfine coupling: The 13C atoms give rise to a weak but very strongly localized impurity potential which is able to scatter electrons from one valley to the other (Fig. 9).

Conclusion Electron and nuclear spins are promising building blocks for future quantum hardware. The search for suitable nuclear-spin-free materials and devices which allow for long spin coherence times has stimulated the research of graphene, carbon nanotubes, and silicon nanostructures and devices. Challenges for future research consist in the absence of a bandgap in graphene and the influence of the valley degeneracy present in all these material systems on the spin-related electronic properties, e.g., spin coherence and spin exchange coupling.

References 1. Struck PR (2013) Spin coherence in carbon-based nanodevices. Chapter 2, PhD thesis, University of Konstanz 2. Shor P (1994) Algorithms for quantum computation: discrete logarithms and factoring. Proceedings of the 35th annual symposium on the foundations of computer science. IEEE Press, Los Alamitos, p 124 3. DiVincenzo DP (2000) The physical implementation of quantum computation. Fortschr Phys 48:771; quant-ph/0002077 4. Nielsen MA, Chuang IL (2000) Quantum computation and quantum information. Cambridge University Press, Cambridge, UK 5. Preskill J (1998) Reliable quantum computers. Proc Roy Soc Lond A 454:385 6. Mermin ND (2007) Quantum computer science: an introduction. Cambridge University Press, Cambridge, UK 7. Knill E (2005) Quantum computing with realistically noisy devices. Nature 434:39 8. Fowler AG, Mariantoni M, Martinis JM, Cleland AN (2012) Surface codes: Towards practical large-scale quantum computation. Phys Rev A 86:032324 9. DiVincenzo DP (1995) Two-qubit gates are universal for quantum computation. Phys Rev A 51:1015 10. Barenco A et al (1995) Elementary gates for quantum computation. Phys Rev A 52:3457 11. Loss D, DiVincenzo DP (1998) Quantum computation with quantum dots. Phys Rev B 57:120 12. Cirac JI, Zoller P (2012) Goals and opportunities in quantum simulation. Nat Phys 8:264 13. Deutsch D (1985) Quantum theory, the Church-Turing principle and the universal quantum computer. Proc Roy Soc Lond A 400:97 14. Kane BE (1998) A silicon-based nuclear spin quantum computer. Nature 393:6681 15. Vrijen R, Yablonovitch E, Wang K, Jiang HW, Balandin A, Roychowdhury V, Mor T, DiVincenzo DP (2000) Electron-spin-resonance transistors for quantum computing in silicon-germanium heterostructures. Phys Rev A 62:012306

2

Spin Quantum Computing

101

16. Barnes CHW, Shilton JM, Robinson AM (2000) Quantum computation using electrons trapped by surface acoustic waves. Phys Rev B 62:8410 17. Kloeffel C, Loss D (2013) Prospects for Spin-based quantum computing. Annu Rev Condens Matter Phys 4:51; arXiv:1204.5917 18. Hanson R, Kouwenhoven LP, Petta JR, Tarucha JR, Vandersypen LMK (2007) Spins in few-electron quantum dots. Rev Mod Phys 79:1217 19. Burkard G, Loss D, DiVincenzo D (1999) Coupled quantum dots as quantum gates. Phys Rev A 59:2070 20. Petta JR et al (2005) Coherent Manipulation of Coupled Electron Spins in Semiconductor Quantum Dots. Science 309:2180 21. Kavokin KV (2001) Anisotropic exchange interaction of localized conduction-band electrons in semiconductors. Phys Rev B 64:075305 22. Bonesteel NE, Stepanenko D, DiVincenzo DP (2001) Anisotropic spin exchange in pulsed quantum gates. Phys Rev Lett 87:207901 23. Koppens FHL et al (2006) Driven coherent oscillations of a single electron spin in a quantum dot. Nature 442:766 24. Brunner R et al (2011) Two-qubit gate of combined single-spin rotation and interdot spin exchange in a double quantum dot. Phys Rev Lett 107:146801 25. DiVincenzo DP, Bacon D, Kempe J, Burkard G, Whaley KB (2000) Universal quantum computation with the exchange interaction. Nature 408:339 26. Kawano Y et al (2005) Existence of the exact CNOT on a quantum computer with the exchange interaction. Quantum Inf Process 4:65 27. Fong BH, Wandzura SM (2011) Universal quantum computation and leakage reduction in the 3-qubit decoherence free subsystem. J Quantum Inf Comput 11:1003; arXiv:quant-ph/ 0411013 28. Zeuch D (2011) Quantum computation with restricted spin interactions. Diploma thesis, University of Konstanz 29. Medford J et al (2013) Quantum-dot-based resonant exchange qubit. Phys Rev Lett 111:050501 30. Taylor JM, Srinivasa V, Medford J (2013) Electrically protected resonant exchange qubits in triple quantum dots. Phys Rev Lett 111:050502 31. Doherty AC, Wardrop MP (2013) Two-qubit gates for resonant exchange qubits. Phys Rev Lett 111:050503 32. Levy J (2002) Universal quantum computation with spin-1/2 pairs and Heisenberg exchange. Phys Rev Lett 89:147902 33. Kempe J, Whaley KB (2002) Exact gate sequences for universal quantum computation using the XY interaction alone. Phys Rev A 65:052330 34. Vala J, Whaley KB (2002) Encoded universality for generalized anisotropic exchange hamiltonians. Phys Rev A 66:022304 35. Burkard G, Loss D, DiVincenzo DP, Smolin JA (1999) Physical optimization of quantum error correction circuits. Phys Rev B 60:11404 36. Khaetskii AV, Nazarov YV (2001) Spin-flip transitions between zeeman sublevels in semiconductor quantum dots. Phys Rev B 64:125316 37. Dyakonov MI, Yu V (1986) Spin relaxation of two-dimensional electrons in noncentrosymmetric semiconductors. Kachorovskii Fiz Tech Poluprovodn 20:178; Sov Phys Semicond 20:110 38. van Vleck J (1940) Paramagnetic relaxation times for titanium and chrome alum. Phys Rev 57:426 39. Amasha S, MacLean K, Radu IP, Zumb€ uhl DM, Kastner MA, Hanson MP, Gossard AC (2008) Electrical control of spin relaxation in a quantum dot. Phys Rev Lett 100:46803 40. Bulaev DV, Loss D (2005) Spin relaxation and decoherence of holes in quantum dots. Phys Rev Lett 95:076805 41. Heiss D et al (2007) Observation of extremely slow hole spin relaxation in self-assembled quantum dots. Phys Rev B 76:241306

102

P.R. Struck and G. Burkard

42. Gerardot BD et al (2008) Optical pumping of a single hole spin in a quantum dot. Nature 451:441 43. Golovach VN, Khaetskii AV, Loss D (2004) Phonon-induced decay of the electron spin in quantum dots. Phys Rev Lett 93:016601 44. Coish WA (2006) Spins in quantum dots: Hyperfine interaction, transport, and coherent control. PhD thesis, University of Basel 45. Coish WA, Baugh J (2009) Nuclear spins in nanostructures. Phys Status Solidi B 246:2203 46. Urbaszek B et al (2013) Nuclear spin physics in quantum dots: An optical investigation. Rev Mod Phys 85:79 47. Klauser D, Coish WA, Loss D (2006) Nuclear spin state narrowing via gate-controlled Rabi oscillations in a double quantum dot. Phys Rev B 73:205302 48. Fischer J, Coish W, Bulaev D, Loss D (2008) Spin decoherence of a heavy hole coupled to nuclear spins in a quantum dot. Phys Rev B 78:155329 49. Coish WA, Loss D (2004) Hyperfine interaction in a quantum dot: Non-Markovian electron spin dynamics. Phys Rev B 70:195340 50. Chekhovich EA et al (2010) Pumping of nuclear spins by optical excitation of spin-forbidden transitions in a quantum dot. Phys Rev Lett 104:066804 51. Foletti S, Bluhm H, Mahalu D, Umansky V, Yacoby A (2009) Universal quantum control of two-electron spin quantum bits using dynamic nuclear polarization. Nat Phys 5:903 52. Ribeiro H, Burkard G (2009) Nuclear state preparation via landau-zener-st€ uckelberg transitions in double quantum dots. Phys Rev Lett 102:216802 53. Stepanenko D, Burkard G, Giedke G, Imamoglu A (2006) Enhancement of electron spin coherence by optical preparation of nuclear spins. Phys Rev Lett 96:136401 54. Togan E, Chu Y, Imamoglu A, Lukin MD (2011) Laser cooling and real-time measurement of the nuclear spin environment of a solid-state qubit. Nature 478:497501 55. Taylor JM et al (2005) Fault-tolerant architecture for quantum computation using electrically controlled semiconductor spins. Nat Phys 1:177 56. Hanson R, Burkard G (2007) Universal set of quantum gates for double-dot spin qubits with fixed interdot coupling. Phys Rev Lett 98:050502 57. Trauzettel B, Bulaev DV, Loss D, Burkard G (2007) Spin qubits in graphene quantum dots. Nat Phys 3:192 58. Diez M, Burkard G (2012) Bias-dependent D’yakonov-Perel’spin relaxation in bilayer graphene. Phys Rev B 85:195412 59. Kormanyos A et al (2013) Intrinsic and substrate induced spin-orbit interaction in chirally stacked trilayer graphene. Phys Rev B 88:045416 60. Klinovaja J, Loss D (2013) Spintronics in MoS2 monolayer quantum wires. Phys Rev B 88:075404 61. Rohling N, Burkard G (2012) Universal quantum computing with spin and valley states. New J Phys 14:083008 62. Katsnelson MI (2012) Graphene: Carbon in Two Dimensions. Graphene. Cambridge University Press 63. Brey L, Fertig HA (2006) Electronic states of graphene nanoribbons studied with the Dirac equation. Phys Rev B 73:235411 64. Tworzydło J, Trauzettel B, Titov M, Rycerz A, Beenakker CWJ (2006) Sub-poissonian shot noise in graphene. Phys Rev Lett 96:246802 65. Liu XL, Hug D, Vandersypen LMK (2010) Gate-defined graphene double quantum dot and excited state spectroscopy. Nano Lett 10:1623 66. Braun M, Struck PR, Burkard G (2011) Spin exchange interaction with tunable range between graphene quantum dots. Phys Rev B 84:115445 67. Giovannetti G, Khomyakov PA, Brocks G, Kelly PJ, van den Brink J (2007) Substrate-induced band gap in graphene on hexagonal boron nitride: Ab initio density functional calculations. Phys Rev B 76:073103

2

Spin Quantum Computing

103

68. Recher P, Nilsson J, Burkard G, Trauzettel B (2009) Bound states and magnetic field induced valley splitting in gate-tunable graphene quantum dots. Phys Rev B 79:85407 69. Goossens ASM et al (2012) Gate-defined confinement in bilayer graphene-hexagonal boron nitride hybrid devices. Nano Lett 12:4656 70. Struck PR, Burkard G (2010) Effective time-reversal symmetry breaking in the spin relaxation in a graphene quantum dot. Phys Rev B 82:125401 71. Fischer J, Trauzettel B, Loss D (2009) Hyperfine interaction and electron-spin decoherence in graphene and carbon nanotube quantum dots. Phys Rev B 80:155401 72. Palyi A, Burkard G (2009) Hyperfine-induced valley mixing and the spin-valley blockade in carbon-based. Phys Rev B 80:201404(R)

Part II Materials: Metallic Thin Films and Recording Media

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR €nberg and Daniel E. Bu €rgler Peter Gru

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Emergence of Magnetic Multilayers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interlayer Exchange Coupling (IEC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Discovery of IEC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Microscopic Picture of Oscillatory IEC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Giant Magnetoresistance (GMR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Discovery of GMR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Microscopic Picture of GMR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

108 109 110 111 115 117 118 121 124 125

Abstract

The role of magnetic multilayer structures for the emergence of spintronics is discussed. Initial studies of magnetic interactions mainly by Brillouin light scattering lead to the discovery of antiferromagnetic interlayer exchange coupling. The novel possibility to control the relative alignment of spins separated by only a few nanometers with an external magnetic field triggered the first observations of the giant magnetoresistance effect, which then became the cornerstone of spintronics. Both oscillatory interlayer exchange coupling and giant magnetoresistance are introduced, and a picture for their microscopic origin is presented.

P. Gr€unberg (*) • D.E. B€ urgler (*) Peter Gr€unberg Institute, Electronic Properties (PGI-6), Forschungszentrum J€ ulich GmbH, J€ ulich, Germany e-mail: [email protected]; [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_6

107

108

P. Gr€ unberg and D.E. B€ urgler

List of Abbreviations

AMR B BLS CIP CPP d0 d 1, d 2 DE DOS EF EIEC En FM GMR HEB IEC J1 J2 k⊥ M MOKE N"(#) NM q Q QWS RKKY rmaj(min) RP(AP) SEMPA β θ

Anisotropic magnetoresistance Magnetic field Brillouin light scattering Current in plane Current-perpendicular plane Thickness of spacer layers Thickness of ferromagnetic layers Damon-Eshbach Density of states Fermi energy IEC energy density Discrete energy levels Ferromagnetic Giant magnetoresistance Exchange bias field Interlayer exchange coupling Bilinear IEC parameter Biquadratic IEC parameter Perpendicular momentum component Magnetization Magneto-optical Kerr effect Spin up (down) DOS at Fermi level Nonmagnetic Wave vector Critical spanning vector Quantum well states Ruderman-Kittel-Kasuya-Yosida Resistance of majority (minority) channel Resistance for parallel (antiparallel) alignment Scanning electron microscopy with spin analysis Scattering spin asymmetry parameter Angle between magnetizations

Introduction Improvements in experimental techniques sometimes lead to the discovery of new physical phenomena or their measurement with higher precession. This is also true for the very first experiments on magnetic interactions and spin transport in ferromagnetic/nonmagnetic (FM/NM) multilayer structures with layer thicknesses of only a few nanometers, which are the fundament of the research field nowadays called “spintronics.”

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

109

Emergence of Magnetic Multilayers By the mid-1980s, new evaporation techniques and improved diagnostic tools initially developed for molecular beam epitaxy of semiconductor heterostructures were applied to metallic systems and opened the way for the fabrication of clean and well-defined metallic thin films and layered structures with thickness control down to single atomic layers. A second improvement concerns the measurement of small frequency shifts in inelastic light scattering by means of Brillouin spectroscopy. Already in the early 1970s, this technique greatly benefited from the introduction of the multi-pass and tandem operation of Fabry-Perot interferometers (Fig. 1a). This has been invented by John Sandercock [1] and enabled the first measurements of acoustic phonons and magnons (spin waves) by means of inelastic Brillouin light scattering (BLS) [2]. In Fig. 1b, the appearance of the peak marked in red on the Stokes (energy loss of scattered photon, creation of spin waves) or the anti-Stokes (energy gain of scattered photon, annihilation of spin waves) side depends on whether the magnetic field B and thus the sample magnetization M point up or down. This is in agreement with the assumption that the red peaks are due a wave that travels along the surface of the sample only in one direction, which reverses when the magnetization is reversed. This behavior was first discussed theoretically by Damon and Eshbach [3], and the corresponding mode is called Damon-Eshbach (DE) mode after its inventors.

Fig. 1 (a) Scheme of a Brillouin light scattering (BLS) spectrometer used for the detection of spinwaves. (b) Spectra of scattering from bulk spinwaves (marked in green) and DE surface spinwaves (red) for the external saturating field B and thus the magnetization M pointing up (upper spectrum) or down (lower spectrum) (From Ref. [2])

110

P. Gr€ unberg and D.E. B€ urgler

Fig. 2 Experimental (a) and calculated (b) double layer mode frequencies of Fe (15 nm)/quartz (d0)/Fe (15 nm) as a function of the spacer thickness d0. The green line in (b) shows the influence of exchange coupling (After Ref. [4])

Certainly, such spectacular features as unidirectional behavior stimulated interest and before long the DE mode was investigated from the surface of bulk iron, from thin ferromagnetic films, and from multilayers consisting of alternating FM and NM layers. The dominating interaction between adjacent magnetic films across the non-ferromagnetic interlayer is a dynamic dipole-dipole interaction. An example for the experimental dependence of the frequency on the interlayer thickness for the two lowest dipolar modes of a double layer is shown in Fig. 2a and compared with the calculation in Fig. 2b. Here, d1 and d2 are the thicknesses of the two ferromagnetic films, and d0 is the thickness of the interlayer. The wave vector q is determined by the scattering geometry. For a physical understanding, the limiting cases d0 ! 1 and d0 = 0 are first discussed, and for simplicity d1 = d2 is assumed (Fig. 2). Thus, for large d0, two separate identical films are considered and double degeneracy at the DE mode frequency of the single film is obtained. When d0 decreases, the dipolar interaction sets in and lifts the degeneracy into two branches. The upper one becomes finally for d0 = 0 the DE mode of the combined single layer with a thickness of d1 + d2 = 30 nm. The lower branch behaves as displayed in Fig. 2. However, this only holds within the dipolar limit.

Interlayer Exchange Coupling (IEC) In a next step, exchange interaction between the single layers is taken into account. ˚ due Exchange certainly occurs for very small separations d0 of the order of a few A to the overlap of wave functions even for a vacuum gap. Magnetic interlayer exchange coupling (IEC) describes the situation that the exchange interaction is mediated by the interlayer material. IEC was discovered [5] by observing its influence on spin wave mode frequencies as described below.

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

111

Discovery of IEC Upon inclusion of exchange, the lower branch becomes the first standing mode of the combined single film as indicated by the green line in Fig. 2b. This follows from the coupling scheme discussed in Fig. 3. Starting from separate films [(a) and upper row in (c)], the proper symmetry adapted linear combinations are first constructed, which are in agreement with the symmetry at the midplane [(b) and lower row in (c)]. Upon the inclusion of exchange, symmetries are conserved and hence also the symmetric or antisymmetric character of the eigenmodes. Note that the antisymmetric combination of DE modes (out-of-phase magnetization precession in the two separate layers) produces the first standing mode of the combined film with the formation of a node in the midplane. In analogy to phonons, this mode is called the optical mode. At the same time, a surface-like mode (red) transforms into a bulklike mode (green). Note that the color code used here corresponds to that introduced in the discussion of Fig. 1 and also used in Fig. 2 to describe the frequency upshift

Fig. 3 Spinwave mode profiles in single-layer (a) and double-layer (b) structures. The symmetric and anti-symmetric combination of single-layer modes leads odd and even, respectively, standing wave modes in the combined system (c)

112

P. Gr€ unberg and D.E. B€ urgler

Fig. 4 BLS spectra of (a) Fe (10 nm)/Au (d0)/Fe (10 nm) and (b) Fe (10 nm)/Cr (d0)/Fe (10 nm). (c) Mode frequencies as a function of the IEC parameter J1: crosses represent data and solid lines calculations (From Refs. [5, 6])

due to the onset of IEC. As seen in Fig. 3b, the coupling scheme can also be used for higher standing modes in these structures. So far it has been tacitly assumed that the magnetizations of the two films are aligned parallel. This can always be obtained by a large enough, saturating field. The presence of ferromagnetic IEC supports this alignment and therefore leads to a higher restoring force and frequency of the optical mode. Antiferromagnetic IEC on the other hand destabilizes parallel alignment and therefore is equivalent to a reduction of the magnetic field or frequency of the optical mode. In summary, in order to have a well-defined static alignment, a sufficiently large external field is used to align the magnetizations in parallel. Then, a frequency upshift of the optical mode as compared to the dipolar case (Fig. 2b) is an indicator of ferromagnetic IEC and a downshift of antiferromagnetic IEC. Examples for both cases are shown in Fig. 4, where two series of samples have been investigated, one with Au (Fig. 4a) and the other with Cr interlayers (Fig. 4b, c). ˚ indicates decoupling. In the case of the Cr interlayers, the spectrum for d0 = 40 A Below that thickness, antiferromagnetic IEC sets in, which has its maximum ˚ . For Au interlayers, only ferromagnetic IEC is observed, strength at about 8 A ˚ . In Fig. 4c, a more detailed analysis for Cr interlayers which vanishes at d0 = 20 A is displayed, which shows also the calculated dependence of the mode frequencies on the sign and strength of the interlayer exchange parameter J1, which is defined in Eq. 1. It is seen that the optical mode frequency saturates quickly as a function of positive J1, i.e., strong ferromagnetic IEC. The full ferromagnetic coupling reached for d0 = 0 can be obtained from the bulk exchange constant and is of the order of 180 erg/cm2 (corresponding to 180 mJ/m2). As seen in Fig. 4a, b, for parallel alignment, the spectra are symmetric on the frequency scale. This changes when in the case of antiferromagnetic IEC the external field is relaxed, resulting in partial or complete anti-alignment of

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

113

Fig. 5 Coupled DE modes for antiparallel alignment of the layer magnetizations. (a) Calculation in the dipolar limit and (b) experimental BLS spectrum of Fe (10 nm)/Cr (0.8 nm)/Fe (10 nm) (After Ref. [5])

the layer magnetizations. Such a case is shown in Fig. 5 and reveals an astonishing difference of the mode splitting on the Stokes and anti-Stokes side. For the calculation in the dipolar limit seen in Fig. 5a, the coupling is weak but sufficient to produce anti-alignment. The observed spectrum in Fig. 5b reproduces the calculation convincingly. Up to now emphasis has been put on the role of light scattering from spin waves for the determination of IEC, be it ferromagnetic or antiferromagnetic, because BLS played a key role for the discovery of IEC. However, interlayer coupling phenomena can also be detected by observing static magnetic properties such as hysteresis loops and domain structures. Hysteresis loops recorded by the magnetooptical Kerr effect (MOKE) and domain imaging by Kerr microscopy as well as scanning electron microscopy with spin analysis (SEMPA) have significantly contributed to the investigation of IEC. Examples are shown in Figs. 6 and 7. A careful analysis of magnetic domain images such as those shown in Fig. 6 indicated the existence of 90 coupling, i.e., a coupling mechanism that favors the perpendicular alignment of adjacent ferromagnetic layers [9]. Experimental data collected by different techniques and on various multilayer systems indeed revealed that there are three basic alignments of adjacent layer magnetizations, parallel, antiparallel, and at an angle of 90 . These basic configurations are phenomenologically described by means of the minima of the IEC energy density EIEC:

EIEC ¼ J 1

M1  M2 ð M1  M2 Þ 2 ¼ J 1 cos θ  J 2 cos2 θ:  J2 jM1 j  jM2 j jM1 j2  jM2 j2

(1)

114

P. Gr€ unberg and D.E. B€ urgler

Fig. 6 Kerr microscopy of magnetic domains in a Fe (10 nm)/Cr (0.36-0.49 nm)/Fe (10 nm) sample. The Cr thickness is increasing from the left to the right and yields different domain structures. The evaluation of the original picture (left part) yields the magnetization directions of the two Fe films, as shown by the black and gray arrows in the right part. Larger hatched arrows indicate the net magnetization in the 90 -coupled region. The magnetic easy axes ([100] and [010]) are shown at the lower right corner (After Ref. [7])

a

b

300 - 500 mm Fe whisker 0

20 40 60 Cr thickness d0 (ML)

80

Fig. 7 Oscillatory IEC revealed by SEMPA images of magnetic domains in a thin Fe film coupled to a Fe whisker via a Cr spacer, whose thickness increases from the left to the right. The bare Fe whisker shows two oppositely aligned large domains (bottom), whereas the top Fe film decomposes into an alternating sequence of small domains, which align according to the local sign of the IEC (After Ref. [8])

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

115

Here, θ is the angle between the magnetizations M1 and M2 of the films on both sides of the interlayer. The parameters J1 and J2 describe the type and the strength of the coupling. If the term with J1 dominates, then from the minima of Eq. 1 the coupling is ferromagnetic (antiferromagnetic) for positive (negative) J1 favoring the parallel (antiparallel) alignment. In the same way, if the term with J2 dominates and is negative, 90 coupling is obtained. The J1 term is often called bilinear and the J2 term biquadratic coupling. At this stage, Eq. 1 is purely empirical. Heuristically, the Ruderman-Kittel-Kasuya-Yosida (RKKY) interaction, which can be written in the form of a scalar product of the spins (see, e.g., Ref. [10]), together with the fact that in the transition metals spin magnetism prevails, can be taken as justification for the bilinear term in Eq. 1. The biquadratic term is believed to mainly originate from extrinsic effects such as interface roughness or magnetic impurities in the interlayer [11]. The appearance of different domain structures as a function of d0 in Fig. 6 indicates that the strength and type of IEC strongly depend on the spacer thickness. Indeed, bilinear IEC is an oscillatory function of the interlayer thickness d0, meaning that the nature of the coupling changes from ferromagnetic to antiferromagnetic upon varying d0. In Fig. 7, this gives rise to the stripe-like domains in the upper Fe layer. The coupling type at a given thickness of the Cr spacer (position on the Cr wedge) dictates the local alignment of the magnetization of the upper Fe film with respect to that of the Fe whisker below. An analysis of the oscillation period reveals that the sign of the coupling constant J1 in Eq. 1 reverses whenever the spacer thickness changes by only one atomic layer of Cr [8]. Such oscillatory ˚ is a general feature of IEC across metallic behavior with a period of a few A spacers and has stimulated Parkin to compile a “periodic table of IEC” [12]. The atomic length scale of the variations and the oscillatory nature arise from the quantum-mechanical interference of electron wave functions in the spacer material as will be explained in detail in the next section.

Microscopic Picture of Oscillatory IEC IEC is an indirect exchange interaction mediated by the conduction electrons of the spacer layer. The itinerant nature of electrons in transition metal ferromagnets, which gives rise to an exchange-split band structure and spin-dependent reflectivities at the NM/FM interfaces, is considered in the following. The spindependent reflectivity is illustrated in Fig. 8a, b, where it is assumed that majority (minority) electrons, i.e., electrons with spin parallel (antiparallel) to the local magnetization, are weakly (strongly) reflected at the interfaces. The reason for this behavior is seen in Fig. 8c. For the spin-up (majority) electron bands, there is a good match of the states in the ferromagnet and the interlayer (here represented by a noble metal) as indicated by the same position of the bands on the energy scale. Therefore, electrons in these states can more or less easily move from one material to the other. The spin-down (minority) bands, however, do not match equally well due to the exchange splitting in the ferromagnet. For the minority electrons, this

116

P. Gr€ unberg and D.E. B€ urgler

Fig. 8 Spin-dependent interface reflectivity in a layered magnetic structure (a) for antiparallel and (b) parallel alignment. Only in (b) a QWS of spin down character is formed in the interlayer. The confinement leads in horizontal direction to standing wave modes with discrete energy levels. (c) Schematic spin-split density of states for a 3d transition metal and a noble metal representing the ferromagnetic layers and the interlayer, respectively. The relative positions of the bands for spin-up and spin-down states give rise to spin-dependent reflectivity at the interfaces

gives rise to quantum well states (QWS), i.e., standing electron waves for certain interlayer thicknesses. However, QWS only form for parallel alignment of the magnetizations (Fig. 8b) because only for this configuration that the minority electrons are reflected on both sides of the spacer. The description of QWS is similar to electrons in a one-dimensional potential well. A QWS forms when the momentum component perpendicular to the layers k⊥ and interlayer thickness d0 fulfill the following condition: k⊥ ðnÞ ¼ n

π ; n ¼ 1, 2, . . . d0

(2)

These QWS correspond to discrete energy levels (right part of Fig. 8b): En ¼

ħ2  ðnÞ 2 ħ2 π 2 k⊥ ¼ n2 ; n ¼ 1, 2, . . . 2m 2md 20

(3)

For increasing d0, these levels move downward on the energy scale. Each time when a level crosses the Fermi energy EF, the corresponding QWS are populated, and the energy of the electronic system increases. When the QWS level moves further below EF, the energy again decreases until the next QWS level approaches EF. Thus, for the parallel alignment, the energy oscillates as a function of spacer thickness d0. In contrast, for the antiparallel alignment, there are no QWS and the energy of the system does not show the oscillatory behavior. In order to always take the configuration with the lowest energy, the alignment switches between parallel and antiparallel, and hence the coupling oscillates. The oscillation period Δ follows from Eq. 2 for Δn = 1: Δ = π k1. A more detailed theoretical treatment of IEC is given in Refs. [13, 14]. The basic result is that the oscillations period(s) of the IEC can be predicted for realistic electronic band structures by considering the Fermi surface of the spacer material.

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

a

117

b 2π/Q1 J1 + J2 (mJ/m2)

2π/Q2 0.00

−0.01

−0.02 −0.03

0

10 15 20 5 Spacer thickness d0 (ML)

25

Fig. 9 (a) Cross section of the Fermi surface of Au with the critical spanning vectors Q1 and Q2 in the [001] direction. (b) Coupling strength of an Fe/Au-wedge/Fe(001) trilayer as a function of the Au spacer thickness (After Ref. [15])

One finds that oscillatory coupling is related to a so-called critical spanning vector Q in reciprocal space with the following properties: (i) Q points perpendicular to the interface; (ii) Q connects two sheets of the Fermi surface, which are coplanar to each other; and (iii) Q is in the first Brillouin zone. The last condition follows from Bloch’s theorem and reflects the atomic periodicity of the spacer material. The oscillation period is given by 2π/Q. For real materials, several Qi (i = 1,2,. . .) may exist, each of them corresponding to a different oscillation period 2π/Qi. In this case, the experimentally measured coupling versus d0 is the superposition of all these oscillations. An Au spacer layer grown in [001] direction is considered as an example. For the Fermi surface of Au as shown in Fig. 9a, there are two critical spanning vectors (Q1 and Q2) in the [001] direction. The periods of the oscillatory coupling given by 2π/Qi are 2.5 and 8.5 ML. Figure 9b shows the result of an evaluation of MOKE hysteresis loops for a Fe/Au-wedge/Fe(001) structure. Two oscillations with amplitudes that are attenuated as a function of d0 are superimposed. The two oscillation periods, 2.5 and 8.6 ML, are in excellent agreement with the predictions based on Fig. 9a.

Giant Magnetoresistance (GMR) Magnetoresistance describes the dependence of the electrical resistivity of a material on the applied magnetic field. In a FM material, the main contribution is the anisotropic magnetoresistance (AMR), which is due to the spontaneous anisotropy of the resistivity, i.e., the dependence of the resistivity on the angle between the magnetization and the current. The origin of AMR is spin-orbit interaction, which adds some orbital contribution to the spin moment, leading to an aspherical spatial distribution of electrons around the nucleus. For external fields exceeding the

118

P. Gr€ unberg and D.E. B€ urgler

saturation field, the angle between the magnetization and the current is fixed and the generally small variations of the resistance originate either from the positive normal magnetoresistance generated by the Lorentz force as in any conductor or from the negative magnetoresistance due to reduced spin-disorder resistivity. The latter becomes dominant when the Curie temperature is approached, where spin fluctuations are enhanced. The AMR effect in alloys like NiFe or NiCo amounts to a few percent at room temperature but is generally much smaller in most FM materials. In spite of the small magnitude of the AMR effect, the resulting dependence of the sample resistance on relatively weak fields was exploited in the read heads of computer hard disk drives since 1991. The simpler design and the smaller dimensions were the key advantages of AMR sensors over the previously utilized inductive read heads. However, the continuously increasing storage density of hard disk drives soon pushed the AMR sensors to their limits, and there was an urgent need for an advanced sensor technology, yielding smaller sensors to keep up with the shrinking bit size while delivering larger signals to improve the data read speed.

Discovery of GMR The description of the electrical resistance in magnetic alloys is based on the two-current model proposed by Sir Nevill Mott, who also suggested that the mobility of electrons in a ferromagnetic metal depends on the orientation of their spin with respect to the magnetization direction. Testing this suggestion turned out to be an extremely difficult task. Albert Fert always was well aware of this fact as he was working on this subject already during his doctoral thesis [16]. In the course of his work, he also applied the two-current model to metals doped with two types of magnetic impurities (e.g., Ni doped with Co and Rh) with strongly different scattering probabilities for spin-up and spin-down electrons. He realized that there should be a strong change in resistance when the relative spin orientation of the two types of impurities is changed. However, at that time (the 1970s), there was no experimental means to precisely control the relative spin orientation of spin scatterers [16]. The situation changed completely with the discovery of IEC in 1986: Multilayers of FM layers separated by nm-thick NM spacer layers exhibiting antiferromagnetic IEC provide a means to control the relative alignment of neighboring spin scatterers (here, the magnetization of neighboring FM layers) within the mean free path of the electrons (here, the thickness of the spacer layers). Therefore, it is not surprising that GMR was discovered in the wake of IEC simultaneously and independently by Albert Fert (Universite´ Paris-Sud) and Peter Gr€unberg (Forschungszentrum J€ulich). The first observations of GMR (Fig. 10) were made in Fe/Cr layered structures exhibiting antiferromagnetic IEC across the Cr spacers for the reasons detailed in section “Interlayer Exchange Coupling (IEC).” At the zero external field, the antiferromagnetic IEC aligns the magnetizations of adjacent FM layers in an antiparallel manner, whereas an external field exceeding the saturation field leads

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

119

Fig. 10 First observations of the GMR effect in (a) Fe (3 nm)/Cr (dCr) multilayer for a perpendicularly applied field [17] and (b) Fe (12 nm)/Cr (1 nm)/Fe (12 nm) trilayers for an in-plane applied field [18]. The blue curve in (b) shows the AMR effect of a single Fe layer with a thickness equal to the total thickness of the Fe/Cr/Fe trilayer for comparison

to a parallel alignment of the layer magnetizations (pairs of arrows in Fig. 10b). The transition from antiparallel to parallel alignment is accompanied by a drastic change of the electrical resistance R of the layered structure; see ordinates in Fig. 10. Albert Fert studied multilayers with up to 60 Fe/Cr repetitions at low temperatures (Fig. 10a). Upon applying a strong field perpendicular to the sample surface to overcome the shape anisotropy, all layer magnetizations were forced to point out of plane and, thus, switch to a parallel alignment. The magnitude of the GMR effect expressed as ΔR RAP  RP ¼ RP RP

(4)

was as high as 80 % at 4.2 K, where RP(AP) denote the electrical resistance for parallel (antiparallel) alignment. Peter Gr€unberg, in contrast, measured Fe/Cr/Fe trilayers at room temperature and applied the external magnetic field in the plane of the sample (Fig. 10b). He obtained an effect size ΔR/Rp of about 1.5 % at a tenfold weaker field that was sufficient to overcome the antiferromagnetic IEC. The blue curve in Fig. 10b shows the much weaker AMR of a single Fe film with a thickness ˚ ) equal to the total Fe thickness of the Fe/Cr/Fe trilayer for direct compar(250 A ison. The much larger response of the layered Fe/Cr/Fe structure compared to the AMR signal of the single film and the large absolute GMR values in Fert’s multilayers are the reasons why the new effect was dubbed giant magnetoresistance. Later it was found that the physical mechanism behind GMR that will be discussed in the next section does not require the presence of antiferromagnetic coupling. The only assumption about the magnetization structure concerns the reversible switching between the parallel and antiparallel alignment of the layers’ magnetizations. Thus, any structure comprising two FM layers separated by thin enough (see section “Microscopic Picture of GMR”) metallic spacer layer allowing

120

P. Gr€ unberg and D.E. B€ urgler

Fig. 11 Characteristics of a spin valve: The layer sequence of the spin valve is Fe20Ni80 (6 nm)/Cu (2.2 nm)/ Fe20Ni80 (4 nm)/FeMn (7 nm), where the antiferromagnetic FeMn layer pins the lower FeNi layer due to the exchange bias effect. (a) Magnetization loop with a sharp switching of the unpinned, free Fe20Ni80 layer at zero field and the shifted hysteresis loop of the exchange-biased, pinned Fe20Ni80 around HEB. Pairs of orange arrows indicate the relative alignment of the magnetizations of the magnetic films. (b) Magnetoresistance ratio ΔR (H )/RP = [R(H )RP]/RP measured at room temperature showing a clearly enhanced resistance for antiparallel alignment (After Ref. [19])

for such reversible switching should exhibit GMR. For instance, the antiparallel alignment at low field can also be obtained due to different coercivities of the two FM layers. The magnetic moments of the soft and hard magnetic layers switch at different values of the applied magnetic field providing a field range in which they are antiparallel. Interlayer coupling across the spacer layer is not needed in this type of structure, which is called pseudo spin valve. A further method to achieve antiparallel alignment uses the exchange bias effect occurring when an antiferromagnet is adjacent to a FM layer. The direct exchange coupling at the interface pins the ferromagnet’s magnetization in a preferred direction and, thus, shifts the magnetization loop on the field axis by the exchange bias field HEB. Antiparallel alignment occurs in these so-called spin valves in the field range between the switching of the free layer around zero field and the switching of the pinned layer at HEB. An example of a spin valve is shown in Fig. 11. It is obvious that spin valves involve only two FM layers and interfaces, whereas in magnetic multilayers with interlayer coupling many interfaces contribute to the GMR effect (e.g., more than 100 in Fig. 10a). Although this yields larger GMR ratios in magnetic multilayers, spin valves are more attractive from the point of view of applications, because they can be tailored such that very small magnetic fields are sufficient to change the

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

121

resistance (compare gradients of the magnetoresistance curves in Figs. 10 and 11b). This property makes spin valves very sensitive sensors for weak fields, which is the basis for the application of the GMR effect in the read heads of hard disk drives.

Microscopic Picture of GMR The mechanism leading to GMR can be understood within Mott’s two-current model [20], which assumes two independent current channels for spin-up and spin-down electrons. In Fig. 12a, b, electron paths between two reflections at outer surfaces are shown with scattering events (yellow stars) in between for

Fig. 12 Simplified picture of spin-dependent scattering for the explanation of the GMR effect. Only minority electrons are scattered as schematically indicated by the stars. Majority electrons are not scattered and cause a short-circuit effect which appears for parallel alignment of the magnetizations (a) but not for antiparallel alignment (b). The equivalent circuit diagrams in the lower part for the total resistivities, RP and RAP, yield the relation RP < RAP and hence the GMR effect. RL,Rmaj and RL,Rmin denote the majority and minority equivalent resistances of the left and right side of the spacer, see text. (c) Schematic and exaggerated total electron velocity distributions due to the Fermi velocity distribution and the superimposed drift velocity for CIP and CPP configurations, respectively

122

P. Gr€ unberg and D.E. B€ urgler

parallel and antiparallel alignment of the layer magnetizations, respectively. In order not to confuse the picture, the changes in direction due to the scattering events are suppressed. The scattering processes are the cause of electric resistance. The following simple – albeit unrealistic – consideration, whose main argument is nevertheless valid in reality, is used to demonstrate how spin-dependent scattering leads to the GMR effect. In Fig. 12, it is assumed that only minority electrons (black) with their magnetic moment antiparallel to the local magnetization are scattered at the FM/NM interfaces. Hence, for parallel alignment of the magnetizations (Fig. 12a), majority electrons (green) are not scattered at all, leading to a short circuit (R = 0) of the associated current. Therefore, the resistivity for the total current vanishes, too, as can be seen in the lower part of Fig. 12a, where the two spin channels are represented by two resistors in parallel connection. For the antiparallel alignment of the magnetizations (Fig. 12b), there are scattering events for both types of electrons. Hence, the resistivity for the total current is finite. It is clear that even if the above strict condition is relaxed, the resistivity can be higher for antiparallel alignment compared to the parallel one. However, this picture implies that the GMR effect can only be observed when electrons from one FM layer reach the other one without loosing their spin orientation. Thus, it is important to realize that only electrons occupying states near the Fermi level contribute to the electrical conductivity in a metal because they can reach empty final states just above the Fermi energy after a scattering event. These electrons are highly delocalized and possess a kinetic energy corresponding to the Fermi energy. They move with the Fermi velocity of about 106 m/s through the crystal. The directional distribution of their motion is described by the Fermi surface in k-space. It is more or less isotropic (perfectly isotropic for a spherical Fermi surface) and point symmetric about k = 0, resulting in no net motion of charge for the whole ensemble. Only applying an external electric field superimposes a much smaller, directed drift velocity (of the order of 103 to 104 m/s) in the direction of the field, which gives rise to a macroscopic current. In a layered structure, one has to distinguish two cases: The electric field is applied in the plane of the sample, giving rise to a current flowing in the sample plane (CIP, current in plane), or the field is applied perpendicular to the layers and the current direction is perpendicular to the interfaces (CPP, current-perpendicular plane). The two configurations shown in Fig. 12c result in different requirements for the maximum spacer thickness that still allows the observation of the GMR effect. For the CIP geometry, the electron mean free path determines the “width of the band” parallel to the interfaces within which electrons diffuse due to the Fermi velocity distributions and the superimposed drift velocity. The spacer layer must be thinner than the mean free path; otherwise, electrons starting from one ferromagnet will undergo momentum scattering processes (change of momentum direction without spin-flip) before they reach the other ferromagnet. As momentum scattering processes have a higher probability than spin-flip scattering, the mean free path is shorter than the spin diffusion length. Thus, the CIP configuration requires spacer thicknesses of just a few nanometers. For the CPP geometry, however, the drift velocity due to the

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

123

Fig. 13 (a) Schematic spin-split density of states (DOS) for a noble metal and a 3d transition metal representing the spacer and magnetic layer, respectively. The exchange splitting in the 6 N#). ferromagnet gives rise to different DOS at the Fermi level for spin-up and spin-down states (N" ¼ (b) Realistic spin-split DOS for Cu and Co show qualitatively the features given in (a)

applied bias voltage ensures that the electrons drift – possibly undergoing several momentum scattering events – from one ferromagnetic layer to the other. Here, the spacer layer must be thinner than the spin diffusion length in order to conserve the spin of the electrons while they cross the spacer. The origin of the spin-dependent scattering assumed in the discussion of Fig. 12 can be found in the spin-split density of states (DOS) of 3d transition metals (Fig. 13). It shows different numbers of final states (density of states near the Fermi energy) for the majority and minority electrons, which according to Fermi’s golden rule yields different spin-dependent scattering probabilities. The ratio of the resistances of the two spin channels r min 1 þ β ¼ r maj 1  β

(5)

is expressed by the scattering spin asymmetry parameter β, which fulfills jβj  1. In general, there are two contributions to the spin-dependent resistance, one arising from the bulk of the magnetic layers and the other from the interfaces with the spacer layer. In a trilayer system, the two magnetic layers on each side can be described by a total scattering spin asymmetry parameter (βL and βR; L and R stand for left and right). The resistances RP and RAP in Eq. 4 can be considered as a parallel connection of the two spin channels as shown at the bottom of Fig. 12a, b. Each channel in turn is described by a series connection of the contributions from the left (rLmaj,min) and right (rRmaj,min) magnetic layer (see Fig. 12a, b). After plugging the resulting expressions for RP and RAP into Eq. 4 and some rearrangement, one obtains ΔR ¼ CβL βR ; RP

(6)

124

P. Gr€ unberg and D.E. B€ urgler

Fig. 14 (a) Slater-Pauling plot for alloys of 3d metals showing the magnetization per atom as a function of the number of electrons per atom. A negative (positive) slope of the curve corresponds to a positive (negative) scattering spin asymmetry β (Data from Ref. [21]). (b) The rigid band model assumes that a variation of the number of electrons per atom can be described by moving the Fermi level while maintaining a rigid DOS

where C is a constant, which is always positive. Therefore, the product βLβR determines whether the GMR effect is normal (βLβR > 0) or inverse (βLβR < 0). Obviously, for a symmetric system, βL = βR holds, and the GMR is always normal. For the 3d transition metals and their alloys, the sign of the β’s can be obtained from the slopes of the Slater-Pauling curve in Fig. 14. The negative slope on the righthand side, where Co is located, signifies that adding more electrons decreases the magnetic moment per atom. This requires that the minority DOS at the Fermi level is larger than the majority DOS, N# > N" (compare Fig. 13 for the example of Co). These different densities of the final states for scattering events yield rmaj < rmin or β > 0. Correspondingly, the positive slope in the left part of Fig. 14 predicts β < 0. This rule holds for the bulk scattering spin asymmetries in the alloys of the metals A and B with a composition given by the average number of electrons per atom (abscissa in Fig. 14) but also for the interface scattering spin asymmetries of A/B interfaces. For instance, CoCr alloys as well as Co/Cr interfaces have a negative β. The simple relation between the Slater-Pauling curve and the signs of the GMR effect is observed in CIP [22, 23] and CPP [24] GMR experiments and hence confirms that spin-dependent scattering is a predominant mechanism for GMR.

Conclusion The discoveries of IEC and GMR in magnetic multilayers had major impacts on science, economy, and society. Both effects originate from tailoring magnetic materials on the nanometer scale, thereby introducing interfaces between

3

Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR

125

ferromagnetic and nonmagnetic materials. The emergent fascinating new properties led to a revival of research in magnetism, but now in combination with nanoscience. The emergent research field of spintronics developed into one of the most active fields in solid-state research, which brought about many novel effects such as tunneling magnetoresistance, spin-transfer torque, pure spin currents, spin-Hall physics, etc. Progress in spintronics was boosted by the application of the GMR effect in read heads of computer hard disk drives. More than seven billion read heads built based on giant and tunneling magnetoresistance (as of 2014) made this spintronic application one of the commercially most successful applications of nanotechnology. The significantly increased storage density and capacity enabled big data and cloud computing with immediate impact on society and the daily life of individuals.

References 1. Sandercock JR (1975) Some recent applications of brillouin scattering in solid state physics. In: Queisser HJ (ed) Advances in solid state physics, vol 15. Vieweg, Braunschweig 2. Gr€unberg P, Metawe F (1977) Light scattering from bulk and surface spin waves in EuO. Phys Rev Lett 39:1561–1565 3. Damon RW, Eshbach JR (1961) Magnetostatic modes of a ferromagnet slab. J Phys Chem Solids 19:308–320 4. Gr€unberg P, Cottam MG, Vach W, Mayr CM, Camley RE (1982) Brillouin scattering of light by spin waves in thin ferromagnetic films. J Appl Phys 53:2078–2083 5. Gr€unberg P, Schreiber R, Pang Y, Brodsky MB, Sowers H (1986) Layered magnetic structures: evidence for antiferromagnetic coupling of Fe layers across Cr-interlayer. Phys Rev Lett 57:2442–2445 6. Barnas J, Gr€unberg P (1989) Spin waves in exchange coupled epitaxial double layers. J Magn Magn Mater 82:186–198 7. Scha¨fer R (1995) Magneto-optical domain studies in coupled magnetic multilayers. J Magn Magn Mater 148:226–231 8. Pierce DT, Unguris J, Celotta RJ (1994) Investigation of exchange coupled magnetic layers by scanning electron microscopy with polarization analysis (SEMPA) In: Heinrich B, Bland JAC (ed) Ultrathin magnetic structures, vol II. Springer, Berlin 9. R€uhrig M, Scha¨fer R, Hubert A, Mosler R, Wolf JA, Demokritov S, Gr€ unberg P (1991) Domain observations on Fe-Cr-Fe layered structures – evidence for a biquadratic coupling effect. Phys Status Solidi (a) 125:635–656 10. Bruno P, Chappert C (1992) Ruderman-Kittel theory of oscillatory interlayer exchange coupling. Phys Rev B 46:261–270 11. Demokritov SO (1998) Biquadratic interlayer coupling in layered magnetic systems. J Phys D Appl Phys 31:925–941 12. Parkin SSP (1991) Systematic variation of the strength and oscillation period of indirect magnetic exchange coupling through the 3d, 4d, and 5d transition metals. Phys Rev Lett 67:3598–3601 13. Bruno P (1995) Theory of interlayer magnetic coupling. Phys Rev B 52:411–439 14. Stiles MD (1999) Interlayer exchange coupling. J Magn Magn Mater 200:322–337 15. Leng Q, Cros V, Scha¨fer R, Fuss A, Gr€ unberg P, Zinn W (1993) Interlayer coupling across noble metal spacers. J Magn Magn Mater 126:367–373 16. Fert A (2007) The origin, development and future of spintronics. In: Grandin K (ed) The nobel prizes 2007. Nobel Foundation, Stockholm

126

P. Gr€ unberg and D.E. B€ urgler

17. Baibich MN, Broto JM, Fert A, Nguyen Van Dau F, Petroff F, Etienne P, Creuzet G, Friedrich A, Chazelas J (1988) Giant magnetoresistance of (001)Fe/(001)Cr magnetic superlattices. Phys Rev Lett 61:2472–2475 18. Binasch G, Gr€unberg P, Saurenbach F, Zinn W (1989) Enhanced magnetoresistance in layered magnetic structures with antiferromagnetic interlayer exchange. Phys Rev B 39:4828–4830 19. Dieny B (1994) Giant magnetoresistance in spin-valve multilayers. J Magn Magn Mater 136:335–359 20. Barthe´le´my A, Fert A, Petroff F (1999) In: Buschow KHJ (ed) Handbook of magnetic materials, vol 12, Giant magnetoresistance in magnetic multilayers. Elsevier, Amsterdam 21. Bozorth RM (1950) Atomic moments of ferromagnetic alloys. Phys Rev 79:887 22. George JM, Pereira LG, Barthe´le´my A, Petroff F, Steren L, Duvail JL, Fert A, Loloee R, Holody P, Schroeder PA (1994) Inverse spin-valve-type magnetoresistance in spin engineered multi-layered structures. Phys Rev Lett 72:408–411 23. Buchmeier M, Schreiber R, B€ urgler DE, Gr€ unberg P (2003) Inverse giant magnetoresistance due to spin-dependent interface scattering in Fe/Cr/Au/Co. Europhys Lett 63:874–880 24. Hsu SY, Barthe´le´my A, Holody P, Loloee R, Fert A (1997) Towards a unified picture of spin dependent transport in and perpendicular giant magnetoresistance and bulk alloys. Phys Rev Lett 78:2652–2655

4

CPP-GMR: Materials and Properties Jack Bass

Contents Introduction and Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CPP-MR Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Measuring Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Determining AΔR or MR: Control of AP and P States . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Theory Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Valet-Fert Theory of Diffuse Scattering with Spin Relaxation . . . . . . . . . . . . . . . . . . . . . . . . . . . . Realistic Calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tests of the 2CSR and VF Theories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2CSR Model Equations for Simple [F/N]n Multilayers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tests of the 2CSR Model in [Co/Ag]n and [Co/AgSn]n Multilayers . . . . . . . . . . . . . . . . . . . . . . Test 2CSR and VF Parameters by Predicting AΔR for Co/Cu/Py/Cu with No Adjustment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Test of VF Theory for Ag(X) and Cu(X) Alloys with X = Pt, Mn, and Ni . . . . . . . . . . . . . . Problems with 2CSR Model for “Separated” [Co/Ag]n and [Co/Cu]n Multilayers . . . . . . . Bulk CPP-MR Parameters: βF, lFsf, and lNsf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Bulk Anisotropy Parameter, βF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Diffusion Lengths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . lFsf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interface Anisotropy Parameter, γ F/N, and Enhanced Specific Resistance, 2AR*F/N . . . . . . . 2ARN1/N2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2ARS/F . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Relaxation at N1/N2, F/N, and F1/F2 Interfaces: δN1/N2, δF/N, and δF1/F2 . . . . . . . . . . . Work Toward CPP-MR Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F-Layer Lamination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Current-Confined Paths (CCP) via Nano-oxide Layers (NOL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . F-Alloys or Compounds to Give Large-Room-Temperature CPP-MR . . . . . . . . . . . . . . . . . . . .

129 133 136 139 140 141 142 143 143 143 144 145 147 147 148 149 151 152 156 156 158 159 161 162 163 163 164

J. Bass (*) Department of Physics and Astronomy, 4220 Biomedical Physical Sciences, Michigan State University, East Lansing, MI, USA e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_9

127

128

J. Bass

Magnetothermoelectricity and Thermal Conductance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Appendix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Supplementary Note #1: Contact Resistances and Nonuniform Current Flow in Micro- and Nanopillars . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Supplementary Note #2. Spin-Diffusion and Related Lengths Determined by Different Techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

164 165 166 166 167 168

Abstract

Measurements of giant magnetoresistance (GMR) in ferromagnetic/ nonmagnetic (F/N) multilayers with current flow perpendicular to the layer planes (CPP geometry) can give better access to the fundamental physics underlying GMR than measurements with the more usual current flow in the layer planes (CIP geometry). Because the same measuring current passes through all of the layers, the CPP-MR can often be described by simpler equations that allow separation of effects of scattering within the bulk of the F- and N-metals and at F/N, N1/N2, and F/S (S = superconductor) interfaces. This chapter first describes the parameters that are used to characterize the CPP-MR, the different techniques used to measure these parameters, and the different types of multilayers used to control the two orientations of the magnetizations of adjacent F-layers, antiparallel (AP) and parallel (P), that permit isolation of the parameters. It then details what has been learned about the parameters of bulk F-metals, of bulk N-metals, and of F/N, N1/N2, and F/S interfaces. Especially important are the parameters of interfaces and the spindiffusion lengths in F-metals and F-alloys, about which almost nothing was known in advance. Lastly, it describes work toward CPP-MR devices and studies of magnetothermoelectric effects, before summarizing what has been learned and listing some items not yet understood. List of Abbreviations

2C 2CSR AF AP AR AR(AP) AR(P) AΔR CAP CCP CESR CFAS CPP CPP-MR

Two-current model Two-current series-resistor model Antiferromagnet Antiparallel magnetic order of neighboring ferromagnetic layers Specific resistance = (area of CPP current flow) x (resistance) AR in the AP state AR in the P state ARAP – ARP Current at an angle to the plane Current-confined paths Conduction electron spin resonance Co2FeSi0.5Al0.5 Current flow perpendicular to the layer planes Current-perpendicular-to-plane magnetoresistance

4

CPP-GMR: Materials and Properties

DEBSV DMR EBSV F-metal GMR LMTO MTEP MTGV MTO N-metal NOL P SEMPA SR TMR VF

129

Double EBSV Deviations from Matthiessen’s rule Exchange-biased spin valve Ferromagnetic metal Giant magnetoresistance Linearized MTO Magnetothermoelectric power Magneto-thermalgalvanic voltage Muffin-tin orbitals Nonmagnetic metal Nano-oxide layers Parallel magnetic order of neighboring ferromagnetic layers Scanning electron microscopy with polarization analysis Series-resistor model Tunneling magnetoresistance Valet-Fert

Introduction and Background This chapter covers CPP-MR, the giant magnetoresistance (GMR) of magnetic multilayers, composed of alternating layers of ferromagnetic (F) and nonmagnetic (N) metals, when the current flows perpendicular to the F/N interfaces (currentperpendicular-to-plane = CPP geometry) [1–7]. Fundamental to GMR is the importance of the spins (magnetic moments) of the conduction electrons. A decade before the discovery of GMR, measurements of deviations from Matthiessen’s rule in three-component F-based alloys showed [8] that conduction electrons traversing an F-alloy are scattered differently (scattering asymmetry) when their magnetic moments are oriented along or opposite to the moment of the F-alloy. What was new in GMR were (1) the discovery that such scattering asymmetry could give unexpectedly large MRs in F/N multilayers [9, 10] and (2) the discovery that such MRs could be enhanced by similar scattering asymmetries at the F/N interfaces [1–7]. The recognition that electronic transport in magnetic multilayers could depend substantially upon the electron’s spin (magnetic moment) gave birth to the name spintronics and in 2007 to awarding of a Nobel Prize for the discovery and explanation of GMR. The intrinsic quantity in the CPP geometry is the specific resistance, AR, the product of the area A through which an assumed uniform CPP current flows, and the sample resistance R. Quantitative studies focus on AR for two collinear states: ARAP, where the moments of adjacent F-layers are oriented antiparallel (AP) to each other, and ARP, where the moments are oriented parallel (P) to each other. Special interest lies in the difference between the AP and P states, AΔR = ARAP – ARP. The CPP-MR is usually defined as CPP-MR = AΔR/ARP. ARP is chosen because it is always measurable, whereas ARAP can be more problematical, as discussed in section “Determining AΔR or MR: Control of AP and P States.”

130

J. Bass

Studies of CPP-MR have focused upon two questions: (1) What is the physics underlying CPP-MR? (2) Can CPP-MR be competitive for devices? Concerning (1), it will be argued that the vast majority (maybe all) of published CPP-MR data can be understood in terms of diffuse (as opposed to ballistic) transport, particularized in a one-dimensional model by Valet and Fert (VF) [11]. This model characterizes a multilayer by scattering asymmetries both in the bulk F-layers and at the F/N interfaces. In a properly designed CPP sample, the current density is uniform across the area A. Combining this uniform current density with a collinear orientation of F-layer moments lets the CPP-MR often be analyzed with a one-dimensional model in which layers and interfaces play separate roles. In contrast, the average current in the more usual current-in-plane (CIP)-MR [see the ▶ Chap. 3, “Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR” in this title] flows parallel to the interfaces, and the current density is nonuniform – e.g., for F- and N-layers of comparable thickness, it is larger in the layer with lower resistivity. Separation of contributions of layers and interfaces is usually more difficult. In addition, the characteristic lengths for the CPP-MR (the spin-diffusion lengths lsf [11]) also differ from those for the CIP-MR (mean free paths, λ [2]), with important consequences for both the magnitudes of the CPP- and CIP-MRs and the equations that describe them. Qualitatively, λ is the average distance an electron diffuses between scattering events, whereas lsf is the average distance over which it diffuses between spin-relaxation (spin-memory-loss) events. lsf is usually several times longer than λ, because spin-relaxation events are typically only a small fraction of scattering events. It will be shown below that these differences can lead to equations for the CPP-MR that allow relatively direct separations of the bulk and interface contributions to GMR. Of the important parameters, the least known before CPP-MR studies were the parameters of interfaces and the spindiffusion lengths in F-metals and F-alloys. Especially emphasized are quantitative results, including examples where parameters derived from CPP-MR measurements agree well with equivalent ones determined by completely different techniques and/or agree well with no-free-parameter calculations. Concerning (2), it was shown early on that the CPP-MR of a simple [F/N]n multilayer (n is the number of bilayer repeats) could be several times larger than the CIP-MR, both at 4.2 K (Fig. 1, [1]) and up to room temperature (Fig. 2, [4, 12, 13]). Such ratios are consistent with calculations [14]. However, the CPP-MR has two disadvantages for devices: (a) The resistance R of a standard CIP-MR multilayer measured in the CPP geometry is tiny, R  108 Ω for CPP length  1 μm and A = 1 mm2. To give large enough R for devices, standard metallic CPP multilayers must have areas < 102 (μm)2, requiring nanolithography. (b) Devices such as read heads require the CPP multilayer to be short to match the bit size. In CPP-MR, the lead resistances and layers used to “pin” F-layer magnetizations are in series with the active CPP-MR components. With standard F-metals and alloys, these series components limit the CPP-MR. Recently, fabrication of nanopillars with new F-compounds and combinations of materials have produced CPP-MRs more competitive for sensors, as will be discussed in section “Work Toward CPP-MR Devices.”

CPP-GMR: Materials and Properties

131

100

−0.5

−0.25

0

0.25

0.5

0

0.25

0.5

a 80

MR(H)% (CPP)

Fig. 1 (a) CPP-MR%, (b) CIP-MR%, and (c) magnetization M versus magnetic field H for sputtered [Co(6)/Ag(6)]6o multilayers at 4.2 K. (d) CPP-MR for a Nb/Co(200)/Nb film. Multilayer-specific resistances are defined for three states: The largest value, ARo, is for the as-prepared state at H = 0; the intermediate value, ARPk, is at the peak following saturation; and the smallest value, ARs, is at saturation. In the text we will use and justify the approximations: ARo = ARAP and ARs = ARP (Reprinted figure with permission from [1]. Copyright (1991) by the American Physical Society)

60 40 20 0 20

b 15

MR(H)% (CIP)

4

10

5

0

m (x 10−3 emu)

c 50

0

MR(H)% (CPP) Nb/Co/Nb

−50

10 0 −10

d −0.5

−0.25

H (kG) This chapter is organized as follows. Section “CPP-MR Parameters” presents the parameters that characterize the CPP-MR. Section “Measuring Techniques” describes the three different techniques that have been used to measure the CPP-MR, along with their advantages and disadvantages. Section “Determining

132 120

100 × (3nm Fe + 1nm Cr)

a

100 80 CPP

60 40

(R0 − Rsat)/Rsat (%)

Fig. 2 ΔR/RP versus temperature T, for nanopillar multilayers of (a) FeCr and (b) Co/Cu. ((a), Reprinted figure with permission from [12]. Copyright (1993) by The American Physical Society. (b), Reprinted with permission from [13]. Copyright (1994), AIP Publishing LLC. Figures originally published in current form in [4])

J. Bass

CIP

20 0

0

200

100

300

T (K) 120 180 × (1.2nm Co + 1.1nm Cu)

100

b

80 CPP

60 40

CIP

20 0

0

100

200

300

T (K) AΔR or MR: Control of AP and P States” explains different ways to obtain the antiparallel (AP) order of magnetic moments that generally gives the largest AR or MR. Section “Theory Overview” briefly describes the theory of Valet and Fert (VF) [11] that is most often used to analyze CPP-MR data and explains what is involved in realistic calculations of CPP-MR parameters. For more extensive discussions of CPP-MR theories and their limitations, see [2, 3, 6]. In the limit of no spin relaxation, VF theory reduces to a simple two-current series-resistor (2CSR) model [11, 15]. Examples of especially useful 2CSR equations, or VF equations in appropriate limits, are given along with experimental data in sections “Tests of the 2CSR and VF Theories,” “Bulk CPP-MR Parameters: βF, lFsf, and lNsf,” and “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ.” Section “Tests of the 2CSR and VF Theories” describes a series of tests that were made to test the 2CSR and VF models. Section “Bulk CPP-MR Parameters: βF, lFsf, and lNsf covers the bulk parameters derived from CPP-MR measurements. Section “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ” covers the interfacial parameters. Section “Work Toward CPP-MR Devices” describes progress toward CPP-MR devices. Section “Magnetothermoelectricity and Thermal Conductance” briefly covers magnetothermoelectric effects and thermal conductance. Section “Summary” summarizes what we see as the most important CPP-MR results obtained so far and notes some topics that are not yet understood.

4

CPP-GMR: Materials and Properties

133

Space limitations preclude describing all of the limitations on the many measurements, assumptions, and analyses of CPP-MR data in the literature, of which we can cover only some. So the reader is just warned that published claims and parameters must be viewed with caution and we list here a set of questions worth asking. Are there enough different data sets to determine the required unknowns? Typically, a single data set (e.g., AΔR vs. F-layer thickness tF or vs. the number n of F/N bilayers) can reliably determine only two or perhaps three unknowns. To determine more, and show that the resulting values are not functions of the variables (e.g., that ARF/N does not vary with n), requires more than one data set, or independent measurements to fix other parameters. Does the technique used produce good AP and P states? Is current flow through the multilayer uniform? If a 2CSR model is used, is spin relaxation negligible, including in the contacts? If a VF model is used, are the parameters of the contacts known and properly included, and are all fixed parameters measured in the same laboratory? Using parameters derived by other groups with different sample preparation systems is usually unreliable, especially for nominally “pure” metals.

CPP-MR Parameters The physics underlying GMR for a simple F/N/F trilayer is explained in ▶ Chap. 3, “Metallic Multilayers: Discovery of Interlayer Exchange Coupling and GMR” We summarize here only those features that are essential for the CPP-MR. Because the spin of an electron is 1/2, the electron’s magnetic moment can be quantized into two states along any chosen axis, such as the axis of an applied magnetic field H. These two states are called “up” and “down.” A conduction electron suffers different amounts of scattering when its moment is along or opposite to the moment of an F-layer that it is traversing. For diffuse transport, this scattering within F is characterized by parameters ρ"F and ρ#F, where " means that the electron moment points along the F-layer moment and # means that it points opposite to the F-layer moment. Values of these two parameters for F-based binary alloys were already estimated years earlier from deviations from Matthiessen’s rule (DMR) studies of asymmetric scattering in F-based ternary alloys [8]. Usually ρ#F > ρ"F. The CPP equations, especially that for ARAP, can be simplified by using an alternative pair of parameters (first defined in [11, 15]), as shown in section “2CSR Model Equations for Simple [F/N]n Multilayers” below. These parameters are the dimensionless scattering asymmetry, βF = (ρ#F  ρ"F)/(ρ#F + ρ"F) – bounded by 1  βF  1 – and the enhanced resistivity, ρ*F = (ρ#F + ρ"F)/4 = ρF/(1  β2F). Here, ρF is the resistivity of the F-metal as measured independently, either by measuring the slope of a plot of the CPP AR versus tF for F-layers of variable thickness tF (Fig. 3) [16] or in the CIP geometry using the van der Pauw method on films deposited in the same way as the multilayers and thick enough to minimize effects of surface scattering. For Co and Ni, Fierz et al. [16] found that the values of ρF measured in these two different ways overlapped to within mutual uncertainties, providing some confidence in the latter technique.

134

J. Bass

RA (fΩm2)

60

40

20

0

0

250

500

750 t (nm)

1000

1250

Fig. 3 AR versus Co layer thickness t at 4.2 K for CPP-current flow into sputtered Nb/Co(t)/Nb trilayers with superconducting Nb contacts. The x’s are for samples with 10 nm of Ag at the interfaces between Nb and Co. The ordinate intercept gives 2ARCo/Nb. The slope gives ρCo (# IOP Publishing. Reproduced from [16] by permission of IOP Publishing. All rights reserved)

Similarly, scattering at an F/N interface is characterized by the parameters AR#F/N and AR"F/N. For CPP-AR analysis, these can be combined to give the alternative dimensionless interface scattering asymmetry γ F/N = (AR#F/N  AR"F/N)/ (AR#F/N + AR"F/N) – also bounded by 1 and 1 – and the enhanced interface specific resistance, AR*F/N = (AR#F/N + AR#F/N)/4. Lastly, scattering within the N-layer is characterized by just ρN, since such scattering should be independent of the direction of the electron’s moment. As with ρF, ρN can be measured separately either in the CPP geometry (but, when superconducting contacts are used, thin F-layers must be included as bookends on N to avoid a superconducting proximity effect on the N-metal) or in the CIP with the Van der Pauw technique. For N-metals with low resistivities (e.g., Cu and Ag), layer thicknesses in multilayers can sometimes be shorter than the mean free path, raising the possibility of ballistic transport. The available evidence is that no significant change in CPP-MR occurs as the layer thicknesses are reduced below this boundary. Presumably incoherent, diffuse scattering dominates the CPP-MR for two coupled reasons: (a) the contribution to AR from such thin, pure N-layers is often too small to matter, and (b) coherent effects are eliminated by diffuse scattering within disordered and rough interfaces. If, as electrons propagate through a multilayer, their moments do not flip, then currents of “up” and “down” electrons propagate independently, giving a two-current (2C) model, where the conductances for “up” and “down” electrons simply add [14]. If transport within the multilayer is also diffuse, the total specific resistance for each current is simply the sum of the contributions from the local resistivity (ρ"F, ρ#F, or ρN,) for a given layer times the layer thicknesses (tF or tN) and # " the contributions from the interface specific resistances (ARF/N or ARF/N ). These sums give the series-resistor (SR) model. Combining the 2C and SR models gives the 2CSR model, examples of which will be given in sections “Tests of the 2CSR

4

CPP-GMR: Materials and Properties

135

and VF Theories,” “Bulk CPP-MR Parameters: βF, lFsf, and lNsf,” and “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ.” In sections “Test of VF Theory for Ag(X) and Cu(X) Alloys with X = Pt, Mn, and Ni,” “Problems with 2CSR Model for “Separated” [Co/Ag]n and [Co/Cu]n Multilayers,” “Bulk CPP-MR Parameters: βF, lsf,F and lsfN,” and “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ” we will also examine what happens when the moments of the electrons flip as the electrons traverse the layers and interfaces of a multilayer. At low temperatures, scattering is just from impurities, which produce large angle scattering. On average, such scattering randomizes the final crystal momentum. If so, when spin relaxation also occurs, due to spin-orbit scattering from impurities without local moments (most impurities) or due to spinspin scattering from impurities with local moments (e.g., Mn [17, 18]), such flipping does not transfer crystal momentum to the other spin channel and, thus, does not mix currents [11, 18]. We call spin flipping that does not mix currents “spin relaxation.” When spin relaxation is present, the 2CSR model must be generalized to the VF model, which is still a two-current (2C) model, but no longer a series-resistor (SR) one. To describe such relaxation requires the following additional parameters: the spin-diffusion lengths, lFsf and lNsf, within the F- and N-metals [11], and the spin-relaxation parameters, δN1/N2, δF/N, and δF1/F2, at N1/N2, F/N, or F1/F2 interfaces [19–21]. Crudely, lFsf and lNsf are the lengths over which conduction electron spins relax within the F- and N-metals (the lengths over which the spin accumulation varies), and δ specifies the probability P = (1 – exp(-δ)) that a conduction electron’s spin flips (relaxes) as the electron crosses a given interface. Usually, spin relaxation leads to reduction of AΔR. In the simplest cases, AΔR can decrease as exp(-t/lsf) or as exp(-δ). In contrast, at higher temperatures, electron–electron, electron-magnon, and electron–phonon scattering can lead to spin flipping with transfer of momentum to the other spin channel, which we call spin mixing. An additional spin-mixing parameter is then needed to determine how the spin currents mix, again usually reducing AΔR. So far, information about spin mixing from both CPP-MR calculations and measurements is modest [18, 22]. Before turning to techniques and data, it is useful to briefly examine when bulk and interface parameters are intrinsic or extrinsic. First, consider the bulk parameters. The values of βF for dilute F-alloys estimated from measurements of deviations from Matthiessen’s rule [8] vary substantially for different impurities, from βF  0.8 for V in Fe to βF  +0.85 for Fe in Co. With such a wide variation in βF, it seems clear that βF, lFsf, and lNsf are well defined only for F-alloys (or N-alloys) in which a single, known impurity dominates the scattering. It will be seen in section “Bulk CPP-MR Parameters: βF, lsf,F and lsfN” that CPP-MR values of βF for several F-alloys agree reasonably well with DMR values. In contrast, the scattering from the expected impurities in F-metal or N-metal targets with specified purities of 99.9 % or better is much smaller than needed to explain the observed residual resistivities, ρF or ρN, of films deposited from such targets. Thus the dominant impurities or defects in thin films of nominally pure metals such as Co, Fe, Ni, Cu, and Ag are unknown, and values of βF, lFsf, or lNsf derived for deposited layers of one of these “pure” metals can be only an approximation for

136

J. Bass

layers of that metal, deposited by that group, with reasonably stable values of ρF or ρN. The rough agreement that will be shown below for values of βCo derived by different groups, with a wide range of values of ρCo, is thus rather a surprise. * In contrast, the F/N interface parameters – γ F/N, 2ARF/N , and δF/N (and similar parameters for N1/N2 or F1/F2 interfaces) – might be determined solely by the properties of the two metals, if the parameters are not sensitive to the detailed structure of the interface (e.g., whether the interface is a perfect plane, or consists of a finite thickness of an interfacial alloy – often 3–4 monolayers (ML) thick [23] – or contains physical surface roughness). Section “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ” will show that the scale of values for 2AR is “fΩm2” (or mΩ(μm)2) – that is, values of 2AR vary from 0.1 fΩm2 to 10 fΩm2 – and that calculated values of 2AR for some metal pairs are not highly sensitive to intermixing. For lattice-matched pairs (same crystal structure and closely the same lattice parameters), we will see that no-free- parameter calculations of 2AR agree rather well with experimental values.

Measuring Techniques Three different F/N sample geometries (listed in order of first publications) have been used to measure the CPP-MR: (1) short-wide multilayers, sandwiched between crossed superconducting strips [1, 24, 25], (2) multilayer pillars with more closely comparable width and length [12], and (3) multilayer nanowires with lengths much longer than widths [26–29]. By itself, the geometry of only case (3) guarantees a uniform current density through the wire. In case (1), two superconducting strips are needed to give equipotential surfaces, even when current is flowing, to make the current density uniform [25], in analogy with why the electric field is uniform in a short-wide capacitor of two metal strips sandwiching an insulator. In case (2), the current density is generally not strictly uniform, because the contacts are not strictly equipotentials. But, with care, the deviations from uniformity can often be controlled or corrected for. In all three techniques, non-epitaxial sputtering or electron-beam evaporation standardly gives closest packed layer planes (i.e., (111) planes for fcc or (011) planes for bcc). The separation between (111) planes in fcc is 0.2 nm. Each of the three techniques is now discussed in more detail. 1. The first technique [1] involves sandwiching a thin (1μm) multilayer of interest between mm-wide crossed superconducting strips of Nb (Fig. 4a). This technique was first tried by I.K. Schuller and P.A. Schroeder (Private Communication) at Argonne Natl. Laboratory. But the need to open the sputtering system to air between deposition of the Nb strips and the multilayer caused uncontrolled interfacial oxidation. Returning home to Michigan State University (MSU), Schroeder and his colleague Pratt designed an ultrahigh vacuum-compatible sputtering system with in situ mask changing [24, 25] that allowed masks to be changed in minutes without breaking vacuum. Advantages of the technique

4

CPP-GMR: Materials and Properties

a Crossed Superconductors

137

b Nanopillars

c Nanowires.

A ~ mm2

s

W ~ mm

d ~ 0.1 mm

s

d ~ 0.03-0.05 mm

W ~ mm

~ mm W ~ mm S S

~ 0.1 mm

~ 0.1 mm

Fig. 4 CPP-MR measuring geometries: top view above. Side view below. Not to scale

include the following: (A) As noted above, this short, wide sample geometry gives a uniform current density. (B) Multilayers can be deposited with arbitrary combinations of F, N, and antiferromagnetic (AF) metals, allowing control of both AP and P states and studies of many different F and N combinations. (C) Zero resistance of the superconducting Nb strips simplifies the contact resistance, which is just ARS/F, the interface resistance between the S- and F-metals. This simplicity is convenient for data analysis, since contact resistances can be important in CPP-MR. (D) 2ARS/F can be measured independently, as the ordinate intercept of a plot of AR versus tF for sandwiches of F-metal thickness tF between the S leads (Fig. 3) [16]. The slope of such a plot also gives ρF. (E) Measurements at 4.2 K avoid contributions from phonons and magnons, making it easier to compare data with calculations. Because of these advantages, most of the quantitative analyses that are discussed below were made with this technique. The main disadvantages of the technique are the following: (A) the need for a high-sensitivity, high-precision bridge system [25, 30] to measure the resulting very small resistances (10 nΩ) and (B) its limitation to cryogenic temperatures (T  4.2 K with Nb), although it will be shown below that interfacial parameters are most likely not very temperature sensitive. Two related techniques have been published: (a) Still using a precision bridge, Slater et al. [31] used superconducting contacts to pillars as small as micron diameter. (b) To allow measurements with a commercial digital voltmeter, Cyrille et al. [32] sputtered, in series, one hundred, 30 μm diameter multilayers with Nb contacts between them. 2. The second technique [12, 13] involves sputtered or evaporated multilayers, shaped into micro- or nanopillars by optical or electron-beam lithography

138

J. Bass

(Fig. 4b). The main advantages of this technique are the following: (A) Multilayers can be deposited with arbitrary combinations of F-, N-, and AF-metals. (B) Measurements can be extended from 4.2 K to above room temperature. (C) Resistances are large enough to measure with standard digital voltmeters. The main disadvantages are the following: (A) The need for complex optical and/or nanolithography to produce good samples. (B) Difficulty in achieving near equipotentials across the top and bottom contacts to assure uniform current density through the pillar. The first measurements with micron2 areas had problems with nonuniform currents [33]. (C) Contact resistances that can be comparable to the multilayer resistances and difficult to determine for inclusion in proper VF analyses. Contact resistance and nonuniform current problems are examined in Supplementary Note #1. 3. The third technique involves electrodepositing 40–100 nm diameter (d) nanowires into either polymers, with pores etched after their axes are defined by ion bombardment [26–29], or Al oxide with pores made by etching [34] (Fig. 4c). The advantages of this technique are the following: (A) The current density is uniform. (B) Resistances are large enough to measure with standard digital voltmeters. (C) Measurements can be extended from 4.2 K to above room temperature. (D) The long thin geometry allows significant temperature gradients to be established. So this geometry has been used to pioneer studies of thermoelectric GMR [35, 36]. The disadvantages are the following: (A) Most published work involves deposition of the F- and N-metals from a single bath, limiting the wires to just simple F/N multilayers with a limited number of F and N pairs [5]. The N-metal has usually been Cu, and most data involve either Co/Cu or Py/Cu (Py = permalloy = Ni1xFex with x  0.2) [5]. Usually, the metal deposited at lower voltage, e.g., Cu, contaminates the metal deposited at the higher voltage – e.g., Co. (B) Most studies involve contacts to multiple wires of unknown number. Then, measurements are reported only of MR. Few studies have been reported with single wires (e.g., [37, 38]). (C) Most studies have involved equal thickness F-layers and equal thickness N-layers, making it difficult to achieve fully AP states. A complication is that the magnetic orientations of the F-layers change as the layer thickness tF increases through the wire diameter d. For tF < d, shape anisotropy drives the F-layer moment in-plane, and the dipolar interaction between adjacent F-layers is antiferromagnetic. For tF > d, in contrast, shape anisotropy drives the moment along the wire axis, and the dipolar interaction is ferromagnetic. (D) A few studies have been made with multiple baths. In the first, Co/Cu multilayers prepared in two baths [39] gave lower MRs than ones prepared in a single bath, a difference attributed mostly to lesser contribution from Co/Cu interfaces. More recently, multiple baths, and inclusion of an antiferromagnet (AF) to give pinning, have given exchange-biased spin valves (EBSVs) [40]. While some of the results look reasonable, others do not. A few measurements have been made on samples with grooved surfaces, giving current at an angle to the plane (CAP) (see, e.g., [41–47]). The main contributions so far to CPP-MR are (a) evidence that βF, γ F/N, and ARF/N are only modestly

4

CPP-GMR: Materials and Properties

139

sensitive to temperature (10–20 % from 4.2 to 300 K) [45], a conclusion supported by a subsequent nanowire study [22], and (b) early data on magnetothermopower in CAP and pseudo-CPP geometries [46, 47].

Determining ADR or MR: Control of AP and P States The P state is usually achieved by just increasing the magnetic field H to above the saturation field of the F-layer with the largest saturation field. The moments of all the F-layers should then point in the direction of H, giving ARP. Obtaining ARAP requires more care. Several methods have been used. (1) GMR was discovered in Fe/Cr multilayers [9, 10] where the Cr thickness was chosen to give antiferromagnetic (AF) coupling between neighboring Fe layers (Fig. 5a) [9] (see ▶ Chapter. 1, “Theory of Giant Magnetoresistance and Tunneling Magnetoresistance”). This method has the disadvantages of allowing samples with only one or two thicknesses of the Cr layers and requiring large H to reorient to the P state. (2) In the first CPP-MR studies, on [Co/Ag]n multilayers, with Ag layers thick enough to make exchange coupling weak, the initial values of AR in as-prepared samples, not yet subjected to H, were found to be the largest achievable (Fig. 1a) [1], typically much larger than the values near the coercive field. These initial values were taken as the best available approximations to ARAP. They were subsequently validated in two ways. In the earliest, they were able to predict, correctly, with no adjustability, values of AΔR for [Co/Cu/Py/Cu]n multilayers, which give well-defined AP states because of the very different coercive fields of the Co and Py layers (Fig. 5b) [48, 50]. Later, a combination of polarized neutron scattering and scanning electron microscopy with polarization analysis (SEMPA) showed that the initial state could indeed approximate the AP state in Co/Cu multilayers [51], in that the typically micron-sized or larger domains in a given Co layer had moments oriented approximately opposite (to  60 %) to the coupled domains in the layers just above and just below it. This correlation was attributed to antiferromagnetic ordering produced during growth of a given layer by the fringing fields of the domains in the layer preceding it [51]. (3) [F1/N/F2/N]n multilayers with F1 and F2 having different coercive fields, Hc. F1 and F2 can be different metals – e.g., Co and Py as above – or different thicknesses of the same F-metal (interleaved sample in Fig. 5c) [49]. We call such samples “hybrid” spin valves (SVs). (4) Exchange bias with an AF – F/N/F/AF, to “pin” the moment of the F-layer adjacent to the AF-layer so that it reverses at a much higher field than does the other “free” F-layer. This procedure gives an exchange-biased spin valve (EBSV) (Fig. 5d) [19]. The exchange bias is produced by heating the multilayer to above the blocking temperature of the AF and then cooling in the presence of a field H [4]. (5) In long nanowires, with diameters larger than F-layer thicknesses, an AP state can be obtained by alternating N-layer thicknesses between a short value that lets the moments of the two bounding F-layers orient antiparallel (AP) due to their dipolar coupling and a long value that magnetically separates such bonded pairs (see, e.g., Fig. 19 in Ref. [5]). (6) As shown in Fig. 4b, In a nanopillar with

140

J. Bass

Fig. 5 Hysteresis curves for multilayers with well-defined AP states. (a) Fe/Cr with antiferromagnetic coupling. (b) [Co(3)/Cu(20)/Py(8)/Cu(20)]8 hybrid spin-valve. (c) Interleaved ([Co(1)/ Cu(20)/Co(6)/Cu(20)]6 versus Separated [Co(1)/Cu(20)]6[Co(6)/Cu(20)]6 hybrid spin-valves; (d) Py-based exchange-biased spin-valve (EBSV) (From (a) Baibich et al. [9] (b) Yang et al. [48] (c) Eid et al. [49] (d) Park et al. [19])

only two thin F-layers separated by a not-too-thick N-layer (e.g., Fig. 4b), dipolar coupling between the two F-layers will orient their magnetizations AP at H = 0. Methods (3)–(6) have the advantage of allowing controlled AP states with combinations of a wide variety of F- and N-metals.

Theory Overview Wide-ranging reviews of CPP-MR theory are given in Levy [2] and Gijs and Bauer [3] and a more focused one in Tsymbal and Pettifor [6]. Topics covered include comparisons between Boltzmann transport theory, Kubo theory, and Landauer

4

CPP-GMR: Materials and Properties

141

formalism, as well as differences between ballistic and diffuse transport. In this review, we focus upon the model used to analyze nearly all CPP-MR data, the Valet-Fert (VF) model [11]. This model assumes diffuse transport based upon the Boltzmann equation and reduces in the limit of no spin relaxation to a two-current series-resistor (2CSR) model. The first specific model of CPP-MR was given by Zhang and Levy [14]. Neglecting spin-flip scattering, they argued that “each of the two spin-directions (since the electron spin is ½) ‘contributes independently’, giving a total conductance that is just the sum of their separate conductances (i.e., a two-current (2C) model).” They then showed that the CPP resistance for each spin channel is “self-averaging”; that is, it is just the sum of the resistance contributions from the layers and interfaces (i.e., a series-resistor (SR) model). Together, these two results predict a 2CSR model that was the model used to interpret much early CPP-MR data. This model contains no lengths beyond just the layer thicknesses. As noted in section “CPP-MR Parameters,” neglecting contacts, the 2CSR model for a simple [F/N] multilayer has only five parameters – ρN, βF, ρ*F = ρF/ * (1  β2F), γ F/N, and ARF/N – of which ρN and ρF can be measured independently, leaving only three unknowns. However, contacts usually require at least one more parameter, an example of which will be given in section “Tests of the 2CSR and VF Theories.”

Valet-Fert Theory of Diffuse Scattering with Spin Relaxation Soon after Zhang and Levy [14], it was recognized that spin relaxation need not be negligible in real F/N multilayers [11, 52]. The Valet-Fert (VF) model [11] used to fit most experimental data also starts from the Boltzmann equation. VF assumed the same, single-band, spherical Fermi surface for both the F- and N-metals, and their analysis is formally valid only in the limit lsf > > λ. However, the form of their equations is expected to apply more generally [53], and comparing VF with numerical solutions of the Boltzmann equation led Penn and Stiles [54] to conclude that the VF equations remain (closely) valid even when lsf is only comparable to λ. When lsf > > λ, VF first showed that the Boltzmann equation reduces to a macroscopic model in which current densities are related to electrochemical potentials [55, 56]. The characteristic lengths in the model are lNsf and lFsf. They then derived a “spin-diffusion-type” equation for the spin accumulation, which led to general solutions (with the CPP-MR parameters listed in section “CPP-MR Parameters”) for the chemical potentials, electric fields, and currents within the layers of the multilayer. Finally, they gave equations for these quantities within the F- and N-layers and specified how to match boundary conditions at the F/N interfaces, # # including the localized spin-dependent interface resistances ARF/N and ARF/N defined above. They also provided examples of solutions for some simple cases. The first solutions were for a single F1/F2 interface and for a simple [F/N]n multilayer, both with zero interface resistances. The last solutions were for a general periodic multilayer including spin-dependent interface resistances. Because

142

J. Bass

of the complexities associated with different “contacts,” they did not give any solutions for samples with realistic contacts, leaving it to the experimenter to apply the Valet-Fert (VF) equations within the F- and N-layers, and the VF matching of boundary conditions, to real data. In samples with a variety of F-, N-, and possibly also AF-layers, plus “contacts,” applications of the VF model will usually require complex numerical fits [19]. Below, some examples will be given where the VF analysis, including superconducting contacts, reduces to relatively simple equations. The VF analysis did not include the parameter δ that describes spin relaxation at a metallic interface. Park et al. [19] first introduced δ into a VF analysis by treating each interface as a slab of finite thickness tI, with resistivity ρI, spin-diffusion length lI, and δ = tI/lI. These slabs were incorporated into the VF analysis as additional “layers.” Results of such analyses will be given in section “Spin Relaxation at N1/N2, F/N, and F1/F2 Interfaces: δN1/N2, δF/N, and δF1/F2.”

Realistic Calculations To calculate the VF parameters for F- and N-metals requires the use of real electronic structures (Fermi surfaces). The best agreement so far between measured VF parameters and no-free-parameter calculations occurs for calculations of twice * the interface specific resistance, 2ARN1/N2 or 2ARF/N , for lattice-matched metal pairs – i.e., pairs with the same crystal structure (fcc or bcc) and the same lattice parameter to within 1 %. Lattice matching lets a common crystal lattice be used for the two metals forming the interface. Consistent with the VF assumption that CPP electron transport in multilayers is diffuse, Ref. [57] showed that assuming ballistic bulk transport gave results in strong disagreement with experiment for Co/Cu interfaces. In contrast, assuming diffuse bulk scattering gave good agreement. Presumably, interfacial disorder precludes the coherent scattering between neighboring interfaces that would be expected for ballistic transport. Calculating * 2ARN1/N2 or 2ARF/N for a lattice-matched pair requires two steps. The first involves determining the electronic structure for each metal self-consistently within the local spin density approximation. The second involves calculating the interface specific resistance using an appropriate equation for a single interface based upon Landauer theory, corrected for the Sharvin resistance [57, 58]. The calculated results given in section “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ” below were obtained for two kinds of interfaces. The first is a perfect interface with specular scattering. Here, transport across the interface requires conservation of the component of the wave vector k parallel to the interface. The second is a 50–50 % random mixture of atoms 2 monolayers (ML) thick. Now transport across the interface involves both a specular component and a diffuse component where k parallel is not conserved. Early calculations used a basis set with spd and linear muffin-tin orbitals (LMTO) [59–61]. Later calculations used spdf and MTO orbitals without linearization [62]. The spdf and MTO results will be given in section “Interface Parameters: γ F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and δ.”

4

CPP-GMR: Materials and Properties

143

Tests of the 2CSR and VF Theories Introduction An early task in CPP-MR studies was to test whether real data can be consistent with the simple 2CSR and VF models. In this section, we describe the results of some such tests, which were made using the crossed superconductor geometry. The first CPP-MR study showed that the CPP-MR for [Co/Ag]n multilayers is typically several times larger than the CIP-MR [1], as illustrated in Fig. 1 for a [Co (6 nm)/Ag(6 nm)]60 multilayer. The first detailed analysis of CPP-MR data, on [Co/Ag]n multilayers, assumed a one-current series resistance model [63]. Soon afterward, extension of measurements to [Co/AgSn]n multilayers [15], where AgSn indicates a Ag(6 at.%Sn) alloy, gave behaviors that led to analysis by a 2CSR model, using the following equations.

2CSR Model Equations for Simple [F/N]n Multilayers The 2CSR model applied to an [F/N]n multilayer with superconducting leads predicts the following simple forms for ARAP and AΔR [11, 15]: h i ARAP ¼ 2ARS=F þ n ρN tN þ ρF tF þ 2ARF=N

(1)

h i2 AΔR ¼ n2 βF ρF tF þ 2γF ARF=N =ARAP

(2)

and

Notice that the numerator of Eq. 2 depends only on properties of F and the F/N interfaces. Any constants in ARAP, such as ρNtN or 2ARS/F, which are independent of F-moment orientations, do not contribute to the numerator of Eq. 2. For insight into the physics of Eq. 2, note that the product βFρ*F = (ρ#F  ρ"F)/4 and the product * # " 2γ FARF/N = (ARF/N  ARF/N )/2. Consider Eq. 1 for an [F/N]n multilayer with fixed tF and fixed total thickness tT = n(tF + tN). Eliminating the variable tN and neglecting the differences between n and n  1 gives [15] h i  ARAP ¼ 2ARS=F þ ρN tT þ n ρF  ρN tF þ 2ARF=N :

(3)

Equation 3 then predicts that a plot of ARAP versus n should give a straight line, * with ordinate intercept 2ARS/F + ρNtT and fixed slope [(ρ*F  ρN)tF + 2ARF/N ]. In contrast, for sufficiently small n, Eq. 2 predicts that AΔR should first grow as n2 and then transform to a linear variation as n increases. The range of n2 variation should increase as ρN increases, thereby extending the range of n over which the constant term in Eq. 3 remains dominant in the denominator of Eq. 2.

144

J. Bass

Lastly, multiplying both sides of Eq. 2 by ARAP and taking square roots gives [15, 17] h i pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ðARAP ÞAΔR ¼ n βF ρF tF þ 2γ F ARF=N :

(4)

Equation 4 predicts that a plot of the square root on the left-hand side versus n should give a straight line passing through the origin, with a slope that is independent of ρN. That is, if the host metal N is alloyed with a small enough amount of a weakly spin-relaxing impurity, so that the spin-diffusion length, lNsf, remains long enough that the 2CSR model can still apply, the data for pure N and alloyed N should fall on exactly the same line, down to values of n where the alloy layer thickness becomes comparable to the alloy lNsf. To apply Eqs. 2, 3, 4 requires knowing ARAP. For a simple [Co/Ag]n multilayer, Fig. 1 shows that the largest value of ART (the total AR of the multilayer) occurs not at the coercive field, but rather in the initial virgin state before any magnetic field is applied. This state is written as ART(0) and used to approximate ARAP, as justified in section “Determining A(R or MR: Control of AP and P States.” Using this state for each sample, and taking the smallest value of ART (above the saturation field, Hs) as ARP, allows the following tests of the 2CSR model.

Tests of the 2CSR Model in [Co/Ag]n and [Co/AgSn]n Multilayers Figures 6, 7, and 8 [15, 64, 65] show tests of these predictions for [Co(6)/Ag(tAg)]n and [Co(6)/Ag6%Sn(tAgSn)]n multilayers with fixed tT = 720 nm. Similar results were also obtained with [Co/Cu]n and [Co/Cu4%Ge]n multilayers [65]. Figure 6 (with the AgSn data slightly corrected as described in [64]) shows that in the virgin state, total AR = ART (0) assumed = ARAP is approximately linear in n for both cases, with very different ordinate intercepts due to the very different values of ρAg = 10  1 nΩm and ρAgSn = 185  10 nΩm [15]. The arrows indicate the predicted ordinate intercepts assuming 2ARNb/Co = 6  1 fΩm2. The data are consistent with these predictions to within mutual uncertainties. Figure 7 [15] shows the n2 variation of AΔR versus n for small n, with the range of n2 variation being much larger for AgSn than for Ag. Figure 8 [65] shows that, despite the very different behaviors of ARAP in Fig. 6 and AΔR in Fig. 7, the square root data for Ag and AgSn obey the predictions of Eq. 4 of a single straight line passing through the origin, with the same slope for Ag and AgSn, down to small values of n, where the AgSn thickness becomes larger than its spin-diffusion length so that the 2CSR model no longer applies. The data in Figs. 6, 7, and 8 were taken as evidence in favor of the 2CSR model for Co/Ag and Co/AgSn. Similar behaviors of Co/Cu and Co/CuGe were taken as further evidence for the 2CSR model with F = Co [65]. Table 1 [18–20, 66, 68–71] and a more complete data collection in Ref. [69] show that the values of lsf at 4.2 K are long enough for the 2CSR model to apply to the Co, Ag, and AgSn layers in Figs. 6, 7, and 8, at least down to small n for AgSn.

4

CPP-GMR: Materials and Properties

145

250

ART(H) (fΩm2)

200 150 100 50 0

0

20

40 60 Bilayer No. n

80

100

Fig. 6 Total AR (ART) versus bilayer number n for samples of fixed total thickness 720 nm for sputtered [Co(6)/Ag(t)]n (circles) and [Co(6)/AgSn(t)]n (squares and diamonds indicate different sputtering runs) multilayers. Open symbols are for ART(Hs) = ART (minimum at the saturation field Hs) = ARP and filled symbols are for ART(0)  ARAP. Some of the data have been corrected as explained in Pratt et al. [64]. The arrows indicate the independently predicted ordinate intercepts of 13 fΩm2 for Co/Ag and 136 fΩm2 for Co/AgSn (Reprinted with permission from [64]. Copyright (1993), AIP Publishing LLC)

100 ART(H0)−ART(HS) (fΩm2)

Fig. 7 ART(0) – ART(Hs)  AΔR = ARAP – ARP versus n for some of the data in Fig. 6. Circles are for Co/Ag; squares are for Co/AgSn (Reprinted from [15] with permission from Elsevier)

80 60 40 20 0 0

20

40

60

80

100

Bilayer No. n

Test 2CSR and VF Parameters by Predicting ADR for Co/Cu/Py/Cu with No Adjustment As noted above, it was initially not obvious how closely ARo in Fig. 1 approximated ARAP. This relationship was tested using [Co(3)/Cu(20)/Py(8)/Cu(20)]n hybrid spin valves, chosen because the difference in coercive fields of Co(3) (Hc  100 Oe) and

146

J. Bass

[ARt(H0){ARt(H0)−ARt(HS)}]

1/2

2

(fΩm )

80

11nm 10nm

60

40

Co/Ag(6/tAg) Co/Ag(6/6) Co/AgSn(4%) Co/AgMn(6%) Co/AgPt(6%)

20

0

0

20

40 Bilayer No. n

60

80

Fig. 8 Square root versus bilayer number n for a series of sputtered Co/Ag-based multilayers of fixed total thickness 720 nm, with “pure” Ag and dilute Ag-based alloys. Open circles are for [Co (6)/Ag(tAg)]n, with variable tAg to keep the total thickness constant at 720 nm as n varies. Filled circles are for [Co(6)/Ag(6)]n. As predicted by Eq. 3, these two very different sets of data for ART fall on the same straight line in the square root plot. Open squares are for [Co(6)/Ag4%Sn(tAgSn)]n which, as predicted by Eq. 4, also falls on the same line (until the AgSn layer thickness approaches the AgSn spin-diffusion length). Open triangles are for [Co(6)/Ag6%Mn(tAgMn)]n and filled diamonds are for [Co(6)/Ag6%Pt(tAgPt)]n, both of which have shorter spin-diffusion lengths than Ag or AgSn. The dashed line is fit to the open circles. The solid curve is a VF fit to the Co/AgMn = 11 nm. The broken curve is a VF fit to the Co/AgPt data with lAgPt = 10 nm data with lAgMn sf sf (Reprinted from [65] with permission from Elsevier)

Py(8) (Hc  20 Oe) is large enough to give well-defined AP states. Figure 5b [48] shows that this expectation is borne out. The first test involved predicting ARAP and ARP for these hybrid spin valves using parameters for both [Co/Cu]n and [Py/Cu]n multilayers derived from 2CSR model fits to the two sets of data, assuming ARo = ARAP. The predictions for ARAP and ARP were rather good [48], but the more challenging ones for AΔR were only fair (solid curves in Fig. 9 [48]). Soon afterward, however, it was discovered that lPy sf  5.5 nm [70] was too short for the 2CSR model to be valid for [Py/Cu]n multilayers. The [Py/Cu]n data were refit with VF theory using lPy sf  5.5 nm. The dashed curves in Fig. 9 [71] show that the resulting no-free-parameter predictions for [Co/Cu/Py/Cu]n are improved. These agreements were taken to jointly validate the VF model, the use of ARo = ARAP, and the separately derived value of lPy sf  5.5 nm.

4

CPP-GMR: Materials and Properties

147

Table 1 Selected alloy values of spin-diffusion lengths, lN sf (nm), from CPP-MR and conduction electron spin resonance (CESR). Also listed are the sample type (multilayer (ML) or spin valve (SV)) and alloy residual resistivity, ro Alloy Ag(4 %Sn) Ag(6 %Pt) Ag(6 %Mn) Cu(4 %Ge) Cu(6 %Pt) Cu(6 %Pt) Cu(7 %Mn) Cu(22.7 %Ni) Cu(22.7% Ni)

Tech. ML ML ML ML ML SV ML ML SV

lNsf (CPP-MR) 39 10 11 50 8 11  3 2.8 7.5 8.2  0.6

lNsf (CESR) [18, 66] 7 12 [18] 50 7 7 3  1.5 [18] 6.9 7.4

ρo(nΩm) 200  20 110  20 110  25 182  20 130  10 160  30 270  30 355 310  20

References [17, 67] [17] [17] [65, 67] [17] [19] [17] [68] Loloee R, Baker B, Pratt WP Jr, unpublished (2006)

Test of VF Theory for Ag(X) and Cu(X) Alloys with X = Pt, Mn, and Ni The last early test involved applying VF theory beyond the 2CSR model to data for Ag- and Cu-based alloys with impurities that give stronger spin relaxation and thus shorter values of lNsf. The impurities were Pt and Mn in Ag as in Fig. 8 [65] and Pt, Mn, and Ni in Cu as in refs. [18, 67–69]. VF theory gave values of lNsf for these alloys, which were compared with independent predictions for Pt and Ni from conduction electron spin-resonance (CESR) measurements of spin-orbit cross sections [66], and for Mn from calculations of spin relaxation by spin-spin interactions [18]. The curves in Fig. 8 show the VF fits to the data for Pt and Mn in Ag. Table 1 shows the good agreement between the resulting values of lNsf and the independent calculations. Further information about the CESR calculation is given in the Appendix. The positive results of the tests in sections “Tests of the 2CSR Model in [Co/Ag]n and [Co/AgSn]n Multilayers,” “Test 2CSR and VF Parameters by Predicting AΔR for Co/Cu/Py/Cu with No Adjustment,” and “Test of VF Theory for Ag(X) and Cu(X) Alloys with X = Pt, Mn, and Ni” were taken as evidence of the validity of the VF and 2CSR models for analyzing CPP-MR data under appropriate conditions.

Problems with 2CSR Model for “Separated” [Co/Ag]n and [Co/Cu]n Multilayers As explained in section “Determining AΔR or MR: Control of AP and P States,” a reliable AP state can be obtained by making a hybrid spin-valve multilayer with two different F-layer thicknesses. If the 2CSR model is applicable to its constituents,

148 16 [Co(3)/Cu(20)/Py(8)/Cu(20)]n

14

(fΩm2)

12 10 8 6 4 2 0

AΔR

Fig. 9 AΔR versus bilayer number n for sputtered [Co (3)/Cu(20)/Py(5 or 8)/Cu (20)]n hybrid spin-valve multilayers. Solid curves are predictions from Py multilayer data analyzed using the 2CSR model (i.e., assuming lPy sf = 1). Dashed curves are predictions from Py multilayer data analyzed using the VF model with lPy sf = 5.5 nm. Filled and open symbols indicate different sputtering runs. # [1997] IEEE. Reprinted, with permission, from [71]

J. Bass

18 16 14 12 10 8 6 4 2 0

[Co(3)/Cu(20)/Py(5)/Cu(20)]n

0

2

4

6

8

10

n

then AΔR should be the same for two different forms of such a multilayer, e.g., (a) “interleaved” = [Co(1)/Cu(20)/Co(6)/Cu(20)]n and (b) “separated” = [Co(1)/ Cu(20)]n[Co(6)/Cu(20)]n, since AΔR from the 2CSR model is independent of the ordering of the single-domain magnetizations of individual layers, so long as the overall magnetic order is P at large field and AP at an appropriate intermediate field. The solid symbols in Fig. 5c show AR(H) for such Co-based multilayers. Their AΔRs are very different. The 2CSR model works well for the interleaved sample, but does not work for the separated sample. The best available spin-diffusion length in Co (lCo sf  60 nm [22, 72]) is too long to explain the observed difference [73, 74]. The difference has been attributed to mean-free-path effects – including ballistic transport [73, 75, 76] – or to spin relaxation at the Co/Cu interfaces (i.e., to δCo/Cu) [20, 74]. For details, see Appendix C of [69]. While we favor interfacial spin relaxation, the references and discussion in Appendix C of [69] should let the reader form his/her own opinion.

Bulk CPP-MR Parameters: bF, lFsf, and lNsf The first sets of bulk and interface parameters were derived together for simple [Co/Ag]n and [Co/Cu]n multilayers assuming applicability of a 2CSR model – i.e., no spin relaxation. Subsequently, values of βF and lFsf for F-based alloys have also been estimated together using hybrid spin valves or EBSVs. Values of lNsf have

4

CPP-GMR: Materials and Properties

149

Table 2 bF at 4.2 K for dilute F-based alloys from DMR or CPP-MR with effects of finite lFsf F-host Ni Ni Ni Co

Impurity Fe Fe Cr Fe

βF (DMR) [8] +0.88  0.1 0.54  0.1 +0.85  0.1

βF(CPP-MR) +0.73  0.1 [78] +0.76  0.07 [79] 0.35  0.1 [80] +0.65  0.05 [81]

βF(Calc.) [77] +0.68 +0.68

been derived by inserting layers of N in the middle of Py-based EBSVs. In this section, we describe these techniques and present what we believe to be the most reliable values of bulk parameters. It is assumed that values of the other two bulk parameters, ρF and ρN, are measured separately on samples deposited in the same way as the multilayers, as discussed in section “CPP-MR Parameters.”

Bulk Anisotropy Parameter, bF As explained in section “CPP-MR Parameters,” values of βF are unique only for dilute alloys containing a known concentration of a known impurity. We therefore start with values of βF for such alloys and then turn to values for nominally “pure” metals.

bF for F-Alloys Table 2 [77–81] compares values of βF for some F-based alloys derived from CPP-MR measurements using the full VF theory, including finite values of lsf, with values derived from studies of deviations from Matthiessen’s rule [8] and with a recent no-free-parameter calculation for Py = Ni80Fe20[77]. The agreements of the CPP-MR results with both deviations from Matthiessen’s rule and the calculation are generally satisfactory. For more complete tables, including less reliable values derived from a 2CSR model neglecting finite lFsf, see Refs. [7, 82, 83]. As an example of determining the values of βF and lFsf in Table 2 for a specific alloy, we use a dilute Ni97Cr3 alloy (hereafter just NiCr) that has a negative βNiCr but a positive γNi/Cu. Combining NiCr in an N = Cu hybrid spin valve with Py, for which both βPy and γPy/Cu are positive, causes the CPP-MR to change sign as tNiCr is increased, thereby changing the dominant scattering associated with NiCr from positive when scattering from the NiCr/Cu interface dominates to negative when scattering from the NiCr bulk dominates. Three parameters – βNiCr, lNiCr sf , and the product γNiCr/Cu AR*NiCr/Cu – were fit with VF theory [80] to two independent sets of samples: (a) hybrid spin valves of the form [Py(7)/Cu(20)/NiCr(tNiCr)/Cu(20)]10 and (b) EBSVs of the form [FeMn(8)/NiCr(tNiCr)/Cu(20)/Py(6)]. All of the other parameters were fixed at previously measured values. The dashed and solid curves in Fig. 10 [80] are alternative fits to the hybrid SV data alone with the parameters listed. Combining the hybrid and EBSV results gave “best values” of βNiCr = 0.35  0.1, lNiCr = 3  1 nm, and γNiCr/Cu AR*Ni/Cr/Cu = 0.16  0.07 fΩm2. sf Table 2 shows that this βNiCr is comparable to the value βNiCr =  0.54+ 0.1 0.15 found

150

J. Bass

Fig. 10 AΔR versus NiCr thickness t for sputtered hybrid spin valves of the form Py/Cu/NiCr(t)/Cu. The solid and dashed curves are fits with different assumed parameters showing the range of uncertainty (Reprinted with permission from [80]. Copyright (1999), AIP Publishing LLC)

from DMR studies [8]. In contrast, the βNiCr = 0.13 0.01 [82] inferred from a fit with only a simple 2CSR model (i.e., assuming lNiCr = 1) is too small. sf

bF for Nominally Pure F-Metals Table 3 [4, 45, 71, 79, 84–88] compares values of βCo, βPy, and various other parameters for Co/Cu and Py/Cu interfaces derived in different laboratories using different techniques. Note that the Co layers have quite different residual resistivities (i.e., different nominal purities). The values of βCo are more similar than might have been expected from the cautionary remarks above. Values of βF for Fe [89] and for Ni [90] have been derived by too few groups to check for consistency. The parameters for Co/Cu given in Table 3 were derived with both superconducting leads and nanowires by applying a simple 2CSR model to sets of measurements of ARAP, ARP, AΔR, and CPP-MR on multilayers with different thicknesses and number of Co and Ag or Cu layers. For examples of the procedures used, see the references listed in Table 3. The studies with superconducting leads used Eqs. 1, 2, 4 and equivalent forms for [Co/N]n multilayers with different combinations of fixed and varied thicknesses of Co and N = Cu and different values of n. The studies with nanowires used equivalent equations for the CPP-MR, such as     ½AΔR=ARAP 1=2 ¼ ρF tF þ 2ARF=N = βF ρF tF þ γF=N 2ARF=N   þ ρN tN = βF ρF tF þ γF=N 2ARF=N ,

(5)

h i ARAP ¼ n ρF tF þ 2ARF=N þ ρN tN :

(6)

with

4

CPP-GMR: Materials and Properties

151

Table 3 Comparing parameters for Co/Cu and Py/Cu from different groups MSU and Leeds values found with Ro. Louvain-Orsay (LO) and Lausanne (Laus.) were found with RPk. Eindhoven (Eind.) were extrapolated from grooved samples MSU Sup. Lead, 4.2 K Parameter [4, 71, 79, 84] ρCu(nΩm) 6  1 ρ*Co 75  5 (nΩm) βCo 0.46  0.05

Leeds Sup. Leads 4.2 K [85] 13  3 30 6 0.48  0.04 0.71  0.02 0.86  0.08

LO Nanowires 77 K [87, 88] 31 180  20

Laus. Nanowires, 20 K [86] 13–33 510–570

Eind. Grooved, 4.2 K [45] 3.6 57

0.36  0.02

0.46  0.05

0.27

0.85  0.15

0.55  0.07

0.52

0.62.2

0.4

Calc. [77]

γCo/Cu

0.77  0.04

* 2ARCo/Cu (fΩm2) lCo sf (nm)

1.02  0.04 40

59  18

ρ*Py βPy γPy/Cu * 2ARPy/Cu Py lsf (nm)

291  90 0.76  0.07 0.7  0.1 1.00  0.08 5.5  1

263 0.8  0.1 0.8  0.1

0.68

4.3 1

5.5

Equation 5 had to be used instead of Eq. 4 because the effective area A of the parallel collection of an unknown number of nanowires was unknown. Equation 6 is included to make clear that the absence of superconducting leads, plus the several micron long nanowires with a large number n of repeats, together should allow neglect of the lead resistance. From Eq. 5, a plot of [AΔR/ARAP]1/2 versus tN for fixed tF should give a straight line, and the lines for different values of tF should all cross at a point with vertical coordinate equal to (1/βF). Figure 11 [88] shows an example of such behavior at 77 K with tF < lFsf and tN < lNsf.

Spin-Diffusion Lengths Values of lNsf and lFsf found by a variety of techniques, including CPP-MR, are collected in [69]. We describe here how CPP-MR measurements give lNsf and lFsf. As with βF above, lsf only has a unique value in an alloy with a known concentration of a single dominant scatterer. For convenience in using equations, we begin with lFsf.

152

J. Bass 10

1

RAP

I

RAP

6 4

RAP − RP

t Co = 8 nm RAP

− RP

8

4 (%)

2 0

25

t Co = 25 nm 0

20

40

60

80

100

120

140

160

t Cu (nm)

Fig. 11 1/(square root) versus tCu for nanowire Co/Cu multilayers with two fixed thicknesses of Co. From Eq. 5, the ordinate value at the crossing point of the two lines should equal 1/βCo (Reprinted from [88] with permission from Elsevier)

2.4 2.0 ADR (fWm2)

Fig. 12 AΔR versus tPy for sputtered symmetric Py-based EBSVs of the form FeMn(8)/ Py(tPy)/Cu(20)/Py(tPy). The solid curve is a fit with lPy sf = 5.5 nm. The dotted curve uses the same parameters, except with lPy sf = 1 (Reprinted from [70] with permission from Elsevier)

1.6 1.2 0.8 0.4 0.0

0

10

20 tPy (nm)

30

lFsf The first CPP-MR measurement of a short lFsf was made for F = Py. The analysis involved applying VF theory to the data in Fig. 12 [70] of AΔR versus Py thickness, tPy, for Py-based symmetric EBSVs (equal thicknesses of Py) of the form Nb/Cu/ FeMn(8)/Py(tPy)/Cu(20)/Py(tPy)/Cu/Nb. AΔR first increases approximately linearly with increasing tPy and then bends over and saturates at a constant value for Py tPy lPy sf . The solid curve is a numerical VF fit with lsf = 5.5 nm, and the complete set of other parameters given in [71]. For comparison, the dashed curve is the VF prediction for the same parameters, except with lPy sf = 1. Note that the

CPP-GMR: Materials and Properties 80 Co, T = 4K Fe, T = 4K

60

25

Co, T = 77K Co, T = 300K

40

20 (nm)

Fig. 13 Spin-diffusion length versus inverse residual resistivity, 1/ρF, for a series of sputtered F-metals and F-based alloys. The symbols are explained on the figure. CFAS = Co2FeSi0.5Al0.5. The line is a best fit, going through 0,0, to the data points in the main graph, but not including the one for CFAS (Data have been obtained from the following sources: In inset: Δ [22], ◊ [22], ● [72], ▼ [89]. In main figure: ♦ [90], ~ [80], ■ [81], ● [70], ▼ [92]). # IOP Publishing. Reproduced from [69] by permission of IOP Publishing. All rights reserved)

153

15

l Fsf

4

10

20 0 0

0.01

0.02

0.03

CFAS T = 4K Ni84 Fe 16 T = 4K Co91 Fe9 T = 4K

5

Ni97 Cr3 T = 4K Ni T = 4K

0 0

0.005 0.01 0.015 0.02 0.025 0.03 0.035 (ρ )−1 (nΩm)−1 F

solid curve first rises slightly above the dashed curve and then bends over and eventually becomes constant (saturates). These behaviors can be understood by considering the VF equation for AΔR in the limit tPy lPy sf = 5.5 nm: h i2     Py  AΔR ¼ 4 βPy ρPy lPy sf þ 2γPy=Cu ARPy=Cu = 2ρPy lsf þ 2ARPy=Cu þ ρCu tCu :

(7)

Comparing Eq. 7 with Eq. 2 shows that the numerators are almost the same, but the denominators are very different. The only change in the numerator is that tpy in Py Eq. 2 is replaced by lPy sf in Eq. 7. Once tPy becomes significantly larger than lsf , the numerator no longer increases with increasing tPy. In contrast, for the full EBSV, the denominator in Eq. 2 reduces in Eq. 7 to only the “active part” of the EBSV – i.e., the central part of the EBSV bounded by the distances lPy sf outside of each of the Py/Cu interfaces. The contributions to the denominator from the FeMn layer and the S/Py boundaries that appear in Eq. 2 have disappeared from Eq. 7. It is the elimination of these “outer resistances” that leads to the increase of the solid curve over the dashed curve in the region tPy  lPy sf = 5.5 nm. This elimination both simplifies the calculation of the constant AΔR in the long tPy limit and allows AΔR to grow larger than it would have if the denominator had remained the full ARAP. The short value of lPy sf derived in [70] using crossed Nb strips was confirmed by measurements on nanowires in [91] and by a no-free-parameter calculation [77]. For a given dilute alloy, both λ and lsf should be inversely proportional to the impurity concentration. In a free electron model, λ at 4.2 K is also inversely proportional to the residual resistivity, ρo. Thus, one should be able to at least roughly compare the magnitudes of spin relaxation in dilute alloys and nominally pure F-metals by plotting lsf versus (1/ρo). Figure 13 [69] shows such a plot for

154

J. Bass 2.5

CoFe

AΔR (fΩm2)

2.0 1.5

Co

1.0 0.5 0.0

0

10

20

30

40

50

CoFe (or Co) layer thickness (nm) Fig. 14 AΔR versus thickness tF for F = Co ( filled triangles for several sputtering runs) or F = Co91Fe9 = CoFe ( filled circles or squares are for two different sputtering runs). The solid = 12 nm. The dotted curve is VF curve through the CoFe data is a fit with βF = 0.66 and lCoFe sf = 1. The Co data are consistent with βF = 0.46 and lCo theory with βF = 0.66 and lCoFe sf sf  20 nm. The larger AΔR for CoFe is due mainly to the larger βCoFe (Reprinted from [81] with permission from Elsevier)

several F-metals and alloys [22, 70, 72, 80, 81, 89–92]. Most of the data fall close to a single straight line, with Co the most significant outlier. The first evidence that the spin-diffusion length in nominally pure Co, lCo sf  60 nm at 77 K and 40 nm at 300 K, might be unusually long was found using nanowires in [22, 88] and later confirmed with crossed Nb strips [72]. Figure 14 [81] compares the behaviors of AΔR versus tF for F = Co91Fe9 and Co at 4.2 K. In the growth of AΔR, the effect of CoFe longer lFsf (lCo  12 nm [81]) is outweighed by the effect sf 60 nm [22, 88] > lsf of larger βF (βCoFe  0.66 [81] > βCo  0.46 [4]).

lNsf for Alloys Two techniques have been used to find lNsf for alloys from CPP-MR measurements, both using crossed Nb strips. The first used simple [Co/AgX]n and [Cu/CuX]n multilayers, where X indicates a dilute impurity, and applied VF theory to deviations from Eq. 4 when the N = AgX or CuX layer thickness becomes longer than lNsf. The results are collected in [69], and examples are shown in Fig. 8. The second is described in section “lsfN for Nominally Pure Metals.” Table 1 shows that the derived values of lNsf from the two techniques agree to within mutual uncertainties and also agree well with either values calculated from conduction electron spinresonance (CESR) measurements of spin-flipping cross sections or calculated effects of spin-spin scattering. Supplementary Note #2 explains how different lengths are obtained and how they are to be compared.

CPP-GMR: Materials and Properties

Fig. 15 AΔR versus t for sputtered Py-based EBSVs with inserts of the N-metals Ag, V, Nb, W, the alloy Cu (6%Pt), and the antiferromagnet FeMn. The slopes of the lines at “large t” give the spin-diffusion = 11 nm, lAg lengths – lCuPt sf sf  40 nm, lVsf  40 nm, lNb sf = 25 nm, and lW sf  4.8 nm – and for FeMn/Cu an effective interface spin-flipping parameter δ  2.5. The best values of ARCu/N are the following (in fΩm2): ARCu/Ag = 0.044, ARCu/Nb = 1.1, ARCu/V = 1.15, and ARCu/W = 1.55 (Reprinted figure with permission from [19]. Copyright (2000) by the American Physical Society)

155 101

100

CuPt

Ag

AΔR(fOhm m2)

4

V

100

Nb

10−1

10−2 W FeMn

10−3

0

5

10 t(nm)

15

20

lNsf for Nominally Pure Metals Along with a variety of other methods [69], the second technique has been used to also find values of lNsf for nominally pure N-metals. It involves sandwiching the N-metal of interest in the middle of a Py-based EBSV of the form Nb(250)/Cu(10)/ FeMn(8)/Py(24)/Cu(10)/N(tN)/Cu(10)/Py(24)/Cu(10)/Nb(250), measuring AΔR as a function of the N-layer thickness, tN, and analyzing the data using the theory of VF. The published values of lNsf are collected in [69]. Figure 15 [19] shows examples of such data as plots of log (AΔR) versus tN, with the resulting values of lNsf given in the caption. To explain these data, Eq. 5 must be generalized to include the effects of spin relaxation associated with inserting the N-layer and its two N/Cu interfaces. The data for CuPt = Cu(6%Pt) were taken to compare with the values found for CuPt by the first technique described just above. Overlap of the new value, lCuPt = sf 11  3 nm, and the older value of lCuPt  8 nm from Ref. [17] tends to validate both sf techniques. Even if there is no spin relaxation within N, inserting a thickness tN of N into the middle of the central Cu layer of a Py-based EBSV adds to the denominator of Eq. 7 two terms: one from the bulk of N, ρNtN, and one from the two N/Cu interfaces. Sputtered samples typically have interfaces that intermix over 3–4 monolayers (ML) – equivalent to 0.6–0.9 nm [23]. The initial rapid decreases of AΔR in Fig. 15 with increasing tN are probably due primarily to formation of the interfaces

156

J. Bass

and to spin relaxation at them. Support for this argument comes from (a) the absence of any such decrease for CuPt, where a significant “interface” is not expected between Cu and Cu(6%Pt); (b) the near absence of any decrease for Ag, since the Cu/Ag interface resistance is very small; and (c) the largest decrease being for W, where both ARCu/W and any spin relaxation at the Cu/W interface (see section “Spin Relaxation at N1/N2, F/N, and F1/F2 Interfaces: δN1/N2, δF/N, and δF1/F2” below) are largest. The values of ARCu/N are given in the caption to Fig. 15. Once the two interfaces are fully formed and the denominator of Eq. 5 has been increased by ρNtN + 2ARCu/N, the denominator stays constant, and the additional logarithmic decrease in AΔR with increasing tN is attributed to spin relaxation within N, i.e., to finite lNsf, as explained in [19]. The dotted and dashed curves in Fig. 15 are VF theory fits to the data with the values of lNsf given in the caption. The decrease in AΔR with increasing layer thickness of the disordered sputtered antiferromagnet (AF) FeMn is so fast that it was attributed to strong spin relaxation at the FeMn/Cu interface [19]. Similar behavior was recently reported for the disordered sputtered AF IrMn [93], along with evidence that spin relaxation in the bulk of these AFs is probably also strong.

Interface Parameters: g F/N, 2AR*F/N, 2ARN1/N2, 2ARS/F, and d * We first discuss γ F/N and 2ARF/N , then 2ARN1/N2, and finally δN1/N2, δF/N, and δF1/F2. * An extensive table of values of γ F/N is given in Ref. [7]. Tables of 2ARF/N and 2ARN1/N2 are given in Refs. [7] and [94].

Interface Anisotropy Parameter, g F/N, and Enhanced Specific Resistance, 2AR*F/N * The parameters 2ARF/N and γ F/N are usually determined together by fits to multilayer or EBSV data. Equations 2 and 5 indicate that the interfacial quantity that best * determines AΔR is their product γ F/N 2ARF/N . In Table 4 [4, 25, 71, 79, 89, 90, * * 95–97], we list values of γ F/N, 2ARF/N, and γ F/N 2ARF/N for a selection of F/N pairs to show how the three quantities vary. A more complete list of values of γ F/N is * given in Ref. [7]. To focus on the differences in magnitude of γ F/N 2ARF/N , we round its values in Table 4 to one significant figure. The largest values are all of order unity. For a dilute F-alloy, we expect similar values to those for the host F-metal, since the interface consists mainly of the F- and N-atoms. The only direct test so far gave close agreement for Co and Co90Fe10 [98]. Comparing values of γ F/N and * 2ARF/N for Co/Cu and Py/Cu in Table 3 from different laboratories shows reasonable agreement for γ Co/Cu with superconducting leads and one nanowire result, but not so good for the other nanowire result or with grooved substrates. Table 5 * [4, 23, 58–62, 95, 99–101] shows that the values of 2ARF/N for the lattice-matched F/N pairs Co/Cu and Fe/Cr, and the F1/F2 pair Co/Ni, derived with superconducting leads agree reasonably well with no-free-parameter calculations.

4

CPP-GMR: Materials and Properties

157

Table 4 Selected examples of the product gF/N2AR*F/N and its constituents gF/N and 2AR*F/N. The values of gF/N2AR*F/N are rounded to 1 significant figure. All derivations neglect interface spin flips γF/N, 0.87 0.85 0.7; 0.59 0.55 0.7 0.3 0.025 0.1 0.05

Metal pair Co/Cu Co/Ag Fe/Cr Fe/Cu Py/Cu Ni/Cu Py/Al Co90Fe10/Al Fe/Al

γF/N2AR*F/N(fΩm2) 0.9 [4] 0.9 [25] 1 [95, 96] 1 [89] 0.7 [71, 79] 0.1 [90] 0.2 [97] 1 [97] 0.4 [97]

2AR*F/N(fΩm2) 1.0 1.1 1.6 1.5 1.0 0.36 8.5 10.6 8.4

Table 5 2ARN1/N2 or 2AR*F/N and gF/N for lattice-matched and some mismatched pairs at 4.2 K. Values are rounded to significant figures. Units for 2AR are fOm2. Orientations = (111) for fcc and (011) for bcc. Calculations are for perfect (flat) interfaces with no mixing or for 2ML of a 50–50 % alloy. Da/a(%) is the % difference in lattice parameters for the two metals Δa/a Metals (%) Matched pairs Ag/Au 0.2

2AR (exp)

2AR (perf.)

2AR (50–50)

0.1 [23]

Co/Cu*

1.0 [4]

0.09 [58, 60] 0.9 [58, 60] 1.7 [62] 0.4 [62] 1.1 [62] 0.4 [99]

0.13 [58, 60] 1.1 [58, 60] 1.5 [62] 0.4 [62] 1.1 [62] 0.4 [99]

0.45 [100] 0.45 [100] 1.5 [100]

0.6 [100] 0.7 [100] 1.6 [100]

1.8

Fe/Cr* 0.4 Pd/Pt 0.8 Pd/Ir 1.3 Co/Ni 0.6 Mismatched pairs Ag/Cu 12

1.6 [95] 0.3 [61] 1.0 [62] 0.5 [99] 0.09 [23]

Au/Cu

12

0.3 [23]

Pd/Cu

7

0.9 [100, 101]

γ(exp)

0.8 [4] 0.7 [95]

0.94 [99]

γ(perf.)

γ(50–50)

0.6 [58, 59]

0.6 [58]

0.5 [58, 59]

0.3 [58]

0.96 [99]

0.96 [99]

The measurements and calculations of γ Co/Cu and γ Co/Ni agree fairly well, but the calculated values for γ Fe/Cr are a bit small. For γ Py/Cu, agreement was found between superconducting leads and the same nanowire group as for γ Co/Cu. Two studies have looked for effects of changing interface physical roughness on CPP-MR, both with Fe/Cr. One reported an increase with increasing roughness [32]. The other reported an apparent slight decrease [95]. Table 5 shows that the value of 2AR*Fe/Cr derived in the second study agrees well with no-free-parameter calculations that take no account of physical roughness.

158

J. Bass

2ARN1/N2 Values of the interface specific resistances of nonmagnetic/nonmagnetic (N1/N2) interfaces are determined using two different techniques. So far, all have been measured using superconducting crossed strips. Method #1. The first method involves a multilayer with fixed total thickness tT that is divided into n equal thickness bilayers of N1 and N2 [23]. Since tT stays fixed, the total thicknesses of N1 and N2 also stay fixed at tT/2, and increasing n simply increases the number of N1/N2 interfaces. To eliminate any proximity effect from the superconducting Nb on N1 and N2, the [N1/N2]n multilayer is sandwiched between 10 nm thick Co layers, giving Nb/Co(10 nm)/[N1(tT/2n)/N2 (tT/2n)]n/Co(10 nm)/Nb. With values of tT = 360 nm or 540 nm, the two Co layers are so far apart that any magnetoresistance is negligible, as is checked by confirming no change in total AR (ART) with H for 500  H  +500 Oe. So long as the N1 and N2 layers are thicker than the thickness of the N1/N2 interface, the total sample specific resistance should be approximately ART ¼ ARAP ¼ 2ARS=Co þ ρCo ð20Þ þ ARCo=N1 þ ARCo=N2 þ ðρN1 þ ρN2 ÞðtT =2Þ  ARN1=N2 þ 2nARN1=N2 :

(8)

A plot of ART versus n should then give a straight line up to where n becomes large enough that the N1/N2 interfaces begin to overlap. For still larger n, the data should level off at a constant value corresponding to the AR expected for a 50–50 % alloy of N1 and N2. Figure 16 [23] shows such plots for Ag/Au, Ag/Cu, and Au/Cu multilayers, with the resulting values of 2ARN1/N2 given in the figure caption. Reference [23] shows that the ordinate intercepts are consistent, to within mutual uncertainties, with the sums of the separately determined terms independent of n. The intersections of the extrapolated slopes and the “constant” limits of large n give estimates of the interface thicknesses, which correspond to 0.6 nm for Ag/Au, 0.9 nm for Ag/Cu, and 1.2 nm for Au/Cu. These values are similar to, but larger than, the thicknesses estimated from X-ray measurements [23]. Method #2. The second method involves inserting a [N1(3)/N2(3)]n multilayer into the middle of a Py-based EBSV, giving Nb(250)/Cu(10)/FeMn(8)/Py(24)/Cu (10)[N1(3)/N2(3)]nCu(10)/Py(24)/Cu(10)/Nb(250). Here, the Py layers are close enough to give a CPP-MR. The advantage of this technique is that measuring AΔR versus n allows determination of δN1/N2, the spin-relaxation parameter for an N1/N2 interface. The disadvantages for determining 2ARN1/N2 are that the “constant background” is larger compared to the signal of interest and that corrections must be made for spin relaxation within the N1 and N2 layers. Figure 17a [19] shows examples of AR versus n for a series of Cu/N multilayers with N = Ag, V, Nb, and W. The values of AR in fΩm2 (after corrections for the bulk contributions)

CPP-GMR: Materials and Properties

Fig. 16 ART versus bilayer number n for sputtered tT = 360 nm (open symbols) or 540 nm ( filled symbols) thick [N1/N2]n multilayers of N1/N2 = Au/Cu, Ag/Au, or Ag/Cu with equal thicknesses of N1 and N2. Diamonds are for samples sputtered at standard rates and squares at half rates. The data should grow linearly until the layer thickness becomes less than the interface thickness, and the slope of the linear region should give 2ARN1/N2. The best fit values are as follows: 2ARAg/Cu = 0.09 fΩm2, 2ARAg/Au = 0.1 fΩm2, and 2ARAu/Cu = 0.3 fΩm2. The solid lines are for “square” interface profiles. The dashed lines are for “linear” interface profiles (Reprinted figure with permission from [23]. Copyright (1996) by the American Physical Society)

159 100 80 60 40

Au/Cu

20 0 100

ART (fWm2)

4

80 60 40

Ag/Au

20 0 100 80

Ag/Cu

60 40 20 0

0

100

200

300

400

500

Bilayer No. n

are given in the caption to Fig. 15. A more extensive list of values of 2AR is given in [94]. Table 5 shows that for lattice-matched pairs, no-free-parameter calculations agree with measured values of 2ARN1/N2, whereas for non-lattice-matched pairs, the calculations and measurements disagree.

2ARS/F Values of the interface specific resistances, 2ARS/F, of superconducting/ferromagnetic (S/F) interfaces, determined from the ordinate intercepts of data such as those in Fig. 3, are collected in Table 6 [16, 24, 50, 71, 79, 81, 89, 95, 99]. Intriguingly, almost all of the values for S = Nb determined in this way are very similar, ranging only from 4.8 to 7.5 fΩm2 with uncertainties that almost all overlap with 6  1 fΩm2. These values are not sensitive to deposition of 5–10 nm of Ag or Cu between the Nb and the F-layer [16, 102], but are slightly sensitive to deposition of

160

b

10

AR(fΩm2)

101 [Cu/Ag]N

[Cu/W]N

80

100 [Cu/Nb]N

60

[Cu/V]N 40

20

AΔR(fΩm2)

a

J. Bass

IsfCu/V= ∞

10−1

10−2

[Cu/V]N

[Cu/Ag]N 0

0

5

10 n

15

20

[Cu/W]N 10−3

0

5

[Cu/Nb]N 10 n

15

20

Fig. 17 (a) ARAP versus bilayer number n for [N1/N2]n multilayers sandwiched between Py layers in a Py-based exchange-biased spin valve. The slopes of the lines give 2ARN1/N2, after correction for the resistivities of N1 and N2. (b) AΔR versus n for the same samples. The slopes of these lines give δN1/N2 after correcting for lsf in the bulk metals. The best values of the parameters derived from Fig. 17b are the following: δCu/Ag = 0, δCu/V = 0.07, δCu/Nb = 0.19, and δCu/ W = 0.96 (Reprinted figure with permission from [19]. Copyright (2000) by the American Physical Society)

Table 6 2ARF/S and superconductor resistivity, rS, above Tc. We view the values in italics as less reliable F-metal Co Co Ni Ni Fe Fe Co91Fe9 Py = Ni84Fe16 Py’ = Ni66Fe13Co21 Co FeMn NiCr Cu W

S-metal Nb Nb Nb Nb Nb Nb Nb Nb Nb NbTi Nb Nb Pb(Bi) In(Pb)

2ARS/F (1015 Ωm2) 6.1  0.3 [16] 6  1 [25] 4.8  0.6 [16] 5  1 [99] 7.2  0.5 [89] 6  1 [95] 7  1 [81] 6  1.6 [71] 7.5  1 [79] 12.4  0.7 [16] 2.0  1.2 [71] 15  4 [16] 3.5 [16] 7 [16]

ρS (108 Ωm) ~6 ~6 ~6 ~6 ~6 ~6 ~6 ~6 ~57 ~6 ~6 ~5 ~2

Au or Ru [102]. Satisfactory quantitative explanations for these results do not yet exist. Included in Table 6 are a larger 2ARS/F for S = NbTi with a much larger residual resistivity than that of Nb and three values determined by other techniques that we view as less reliable.

4

CPP-GMR: Materials and Properties

Table 7 dF/N and dF1yF2

Metal pair Co/Cu Co/Ni Co90Fe10/Cu Co/Ru

161 Δa/a (%) 1.8 0.05 1.8 7

δF/N or δF1/F2 0.33+ 0.03 0.08 [20] 0.35  0.05 [99] 0.19  0.04 [98] 0.34+ 0.04 0.02 [103]

Spin Relaxation at N1/N2, F/N, and F1/F2 Interfaces: dN1/N2, dF/N, and dF1/F2 Until recently, spin relaxation at F/N interfaces has been neglected in CPP-MR analyses. For simple [F/N]n multilayers with large n, such neglect is appropriate, as VF analysis shows that adding δF/N  0.2–0.3 typically changes ARAP, ARP, and AΔR by only a few percent. In contrast, adding such values of δF/N to EBSVs tends to have a larger effect, as we will discuss below. A technique for measuring δN1/N2 was developed and applied back in 2000 [19]. In contrast, a technique to specifically measure δF/N or δF1/F2 was developed only recently [20], and Table 7 [20, 98, 99, 103] gives a collection of such values.

dN1/N2 As noted in section “2ARN1/N2,” method #2 for finding 2ARN1/N2, involving insertion of an [N1/N2]n multilayer into the middle of a Py/Cu/Py EBSV, also allows determination of δN1/N2 by measuring how AΔR varies with n. Fig. 17b [19] shows examples of such data for Cu/N pairs. The slope of the exponential decay with increasing n gives the sum of three contributions: slope =  (tN1/lN1 sf + tN2/ lN2 + 2δ ), where the factor of 2 is for two N1/N2 interfaces for each N1/N2 sf N1/N2 pair. The figure caption gives the values of δN1/N2 for the Cu/N pairs shown. Reference [69] lists the pairs published so far. dF/N and dF1/F2 A nonmagnetic [N1/N2]n multilayer inserted into the middle of an EBSV does not perturb the magnetic structure and behavior of the EBSV, but just scatters (and flips the moments of) the electrons transiting from the pinned F-layer to the free one. In contrast, inserting a magnetic [F/N]nF or [F1/F2]nF1 multilayer into the middle of an EBSV changes the magnetic structure. A technique that changes this structure in a controlled way to allow derivation of δF/N or δF1/F2 is described in Ref. [20]. The technique requires producing an [F/N]nF or [F1/F2]nF1 multilayer with the F-layers ferromagnetically coupled so that the multilayer reverses as a single unit. This multilayer is then embedded in the middle of a symmetric, Py-based double exchange-biased spin valve (DEBSV), giving something close to two EBSVs in series. The symmetric DEBSV gives approximately twice the signal of a single EBSV. For the [F/N]nF multilayer, the thickness of N is chosen to give ferromagnetic coupling. For the [F1/F2]n multilayer, exchange coupling should be ferromagnetic. In the studies so far, F and N, or F1 and F2, and their thicknesses, have been chosen so that spin relaxation (spin diffusion) in the bulk is weak enough to

162

J. Bass

Fig. 18 AΔR versus n for Py-based double exchange-biased spin valves (DEBSVs) with ferromagnetically coupled inserts of [Co(3)/Cu(tCu)]nCo(3). Filled circles are tCu = 1.3 nm and open squares are tCu = 1.5 nm. The solid curve is the best fit with the parameters given in the original paper and the value of δ = 0.33 (see Table 7). The dashed curve is calculated with the same parameters but assuming δ = 0 (Reprinted with permission from [20]. Copyright (2010), AIP Publishing LLC)

allow isolation of the contribution of spin relaxation from the interfaces. δF/N or δF1/ F2 is found by measuring AΔR versus n, as illustrated in Fig. 18 [20] for [Co(3)/Cu (1.3 or 1.5)]n/Co(3). The dashed curve shows the behavior of AΔR expected for δCo/Cu = 0. The solid curve is a best fit to the data with δCo/Cu = 0.33. Published values of δF/N and δF1/F2 are given in Table 7. The main caveat is that most rely on the assumption (based on experiment [22, 72]) of a long spin-diffusion length in Co (lCo sf  60 nm at 4.2 K). As yet, understanding of δ is minimal. We do not know if δN1/N2, δF/N,, or δF1/F2 6¼ 0 can occur for perfect interfaces due to differences in spin-orbit parameters of the two metals or if they require alloyed interfaces. For δF/N and δF1/F2, magnetic disorder at the interface may be an additional contributor [104].

Work Toward CPP-MR Devices Present tunneling magnetoresistance (TMR) (500 Gb/in2) read heads have resistances 500 Ω and TMR  50–100 % with values of AR extending to below 1 Ω(μm)2 and smallest dimensions 50 nm. Typically, TMR devices with smaller values of AR have reduced TMR. Metallic CPP-MR devices offer the potentials of lower AR and lower Johnson noise [105], but not yet large enough CPP-MRs in devices with all the required characteristics to supplant TMR devices in commercial read heads. Figure 19 [106] compares calculated head-amp signal-to-noise ratio

CPP-GMR: Materials and Properties

Fig. 19 Calculated headamp signal-to-noise ratio (SNR) for TMR, current screen = NOL, and all-metal read heads with the MR% and with AR in units of Ω(μm)2 = 103 fΩm2. # [2008] IEEE. Reprinted, with permission, from [106]

163

Current Screen (MR=20%, RA=0.25)

40 Head-Amp SNR (dB)

4

30

TMR (MR=50%,RA=1.0)

20 All Metal (MR=10%,RA=0.04) 10

0

0

40 80 Sensor Width (nm)

120

(SNR) versus sensor width of a TMR head with assumed MR = 50 % and AR = 1 Ω(μm)2, a “current-screened” = current-confined-path (CCP) multilayer with assumed MR = 20 % and AR = 0.25 Ω(μm)2, and an all-metal CPP-MR multilayer with assumed AR = 0.04 Ω(μm)2 and MR = 10 %. In that case, an all-metal multilayer would be preferred for sensor widths below  35 nm.

F-Layer Lamination Table 3 shows that γ Co/Cu > βCo and 2AR*Co/Cu > ρ*CotCo for tCo  12 nm. Thus, laminating 3 nm or 6 nm thick Co layers into n ferromagnetically coupled thinner Co/Cu bilayers, by inserting n thin (0.5 nm) Cu layers into the Co, should increase both AΔR and ARP, without greatly increasing the multilayer thickness. Eid et al. [107] and Delille et al. [108] both found such lamination to increase both AΔR (by as much as 100 %) and ARP, but by increasingly less than predicted as n grew. Eid et al. [107] tentatively attributed the weakened increase with n to spin relaxation at the Co/Cu interface (see section “Spin Relaxation at N1/N2, F/N, and F1/F2 Interfaces: δN1/N2, δF/N, and δF1/F2”). Room temperature values of AΔR  0.005 Ω(μm)2 with AR  0.13 Ω(μm)2 were reported upon inserting half-ML Cu “layers” into Co50Fe50 layers, although the results were not attributed to lamination [109].

Current-Confined Paths (CCP) via Nano-oxide Layers (NOL) As oxidizing the surfaces of CIP multilayers to get specular reflection increases the CIP-MR [110], Nagasaka et al. [111] reasoned that oxidizing within the layers of a CPP-MR sample might give specular reflection and increase the CPP-MR. Indeed, they found such oxidation to increase the CPP-MR, but further work showed that

164

J. Bass

source was not specular reflection. Rather, the best results came from inserting a thin layer of Al2O3 within the Cu spacer to give an insulating nano-oxide layer (NOL) with small conducting channels that give current-confined paths (CCP). Such CCPs have been reported to give both larger ARP and larger AΔR [106, 112, 113]. Figure 19 [106] compares calculated values of signal-to-noise ratios (SNR) for such a CCP device, with TMR and all metallic CPP-MR devices with plausible assumed values of AR and MR%. Hydrogen ion treatment (HIT) increased the CPP-MR of a CCP-based multilayer to about 25 % for AR  500 fΩm2 [114]. To be competitive for devices, both the size and distribution of channels would have to be well controlled in nm-scale pillars, control not yet demonstrated.

F-Alloys or Compounds to Give Large-Room-Temperature CPP-MR Figure 19 shows that an all-metal CPP-MR device with low AR  40 fΩm2 could be favored for ultrahigh-density recording (track dimension  35 nm) if a CPP-MR  10 % can be reproducibly achieved in a multilayer subject to such a size constraint. Great effort has been expended to try to find multilayers with F-metals, alloys, or compounds to meet this need. To do so requires F- and N-layers with βF and/or γF closer to 1. In principle, a perfect half-metallic F-layer of compounds such as Heusler alloys, where only electrons of one moment direction can propagate, should give βF = 1. So far, no one has yet fabricated F/N CPP-MR multilayers with βF or γF very near 1. An early Heusler alloy study gave only a modest CPP-MR [115]. Later studies of Heusler alloys and other compounds with various spacer metals have given values of AΔR, CPP-MR, and AR close enough to the requirements that CPP-MR looks to be a serious competitor for nextgeneration read heads. Included are reports of room temperature values of AΔR from 5 to 10 fΩm2, CPP-MRs from 11 % to 42 %, and ARs from 20 to 200 fΩm2 [116–129]. Still to be fully addressed are spin-torque-induced excitations and noise in such multilayers [127–129].

Magnetothermoelectricity and Thermal Conductance Early studies [35, 46, 47] showed that magnetothermoelectric power data for F/N multilayers in the CPP geometry behaved similarly to CPP-MR data, with modestly larger fractional changes with H. Data on more complex phenomena, such as a spindependent Peltier effect [35], including when both heat and charge currents are flowing [35], as well as effects involving noncollinear spin orientations [130], have been reported. Figure 20 [35] compares CPP-MR, CPP-magnetothermopower (MTP), and an ac CPP magneto-thermalgalvanic voltage (MTGV) signal detected with both an ac applied temperature difference and a constant 200 μA current through the sample. The larger MTGV signal is attributed to the Peltier effect, with spin dependence of the Peltier coefficient [35]. Most of these phenomena are

CPP-GMR: Materials and Properties

165

a

19%

c

−50% −130

R [Ω]

240 −140 220

−150

200

−160

−4.0

b

−30%

−170 −180

−4.5

−190

−5.0 −5.5

VAC [μV]

Fig. 20 (a) CPP-MR, (b) CPP-MTEP, and (c) CPP-MTGV curves at 15 K. The ac MTGV data were measured under a DC current of -200 μA. Full (open) symbols indicate field sweep up (down) (Reprinted figure with permission from [35]. Copyright (2006) by the American Physical Society)

TEP [μV]

4

−200 −6 −3 0 3 6

−6 −4 −2 0 2 4 6 8

Magnetic Field [kG]

still under theoretical study [131, 132]; see articles in the Spin Caloritronics issue of Solid State Comm. [133]. An additional quantitative CPP-MR result is that the thermal conductances of sputtered Pd/Ir interfaces at temperatures from 78 to 295 K were found [134] to agree with the Wiedemann-Franz law to within 10 %, assuming a temperatureindependent interface specific resistance for the same samples at 4.2 K [62].

Summary It has been shown that most (perhaps all) CPP-MR data can be interpreted consistently using Valet-Fert (VF) theory and its parameters, based upon assuming dominance of diffuse scattering. Especially important results include the following, mostly at T = 4.2 K. The few studies of temperature dependences suggest that the * temperature variations of βF, γ F/N, and 2ARF/N are usually modest ( 10–20 %) [22, 45, 92, 122]. (1) Data for a variety of different multilayers are consistent with the forms predicted by VF theory and, when spin relaxation is very weak, with its two-current series-resistor (2CSR) model limit. (2) The most carefully derived CPP-MR values of the bulk asymmetry parameter, βF, for F-based alloys are consistent with those derived earlier from deviations from Matthiessen’s rule studies. (3) CPP-MR spin-diffusion lengths in well-characterized N-alloys agree with those derived from independent electron spin-resonance measurements of spin-orbit coupling. (4) Spin-diffusion lengths in the F-alloy Py measured independently by different groups mostly agree, and the best values agree with one calculated with no adjustment. (5) Most spin-diffusion lengths in F-alloys measured so far fall close to a single line characterizing a linear relation between the spindiffusion lengths and the mean free paths (inverses of residual resistivities). (6) Spin relaxation at the interfaces of sputtered antiferromagnets FeMn and IrMn with Cu is

166

J. Bass

strong, and the spin-diffusion lengths in sputtered bulk FeMn and IrMn are probably short. (7) The values of interface specific resistances, 2AR or 2AR*, for latticematched metal pairs agree well with no-free-parameter calculations and are often not sensitive to interface intermixing. (8) The few published calculations of the interface asymmetry parameter, γ F/N or γ F1/F2, are roughly consistent with measured values. (9) Nonzero values have been reported for interface spin-relaxation parameters, δN1/N2, δF/N, and δF1/F2. (10) Significant magnetothermoelectric effects have been seen in the CPP geometry. (11) Recent progress in enhancing CPP-MR with new ferromagnetic alloys or compounds, and by techniques such as currentconfined paths (CCP), gives promise that metallic CPP-MR might supplant tunneling MR (TMR) in commercial read heads as bit density increases. Phenomena still to be understood include nonzero values of δ at various interfaces, the observed values of 2ARS/F, and magnetothermoelectric effects. Also not yet clear are the effects of physical roughness (as distinct from intermixing) upon interface properties. Further work on materials is needed to make CPP-MR still larger. Finally, it would be interesting to make samples free enough from disorder to give clear evidence for ballistic transport in CPP-MR. Acknowledgments Some of the research described in this review received funding from the US-NSF Division of Materials Research (DMR), from Seagate Inc., and from the Korean Institute of Science and Technology (KIST).

Appendix Supplementary Note #1: Contact Resistances and Nonuniform Current Flow in Micro- and Nanopillars Measurements of ARAP, ARP, AΔR, and CPP-MR on micro- or nanopillars are subject to two types of errors: (1) contact resistance, Rc, and (2) nonuniform current flow through the pillar. Here, the sources of these errors are explained and closedform approximations sufficient to estimate the sizes of both effects are given. Consider the usual geometry of a cylindrical pillar of small radius r sandwiched between two much wider (W >> r) and longer (L >> r) thin contact films. The pillar has area A = πr2, length ‘, and average resistivity ρA, giving specific resistance ARo = ρA ‘. (ARo can be ARAP or ARP.) The top and bottom contact films each have the same resistivity ρt = ρb and the same thickness tt = tb, thus giving a total sheet resistance Rs = 2ρt/tt. The current and voltage contacts are located L/2 > > r away from the pillar. (Note: An alternative geometry is described in [33, 135]). 1. Current crowding. For the chosen geometry, Ref. [136] gives an approximate analytical expression Rc  0.1Rsln(W/r). With values of W  100 μm and r  10 μm, Rc will be comparable to Rs. Since this Rc is the same for ARAP and ARP,

4

CPP-GMR: Materials and Properties

167

it will not affect AΔR, but will reduce the CPP-MR. Because the “ln” term varies pffiffiffi weakly with (1/r) / (1/ A ), for a small enough range of 1/A, a plot of the measured ARm versus 1/A might approximate a straight line with slope ARP or ARAP [109]. 2. Nonuniform current. Qualitatively, in the chosen geometry, current flows into the top of the pillar uniformly across its circumference and then flows from the top to the bottom of the pillar uniformly if Rs is sufficiently small, but only in an annulus of approximate thickness λ (defined below) if Rs is large. In the latter case, the current density just inside the radius r increases as λ decreases, and both ARm and AΔRm increase as we now describe. Reference [33] used a two-dimensional analysis to derive an approximate expression for the measured ARm = C(x/2)Io(x)/I1(x), where Io and I1 are modified Bessel functions of zeroth and 1st order. x, which determines the nonuniformity of the current pffiffiffiffiffiffiffiffiffiffiffiffiffiffi through the pillar, is given by x = r/λ, where λ = ðC=Rs Þ and C = [(1/2) (2ρttt) + ρA ‘] = ρttt + ARo. As noted above, the length λ determines the “thickness” of the current flow down through the pillar. We give three examples of how ARm varies with x. As x ! 0 (λ ! 1), Io(x) ! 1, I1(x) ! x/2, and ARm pffiffiffi ! C. As x ! 1 (λ ! 0), Io(x)/I1(x) ! 1 and ARm ! Cx/2 which grows as A. For x = 1, Io(1)/I1(1) = 2.24, and ARm = 1.12C. Note that C is larger than the desired ARo by ρttt = Rs(tt)2, and thus ARm must be corrected if Rs(tt)2 is not E(Γ8). For d > dc (i.e., for a thick HgTe layer), the well is in the inverted regime, where HgTe dominates and E(Γ6) < E(Γ8). As the thickness of the well varies, the E1 and H1 bands therefore cross at some dc, and the energy gap at d = dc vanishes. In 2007, Ko¨nig et al. provided experimental evidence of QSHE. HgTe/(Hg,Cd) Te QW structures were fabricated with low density and high mobility in which the carrier conduction from n-type to p-type can be tuned, through an external gate voltage, passing through an insulating regime. Thin quantum wells with well width d < 6.3 nm (sample I) has a large resistance in the gap at low temperature as shown in Fig. 5 [17]. Samples II–IV are thicker wells (d > 6.3 nm) in the inverted regime. Samples III and IV exhibit a conductance 2e2/h associated with the top and bottom edges, with same length L = 1 μm but different widths w = 0.5 and 1 μm, indicating that transport is indeed at the edge. Sample II (L = 20 μm) showed finite temperature scattering effects. These experiments convincingly demonstrate the existence of the edge states of the quantum spin Hall insulator [3]. Moreover, the residual conductance 2e2/h can be destroyed by a small external magnetic field perpendicular to the 2DEG plane [17]. Figure 6 shows that the

438

1.5

1.5 HgTe

CdTe

1.0

Γ6

1.0

0.5

0.5

E (eV)

Γ8 0

−0.5 −1.0

0

Γ6

Γ8

Γ7

E (eV)

a

K.L. Wang et al.

−0.5 −1.0

Γ7 −1.5 −1.0

0 k(nm−1)

1.0 −1.0

0

−1.5 1.0

k(nm−1)

b

Fig. 4 (a) Bulk energy bands of HgTe and CdTe near the Γ point. (b) The CdTe/HgTe/CdTe quantum well in the normal regime E1 > H1 with d < dc and in the inverted regime H1 > E1 with d > dc (Adapted from Ref. [19])

magnetoresistance is strongly anisotropic. A very sharp conductance peak is observed for perpendicular field, with the full width at half maximum (FWHM) B⊥FWHM  28 mT. The peak broadens strongly when the magnetic field is tilted into the QW plane. For fully in-plane fields, the QSH conductance can be observed over a much wider magnetic field range (B||FWHM  0.7 T) [17]. Due to the magnetic field, the time-reversal symmetry is broken and thus turns on a gap between the two helical edge states.

QSHE in Other Material Systems The search for large-gap quantum spin Hall (QSH) insulators and effective approaches to tune QSH states never stops for both fundamental and practical interests. In 2013, Du group experimentally realized the QSHE in an inverted

12

Spintronics of Topological Insulators

439

Fig. 5 The longitudinal four-terminal resistance, R14,23, of various normal (d = 5.5 nm) (I) and inverted (d = 7.3 nm) (II, III, and IV) QW structures as a function of the gate voltage measured for B = 0 T at T = 30 mK. The device sizes are (20.0  13.3) μm2 for devices I and II, (1.0  1.0) μm2 for device III, and (1.0  0.5) μm2 for device IV. The inset shows R14,23 as a function of Vg of two samples from the same wafer, having the same device size (III) at 30 mK (green) and 1.8 K (black) on a linear scale (Adapted from Ref. [17])

Fig. 6 Four-terminal magnetoconductance, G14,23, in the QSH regime as a function of tilt angle between the plane of the 2DEG and applied magnetic field for a d = 7.3 nm QW structure with dimensions (L  W) = (20  13.3) μm2 measured in a vector field cryostat at 1.4 K (Adapted from Ref. [17])

440

K.L. Wang et al.

electron–hole bilayer engineered from indium arsenide–gallium antimonide (InAs/ GaSb) semiconductors which retains robust helical edges up to 4 K and under a strong magnetic field [24]. Wide conductance plateaus of 2e2/h value were observed by tuning front-gate voltage; the plateaus persist up to 12 T applied in-plane magnetic field without evidence for transition to a trivial insulator [24]. Remarkably, the temperature used to observe QSHE in HgTe quantum well was restricted to 30 mK; however, in InAs/GaSb system, the QSH persists up to 4 K, two orders of magnitude higher than the former one. Several months later, a theoretical work proposed by Zhang group indicates that QSHE can be achieved in another interesting 2D system [25]. Based on their firstprinciples calculations, it is found that the two-dimensional thin films “stanene” are QSH insulators with sizable bulk gaps of 0.3 eV. The mechanism for the QSHE in this system is the band inversion at the Γ point, similar to the case of a HgTe quantum well [25].

Quantum Anomalous Hall Effect Quantum anomalous Hall effect (QAHE) is a quantized version of anomalous Hall effect, which also belongs to the topological family. Since QAHE presents the realization of the QHE in the zero magnetic field, therefore the dissipationless quantum transport is expected in QAH system [26]. To realize QAH state, one needs to break time-reversal symmetry with ferromagnetic 2D TI films. Moreover, in 2D TI, the ferromagnetically induced exchange splitting needs to be large enough to drive one set of spin subband back to the topologically trivial phase, leaving only one spin channel remaining topologically protected (see Fig. 7) [27, 28]. On the boundary of a QSH insulator, there are counterpropagating edge states carrying opposite spin. When the spin splitting term increases, the spin-down edge states penetrate deeper into the bulk due to the decreasing gap and eventually disappear, leaving only the spin-up state bound more strongly to the edge [1, 28]. Therefore, the helical edge state in QSHE evolves into chiral edge states exhibiting QAHE. The QAHE was first predicted in a Mn-doped HgTe quantum well system as long as the Mn spins are polarized [28]. However, experimentally, an external magnetic field was still required to align the Mn moment in order to observe QAHE [29]. In 3D TI doped with 3d transition metal elements, due to the Van Vleck mechanism such as Fe, Mn, Cr, and ferromagnetism, can be developed with Curie temperature up to ~35 K. A detailed review of 3D TI will be given in the next session. For those very thin 3D TI systems, it will induce the QAH effect if the magnetic exchange field is perpendicular to the plane and overcomes the semiconductor gap [30]. Through an optimization of sample growth parameters and measurements at ultralow temperature, the QAHE was first experimentally observed in a 5 QL Cr0.15(Bi0.1Sb0.9)1.85Te3 film grown on SrTiO3 (111) substrates by Xue group in 2013 [30]. Figure 8a and c shows the magnetic field dependence of ρyx and ρxx, respectively, at different Vgs measured at T = 30 mK. The most important

12

Spintronics of Topological Insulators

441

increasing |GE| and |GH|

a

|H 1, + 〉 |H 1, − 〉

|H 1, + 〉 |E 1, − 〉

2|M 0|

2G H > 0

|H 1, − 〉

|E 1, − 〉 |E 1, + 〉

b

2G E < 0

|E 1, + 〉

y x Fig. 7 Evolution of band structure and edge states upon increasing the spin splitting. For (a) GE < 0 and GH > 0, the spin-down states jE1, i and jH1, i touch each other and then enter the normal regime. (b) We show the behavior of the edge states during the level crossing in the case of (a) (Adapted from Ref. [28])

observation is that the zero field Hall resistance exhibits a distinct plateau with the quantized value h/e2, which is centered around the gate voltage Vg = 1.5 V. Sheet conductance is transformed from ρyx(0) and ρxx(0) through the relations σxy = ρyx/ (ρyx2 + ρxx2) and σxx = ρxx/(ρyx2 + ρxx2) and plotted in Fig. 8d. Around Vg0, σxy(0) has a notable plateau at 0.987 e2/h, whereas σxx(0) has a dip down to 0.096 e2/h, similar to the behavior of the corresponding resistances. Soon after the first experimental report of QAH, our group also successfully observed QAHE in our MBE-grown (Cr0.12Bi0.26Sb0.62)2Te3 sample with a thickness of 10 QL. Due to the chiral nature of the edge modes, the quantization of the Hall conductance (e2/h) persists in the device with millimeter-scale sizes. Figure 9 shows the magnetotransport results of the 10 QL (Cr Bi0.26Sb0.62)2Te3 film. By X0.12   e2 T ji V i  T ij V j [31], we can applying the Landauer-B€ uttiker formalism I i ¼ h j

quantitatively understand the chiral edge transport in our sample. When the film is magnetized along + z-direction (left panel of Fig. 9a), the nonzero transmission matrix elements for the QAHE state are T61 = T56 = T45 = 1 [32, 33], and the corresponding voltage distributions are given by V6 = V5 = V1 = (h/e2)I and V2 = V3 = V4 = 0. On the other hand, when the magnetization reverses its direction (right panel of Fig. 9a), the edge current flows through the 2nd and 3rd contacts, thus making V2 = V3 = V1 = (h/e2)I and V5 = V6 = V4 = 0. Consequently, Rxy = R14,62 = (V6 – V2) / I is positive for the MZ > 0 case and changes to negative sign if MZ < 0. When the sample temperature falls below 85 mK, the 10 QL (Cr0.12Bi0.26Sb0.62)2Te3 film reaches the QAHE regime. In Fig. 9b and c, it is

442

K.L. Wang et al.

Fig. 8 The QAH effect measured at 30 mK. (a) Magnetic field dependence of ρyx at different Vgs. (b) Dependence of ρyx(0) (hollow blue squares) and ρxx(0) (hollow red circles) on Vg. (c) Magnetic field dependence of ρxx at different Vgs. (d) Dependence of σxy(0) (hollow blue squares) and σxx(0) (hollow red circles) on Vg. The vertical purple dashed-dotted lines in (c) and (d) indicate the Vg for Vg0 (Adapted from Ref. [30])

observed that when the film is magnetized, the Hall resistance Rxy reaches the quantized value of h/e2 (25.8 kΩ) at B = 0 T, while the longitudinal resistance Rxx is nearly vanished. Different from previous work [30], a nonzero longitudinal resistance is detected in our thick 10 QL sample and is found to be insensitive to external magnetic field, indicating the possible presence of additional nonchiral side surface propagation modes. Almost at the same time, Tokura group also reported their observation of QAHE with devices based on cleaved single crystals of Mn-doped Bi2Te3ySey. With the application of both solid-dielectric and ionic-liquid gating, the transport response of the surface states within the bulk band gap in the presence of magnetic ions was revealed [34].

Three-Dimensional Topological Insulators In 2008, the first three-dimensional TI bulk material Bi1xSbx, a semiconducting alloy, was experimentally identified by the angle-resolved photoemission

12

Spintronics of Topological Insulators

443

Fig. 9 QAHE in the 10 QL (Cr0.12Bi0.26Sb0.62)2Te3 thin film. (a) Schematics of the chiral edge conduction in the QAHE regime. The current flows from the 1st contact to the 4th contact, and the magnetization of the Cr-doped TI film is along the z-direction. (b) Temperature-dependent hysteresis Rxy curves. When the sample temperature is below 85 mK, the sample reaches the QAHE regime, where Rxy becomes the quantized value of h/e2 and insensitive to applied magnetic field. (c) Temperature-dependent magnetoresistance Rxx curves. As the sample approaches the QAHE state, Rxx dramatically decreases and nearly vanishes when the sample temperature is below 85 mK (Modified from Ref. [89])

spectroscopy (ARPES) experiment by Hasan group [35], following the specific prediction by Fu and Kane [36]. Bismuth–antimony alloys have long been studied for their thermoelectric properties. Pure bismuth is a semimetal with strong spin–orbit interactions [3]. As schematically shown in Fig. 10a, the conduction and valence bands of pure bismuth overlap. The valence and conduction bands at the L point, derived from antisymmetric La and symmetric Ls orbitals, have a small energy gap Δ [35]. However, if antimony is substituted into bismuth, it changes the critical energies of the band structure (see Fig. 10b). At an Sb concentration of x  0:04, the gap Δ between La and Ls closes, and a truly massless 3D Dirac point is realized [35]. As x increases

444

K.L. Wang et al.

Fig. 10 Schematic representation of the band structure of Bi1xSbx, which evolves from semimetallic behavior for x < 0.07 (a) to semiconducting behavior for 0.07 < x < 0.22 (b) and back to semimetallic behavior for x > 022 (c). The conduction and valence bands Ls,a invert at x ~ 0.04 (Adapted from Ref. [3])

further, this gap reopens with an inverted ordering. For x > 0.07, the top of the valence band at T moves below the bottom of the conduction band at L, therefore the material becomes an insulator. Once the band at T drops below the valence band at L (at x > 0.09), the system is a direct-gap insulator with a Dirac-like bulk band. As x is increased further, the conduction and valence bands remain separated, and for x > 0.22, the valence band rises above the conduction band, recovering the semimetallic state [3].

Angle-Resolved Photoemission Spectroscopy Measurement It is well known that ARPES is an excellent tool for probing surface states of 3D TIs [35, 37–39]. ARPES uses a photon to eject an electron from a sample and then determines the surface or bulk electronic structure by analyzing the momentum of the emitted electron. High-resolution ARPES performed with modulated photon energy can clearly isolate the surface states from that of the bulk 3D band structure, since surface states do not disperse along a direction perpendicular to the surface while the bulk states do [3]. Figure 11a displays the complete ARPES spectra of Bi0.9Sb0.1 which can be interpreted as a map of the energy of the occupied electronic states as a function of momentum along a path connecting Γ and M in the projected surface Brillouin zone (see Fig. 11b). The shaded area in Fig. 11a indicates the projection of the bulk bands based on ARPES data, as well as a rigid shift of the tight binding bands to sketch the unoccupied bands above the Fermi level. The yellow circles denote the ˚ 1 counted Fermi crossings of the surface state, with the band near kx  0:5 A twice owing to double degeneracy. Moreover, there are five crossings between Γ and M which demonstrates that these surface states are topologically nontrivial. The number of surface state crossings in a material (with an odd number of Dirac points) is related to the topological Z2 invariant [35]. Although Bi1xSbx is the first discovered 3D TI material, the surface structure of Bi1xSbx is rather complicated, and the band gap is small, which motivated a search for topological insulators with a larger band gap and simpler surface spectrum. Soon after, Zhang et al. performed first-principles electronic structure calculation

12

Spintronics of Topological Insulators

445 Z

a

0.1

b

Topological Hall insulator

K

M

Ky

Γ

Kx

EB (eV)

T

1

2

3 4.5

X

L

0.0 E T −0.1

L

1 2

X

Ls 0.0 r

0.2

0.4 −kx (Å−1)

0.6

0.8

1.0

La Bi 4% 7% 8%

x

M

Fig. 11 Topological surface states in Bi1xSbx. (a) ARPES data on the (111) surface of Bi0.9Sb0.1 which probes the occupied surface states as a function of momentum on the line connecting the T invariant points Γ and M in the surface Brillouin zone. (b) A schematic of the 3D Brillouin zone and its (111) surface projection (Adapted from Ref. [35])

and came up with a concrete prediction that Bi2Se3, Bi2Te3, and Sb2Te3 are 3D TIs, but Sb2Se3 is not [7]. Experimentally, Bi2Se3 [4, 38, 40, 41], Bi2Te3 [37, 39, 40, 42], and Sb2Te3 [39] were soon confirmed by detailed and systematic surface investigations, such as ARPES and STM.

Bi2Se3 Crystal Structure and Band Structure Among various TI materials found till now, Bi2Se3 has the simplest Dirac cone surface spectrum and the largest band gap (0.3 eV), which is larger than the energy scale of room temperature [7]. This indicates that in its high-purity form, Bi2Se3 can exhibit topological insulator behavior at room temperature and greatly increases the potential for future spintronic applications; nevertheless, till now, the defects as well as the undesired bulk component in this material still prevent it from practical applications. In 2009, Xia et al. [42] used ARPES and first-principles calculations to study the surface band structure of Bi2Se3 and observe the characteristic signature of TI in the form of a single Dirac cone, as shown in Fig. 12, in which (a) and (b) are measurements along the Γ  M and Γ  K  momentum-space cuts, respectively [42]. The Bi2Se3 has rhombohedral crystal structure with five atoms per unit cell. The crystal structure of Bi2Se3 is shown in Fig. 13a and consists of layered structure with individual layers form a triangular lattice. The important symmetry axes are a trigonal axis (threefold rotation symmetry) defined as the z axis, a binary axis (twofold rotation symmetry) defined as the x axis, and a bisectrix axis (in the reflection plane) defined as the y axis [7]. Bi2Se3 consists of five-atom layers stacked along the z-direction, known as quintuple layers (QLs). Each QL is about 1 nm thick, and it consists of five atoms per unit cell with two equivalent Se atoms denoted by Se1 and Se10 in Fig. 13b, two equivalent Bi atoms denoted by Bi1 and Bi10 in Fig. 13b, and a third Se atom denoted by Se2 in Fig. 13b. The covalent

446

K.L. Wang et al. Low

a

0.1 M

L

High

b

0.1

M

k

0

k

0 ss

ss

−0.1

EB (eV)

EB (eV)

L

−0.2

−0.1

−0.2

−0.3

−0.3

−0.4

−0.4 −0.15

0 −

ky (Å 1)

0.15

−0.15

0

0.15



kx (Å 1)

Fig. 12 (a), (b) High-resolution ARPES measurements of surface electronic band dispersion on Bi2Se3 (111). Electron dispersion data measured with an incident photon energy of 22 eV near the Γ -point along the Γ  M and Γ  K  momentum-space cuts (Adapted from Ref. [42])

bonding between two atomic layers within a quintuple layer is strong, while that between quintuple layers is much weaker with van der Waals force. To better understand the band structure, three stages, namely, (I) atomic energy levels, (II) crystal field splitting, (III) SOC on the energy eigenvalues at Γ point in the momentum space, are schematically shown in Fig. 14. Since the states near the Fermi level are primarily from p-orbitals, the s-orbitals are neglected, and we start from the atomic p-orbitals of Bi (6s26p3) and Se (4s24p4). In stage (I), chemical bonding between Bi and Se atoms within a QL is considered, which corresponds to the largest energy scale in this problem [7]. First, the orbitals in a single unit cell are recombined according to their parity, which leads to three states (two odd, one even) from each Se p-orbital and two states (one odd, one even) from each Bi p-orbital [7]. The formation of chemical bonds hybridizes the states on the Bi and Se atoms and pushes down all the Se states and lifts all the Bi states. In stage (II), the effect of crystal field splitting between different p-orbitals is considered. Based on the point group symmetry, the pz orbital is split from the px and py orbitals, while the latter two remain degenerate [7]. After this  splitting,  the energy levels closest   to the Fermi energy turn out to be the pz levels P1þ z and P2z [1, 7]. In stage (III), the effect of SOC is taken into account. The atomic SOC Hamiltonian is given by HSO = λL  S, with L, S the orbital and spin angular momentum, respectively, and λ the strength of SOC. The SOC Hamiltonian mixes spin and orbital angular momenta the total angular momentum. As a result, the energy  while preserving  state is pushed down by the effect of SOC, and the energy of the of the P1þ , " ð # Þ z

12

Spintronics of Topological Insulators

447

b

a z

A site B site C site

y x

y x

Quintuple layer

c Se1’

C

t2 t1

t3 Bi Se1 So2

A

Se1

B

Bi1

C

Se2

A

Bi1’

B

Se1’ Se1

C

Fig. 13 (a) Crystal structure of Bi2Se3 with three primitive lattice vectors denoted as t1,2,3. A quintuple layer with Se1–Bi1–Se2–Bi10 –Se10 is indicated by the red square. (b) Top view along the z-direction. The triangle lattice in one quintuple layer has three different positions, denoted as A, B, and C. (c) Side view of the quintuple layer structure. Along the z-direction, the stacking order of Se and Bi atomic layers is . . .–C(Se10 )–A(Se1)–B(Bi1)–C(Se2)–A(Bi10 )–B(Se10 )–C(Se1)–. . .. The Se1 (Bi1) layer can be related to the Se10 (Bi10 ) layer by an inversion operation in which the Se2 atoms have the role of inversion centers (Adapted from Ref. [7])

Fig. 14 (a) Schematic picture of the evolution from the atomic px,y,z orbitals of Bi and Se into the conduction and valence bands of Bi2Se3 at the Γ point. The three different stages (I), (II), and (III) represent the effect of turning on chemical bonding, crystal field splitting, and SOC, respectively. The bluedashed line represents the Fermi energy (Adapted from Ref. [7])

a

P1+x+iy,↑ , P1+x−iy,↓ P1−x,y,z P1+x,y,z

Bi

Se

− P2x,y,z

P2+x,y,z

P1+x,y P1+z

P2−z P2−x ,y

P2−z,↑ , P2−z,↓ P1+z ,↑ , P1+z ,↓ P2−x+iy,↑, P2−x−iy,↓ P2−x+iy,↓ , P2−x−iy ,↑

P0−x,y,z (I)

P1+x+iy,↓ , P1+x−iy,↑

(II)

(III)

448

K.L. Wang et al.

Binding Energy (eV)

EF

a

b

c

d

e

0.2 0.4 0.6

1QL

0.8 –0.1

0.0 –1

k// (Å )

2QL 0.1

–0.1

0.0

3QL 0.1

–1

k// (Å )

–0.1

0.0

5QL 0.1

–1

k// (Å )

–0.1

0.0

6QL 0.1

–1

k// (Å )

–0.1

0.0

0.1

–1

k// (Å )

Fig. 15 ARPES spectra of Bi2Se3 films at room temperature. (a)–(e), ARPES spectra of 1, 2, 3, 5, and 6 QL along the Γ  K  direction measured at room temperature (Adapted from Ref. [4])

   P2 , " ð#Þ state is pushed up. If SOC is larger than a critical value λ > λc, the z   order of these two energy levels is reversed [1]. Since these P1þ z , " ð#Þ and    P2 , " ð#Þ levels have opposite parity, the inversion between them drives the z system into a topological insulator phase, similar to the case of HgTe QWs [20]. The Bi2Se3 thin films were grown by molecular beam epitaxy (MBE) to study the crossover behavior of surface states from a 3D TI to its 2D limit [4]. The energy gap opening was clearly observed from ARPES when the thickness is below six quintuple layers. Figure 15a to e shows a series of ARPES band maps of Bi2Se3 films from 1 to 6 QL measured at room temperature. The spectra of 1 QL (Fig. 15a) exhibit a nearly parabolic band dispersion toward the Fermi level. At 2 QL (Fig. 15b), the band moves down by 40 meV and another band starts appearing below it. As shown later, the two bands finally evolve into the gapless surface states in thicker films. At 3 QL (Fig. 15c), the gap between the upper and lower surface states decreases. Interestingly, the upper surface states are split into two branches. The splitting is more prominent at larger wave vectors but disappears at the Γ point. This is a Rashba-type splitting, in which the two subbands with different spins shift along the k// axis in opposite directions and degenerate at Γ point. For thicker films at 5 and 6 QL (Fig. 15d, e), the gap between the two surface states decreases further. Meanwhile, the outer two branches of the split upper surface state fade out gradually. At 6 QL, the gap disappears and so do the outer branches of the upper surface states [4].

Scanning Tunneling Microscopy Measurements Bi2Te3 has also been predicted to be a 3D TI with robust and unique surface states consisting of a single Dirac cone at the Γ point [7]. ARPES and scanning tunneling microscopy (STM) experiments have confirmed that the surface states of Bi2Te3 have a single nondegenerate Dirac cone with a linear dispersion in momentum space [37, 39, 40, 42]. However, unlike Bi2Se3, the surface state of Bi2Te3 is a bit more complicated, and the Dirac point is embedded underneath the top of the valence band. Therefore, it is difficult to probe the surface state near the Dirac point without being disturbed by the bulk carriers. Another difference between these two materials is that the constant-energy contour of the Dirac cone is almost

12

Spintronics of Topological Insulators

449

spherical in Bi2Se3, while it presents significant hexagonal warping in Bi2Te3 as shown in Fig. 16b [43]. Starting from the Dirac point, the contour changes from a circle to a hexagon and becomes warped above about E  100 meV , which exhibits the deviations from a simple Dirac cone due to a combination of smaller band gap (0.15 eV) and a strong trigonal potential [3, 37]. In addition, the ARPES characterization of 3D TI, STM, and scanning tunneling spectroscopy (STS) also provides a surface-sensitive technique to probe the surface states. The comparison between STM or STS and ARPES was carried out by Alpichshev et al. in Bi2Te3. It is found that the integrated density of stats obtained from ARP0ES (Fig. 16c) agrees well with the differential conductance dI/dV obtained from STS measurements (Fig. 16d) [43]. From such comparison, the energy levels (EF, EA, EB, EC, and ED) can be accurately identified.

Topological Insulator Material Synthesis Methods MBE-Grown Thin Films For the growth of high-quality epitaxial thin films of TI materials, MBE technique is usually employed. So far, our group has successfully grown single crystalline Bi2Se3 [44–46], Bi2Te3 [47], (BixSb1-x)2Te3 [48, 49], (BixSb1-x)2(SeyTe1-y)3, Cr-Bi2Se3, Cr-Bi2Te3 [50], and Cr-(BixSb1-x)2Te3 [32, 51]. For epitaxial growth, the lattice matching between the substrate and the grown material is usually very important. However, due to the van der Waals gap between the QLs, the lattice matching with the substrate is not crucial since the substrate and the films are only weakly bonded with the van der Waals force. Till now, we have grown high-quality TI thin films on various substrates, such as Si [44, 45],_sapphire, GaAs [32, 47–49, 51], CdS [46, 52], InP, Y3Fe5O12 [53], SrTiO3, as well as mica. Tremendous MBE efforts were also made by other groups, for example, Xue group [4, 5, 54–56], Samarth group [57–59], Ando group [60, 61], and others [62–65]. Here we show one example of the TI film grown by MBE. Bi2Te3 thin films were grown on high resistivity (10 MΩ cm) GaAs(111)B substrates in a solid source MBE system. In situ growth was monitored by real-time reflection high energy electron diffraction (RHEED). GaAs substrates were cleaned in acetone with ultrasonication for 15 min before loading into the MBE chamber. Pre-growth annealing in a Se-rich condition was performed at 580  C for 30 min to remove native oxides on the surface of GaAs substrates. High quality stoichiometric Bi2Te3 thin films were grown under a Te-rich condition to achieve a low density of defects. A sharp 2D pattern and clear specular reflection could still be observed after 6 QLs, as shown in Fig. 17a. A growth rate of 0.56 QL/min is estimated from the RHEED intensity oscillations which started from the very beginning of the growth (Fig. 17b), suggesting that pure Bi2Te3 was achieved from the first layer without the introduction of any interfacial layer. After growth, a 2 nm Al layer was subsequently deposited in situ at 20  C to protect the epi-layer from unintentional doping induced by ambient environment. A typical atomic force microscopy (AFM) image of a 20 nm-thick film under the same growth condition exhibits

450

K.L. Wang et al. Γ

K

a

b

0.2

0.2

0.1

0.1

0.1

0

0

0

−0.1

−0.1

−0.1

M

Te Bi Te1 Bi Te2 Bi Te1

−0.2 −0.2 0.2

0

0.1

Γ

−0.1

−0.2 0.2 −0.2 0.2

−0.1

0

0.1

−0.2 0.2 −0.2 0.2

0.1

0.1

0.1

0

0

0

−0.1

−0.1

−0.1

M

Te1 Bi Te2 Bi Te1

K

0.2

−0.2 −0.2

−0.1

0

0.1

−0.2 0.2 −0.2

−0.1

0

0.1

−0.2 0.2 −0.2

0.1

0.2

−0.1

0

0.1

0.2

K EF EB EC ED

0

ED

Binding Energy (meV)

800 EB

EC

700 DOS (arb. units)

0

Γ

K

c

−0.1

600 500 400

200 400

Γ

M

M

0

EA

200 400

300

−0.4 −0.2

200 −400

−300

−200

−100

0

0.2

0.4

−1 K (Å )

EA 0

100

200

E - EF (meV)

d 2.0 ED

EC

dI/dV (arb. units)

1.6

EB EA

1.2

EF

0.8 0.4 0 −400

−300

−200

−100

bias voltage (mV)

Fig. 16 (continued)

0

100

200

12

Spintronics of Topological Insulators

451

atomic flat surface morphology, as shown in Fig. 17c. The inset gives the height profile of the solid line marked in Fig. 17c. The height of each step is ~1 nm. Figure 17d presents the high-resolution cross-section transmission electron microscopic (HRTEM) image of our film. The thickness of each QL is ~1 nm, as indicated by the two parallel white lines, consistent with the reported thickness of Bi2Te3 QL. The dashed lines indicate the sharp interface between Bi2Te3 film and GaAs substrate.

Bulk Single Crystals Bridgman methods were also widely used to grow bulk 3D TI materials. In this method, the temperature of the melt is gradually reduced while keeping a temperature gradient in the tube; hence, the crystallization starts at the cold end, and the crystal grows as the solidification proceeds from this end. Cava group used this method to produce high-quality TI materials including BixSb1-x alloy and Bi2Se3, Bi2Te3, and Sb2Te3 crystals in the early stage of 3D TI discoveries [35, 39, 42]. The mechanical exfoliation method, also called the scotch-tape method, was also applied to cleave the TI thin flake from the bulk by the adhesive tape [66, 67]. Nanoribbons and Nanoplates TI nanoribbons are usually synthesized by gold-catalyzed vapor–liquid–solid (VLS) technique. Typically, Bi2Se3 powder is placed in the hot center of a tube furnace through which Ar gas flows and transports evaporated Bi2Se3, while the Si substrates coated with Au nanoparticle are placed in the downstream side of the furnace. The growth of nanoribbons proceeds along with the Au nanoparticles which absorb Bi2Se3 vapor and leave crystallized Bi2Se3 nanoribbons underneath them [68, 69]. When there are no Au nanoparticles serving as catalyst, nanoplates can be obtained instead of nanoribbons. Cui group has utilized this VLS method to grow high-quality TI nanostructures and fabricated them to nanodevices [69–72] (Fig. 18).

Topological Surface State Properties Dirac Fermion Physics The Dirac fermions nature of topological insulator has been confirmed by the abovementioned ARPES and STS experiments. Similarly, magnetotransport ä Fig. 16 DOS of Sn-doped Bi2Te3. (a) Crystal structure showing three quintuple units. (b) ARPES-measured constant-energy contours of the SSB. Strength of DOS grows from blue (no DOS) to dark red (strong contribution to DOS). Arrows point to the position of maximum in DOS (note sixfold symmetry). (c) Integrated DOS from ARPES. Here, EF is the Fermi level; EA is the bottom of the BCB; EB is the point of the “opening up” of the SSB; EC is the top of the BVB; and ED is the Dirac point. (d) Typical STS spectrum of a 0.27 % Sn-doped Bi2Te3 sample similar to (c). Note the shift of all energies by 40 meV as a result of doping. Bi2Te3 reveals a hexagonal deformation of surface states (Adapted from Ref. [43])

452

K.L. Wang et al.

Fig. 17 Bi2Te3 thin film grown by MBE. (a) The RHEED image exhibits a clear 2D pattern after the growth of 6QL Bi2Te3 thin film grown on the GaAs(111)B substrate. (b) RHEED intensity oscillations from which a growth rate of 0.56 QL/min is calculated. (c) AFM data of the thin film demonstrates layer-by-layer epitaxy. The inset shows the 1 nm step height. (d) HRTEM image of a 6QL Bi2Te3 thin film. The sharp interface is indicated by the dotted line (Adapted from Ref. [47]. # IOP Publishing. Reproduced with permission. All rights reserved)

Fig. 18 TI nanostructures grown via VLS method. (a) Scanning electron microscope (SEM) image of the Bi2Se3 nanoribbons. (b) AFM image of the Bi2Te3 nanoplates grown via VS mechanism. The numbers indicate the nanoplate thickness in nanometers (Adapted from Ref. [69])

12

Spintronics of Topological Insulators

453

measurement is another prominent method to detect the existence of surface state through Landau level quantization of energy states by large magnetic fields. The quantization occurs as follows [73] E ðNÞ ¼ 

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ð2eℏv2F B=cÞN

(9)

where N = 0, 1, 2, . . . . This means that in Dirac fermions systems, the LL spacing is pffiffiffiffi not a constant but changes as N , as opposed to ordinary metals in which the LL spacing is simply ℏωc and is independent of the Fermi level. Also, Eq. 9 indicates that there is the zeroth LL with N = 0, which is located at the Dirac point. Therefore, the Landau quantization of massless Dirac fermions is characterized pffiffiffiffi by the occurrence of the zero energy and the symmetrical appearance of N states on both the positive and negative energy sides of the Dirac point. When the Landau quantization takes place, the associated quantum Hall effect becomes unusual, and the Hall plateau between the Nth and (N + 1)th LLs is  2  quantized to σ xy ¼  eh N þ 12 which is called half-integer quantization [15], which can also be understood as a result of the π Berry phase. This indicates that the first Hall plateaus on the positive and negative energy sides must appear antisymmetrically, because σxy is an odd function of energy (Fig. 19).

Onsager Relation We have accounted of the quantization of the electron motion, which restricts the permissible states and is the basic cause for the occurrence of Shubnikov–de Haas (SdH) oscillations. Following the semiclassical approach of Onsager and Lifshitz [75], we assume that the orbits in a magnetic field are quantized by the Bohr–Sommerfeld relation. The quantization rule for a periodic motion is þ p  dr ¼ ðN þ γÞ2πℏ;

(10)

where p and r are the canonically conjugate momentum and position variables, N is an integer, and the integration is to be taken round a complete cycle; the phase γ is related to Berry phase. For an electron in a magnetic field, the appropriate meaning of p is p ¼ ℏk  eA;

(11)

where A is the vector potential of B. Hence, þ ðℏk  eAÞdr ¼ ðN þ γÞ2πℏ:

(12)

454

K.L. Wang et al.

Fig. 19 (a) 2D Dirac cone; μ is the Fermi level. (b) Landau quantization of the Dirac cone. (c) In a larger magnetic field, the spacing between pffiffiffi LLs increases as B. (d) Schematic behavior of σxx and σxy in the quantum Hall regime of 2D Dirac fermions (Adapted from Ref. [74])

Transform the first term in the integral þ

þ þ ℏk  dr ¼ q r  B  dr ¼ qB r  dr ¼ 2qØ:

(13)

and Stokes’ theorem to transform the second, we find þ ð ð q A  dr ¼ q curlA  dσ ¼ q B  dσ ¼ qØ:

(14)

Add Eqs. 13 and 14 þ

q p  dr ¼  Ø ¼ ðN þ γÞ 2πℏ: c

(15)

12

Spintronics of Topological Insulators

455

Therefore, the quantized magnetic flux is Øn ¼ ðN þ γÞ 2πℏ=e:

(16)

From ℏ

dk dr ¼ q  B; dt dt dr ¼

ℏ dk: qB

(17) (18)

The real space of cross section is thus related with k-space cross section An ¼

ℏ qB

2 Sn :

(19)

1 Sn ¼ ðN þ γÞ 2πℏ=e: B

(20)

2πe B; ℏ

(21)

Hence, the quantized magnetic flux is Øn ¼

ℏ qB

2

The quantized Fermi area is Sn ¼ ðN þ γÞ

which is also known as Onsager relation [75]. Increment ΔB for which two successive orbits, N and N + 1, have the same area in k-space on the Fermi surface. Hence, Eq. 21 can be deduced as S

1

Bnþ1



1 Bn

¼

2πe ; ℏ

(22)

where S is the Fermi area, therefore Δ

1 2πe 1 ¼ : B ℏ S

(23)

Particularly, for TI material S ¼ πk2F , therefore 1 2e 1 ¼ ; B ℏ k2F where kF is the Fermi vector.

(24)

456

K.L. Wang et al.

Quantum Oscillations When the Landau quantization of energy states occurs with large magnetic fields, the DOS become periodically modulated as a function of magnetic field, which leads to quantum oscillations. In particular, the oscillations occurring in conductivity are called SdH oscillations and the oscillation follows Δð1=BÞ ¼ e=ðhn2D Þ

(25)

Δð1=BÞ ¼ 4πe=k2F h;

(26)

And

where B is magnetic flux density, n2D is the 2D carrier density, kF is the Fermi vector, e is the electron charge, and h is the plank constant. In 3D TI magnetotransport studies, the SdH oscillations are often used to selectively characterize the 2D metallic surface states which coexist with 3D bulk states. By analyzing the oscillations data, various surface state parameters can be extracted, such as Fermi level position, Berry phase, and surface state 2D carrier concentration. To date, numerous efforts have been devoted to magnetotransport measurements, especially through SdH oscillations to probe the surface state properties [4, 35, 37, 40, 42, 45, 46, 64, 72, 76–83]. However, the real TI materials always have impurities and defects such as vacancies and antisites [72, 84, 85]. Therefore, the as-grown materials are not truly insulating but with a bulk carrier density, which makes difficulties to the transport methods. To reach the intrinsic TI surface state, various efforts have been made to reduce the bulk carrier density, such as the gating effect to deplete the bulk carriers, surface passivation to protect TI surface from doping and oxidation, and counter doping to compensate the additional carriers. Gate-controlled SdH oscillation has been observed in Bi2Te3 nanowires by Xiu et al. as shown in Fig. 20a; [81] correspondingly, the fast Fourier transform (FFT) spectra show dominant oscillation frequencies ( fSdH(T), Fig. 20b), in accordance with the SdH periodicities (Fig. 20a). The SdH amplitudes as a function of temperature were analyzed to obtain more information about the surface states under constant voltages of +80 V (Fig. 20c). Oscillation amplitudes at both voltages decrease rapidly with a temperature increase from 1.4 to 8 K.

Weak Antilocalization and Weak Localization As mentioned before, the π Berry phase associated with the TI surface carriers leads to the Weak antilocalization (WAL) effect. WAL is always related to strong spin–orbit scattering or coupling and was first derived by Hikami, Larkin, and Nagaoka [86]. In the quantum diffusion regime (mean free path system size ~ phase coherent length), an electron maintains its phase coherence after being scattered by static centers for many times [87]. As a result, the destructive quantum interference can give an enhancement to the classical electronic conductivity, leading

Spintronics of Topological Insulators

b −60 V

ΔR (Ω)

0V

+40 V

10 Ω

+80 V

+20 V

−60 V

FFT Amplitude (a. u.)

a

457

c 8K

0V +20 V

0.16

0.20

0.24

2K

+40 V

T = 1.4 K +80 V

10 Ω

T = 1.4 K 0.12

4K

ΔR (Ω)

12

0

1/B (T−1)

100

200

fSdH (T)

300

0.12

1.4 K Vg = + 80 V 0.16

0.20

0.24

1/B (T−1)

Fig. 20 Shubnikov–de Haas (SdH) oscillations in a nanoribbon FET. (a) The oscillations become more pronounced as the gate voltage increased from 60 V to +80 V at T = 1.4 K. (b) The purple arrows in the corresponding FFT spectra indicate the frequency of the SdH oscillations which represent the surface states. The green solid dots suggest the presence of other oscillation frequencies, which are developed when the bulk carrier concentration increases under negative gate voltages. (c) The oscillations also become more pronounced as the temperature is decreased from 8 K to 1.4 K at gate voltages of +80 V

to WAL [88]. Applying a magnetic field tends to break the destructive interference, giving rise to a negative magnetoconductivity (MC), a key signature of WAL. In the limit of strong spin–orbit interaction in 2D electron systems, the standard Hikami–Larkin–Nagaoka (HLN) theory is often applied for weak-field conductivity variation: [89] " ! !# αe2 ℏ 1 ℏ þ Δσ ¼ 2 ln ψ 2 4eBl2ϕ 2π ℏ 4eBl2ϕ

(27)

where ψ is the digamma function, and the prefactor α should be equal to 1, 0, 1/2 for the orthogonal, unitary, and symplectic cases, respectively. One topological surface that carries a π Berry phase should give an α ¼ 1=2. In weak interband coupling limit, multiple independent bands with WAL should add up to give bigger α, e.g., 1, 1.5. On the other hand, weak localization (WL) arises as a result of the gap opening at the Dirac point. Either the hybridized gap or the magnetic scattering can drive MC of the system from WAL to a parabolic dependence on the magnetic field (B2). Further increasing the gap (Δ)/Fermi energy (EF) ratio may drive the system to WL regime. A crossover from WAL to WL is expected tunable by the TRS-breaking gap or the position of Fermi level. The relation of the Berry phase with the gap Δ and EF is determined by ϕ ¼ π 1  2EΔF . It gives π for WAL when Δ/2EF = 0 and 0 for WL when Δ ¼ 2EF (Fig. 21).

458

K.L. Wang et al.

Fig. 21 Magneto-conductance data for the (a) 6 QL (Bi0.5Sb0.5)2Te3 and (b) 6 QL Cr0.16(Bi0.54Sb0.38)2Te3 thin films on GaAs substrate at 1.9 K. The external magnetic field is applied perpendicular to the samples, and the arrows indicate the sweeping directions of the field (Adapted with permission from Ref. [32]. Copyright (2013) American Chemical Society)

Conclusion In this chapter, the recent developments regarding TIs and their related physics have been summarized. With the band inversion due to the presence of SOC, TRS-protected surface states with the unique gapless Dirac cone-like linear E-k dispersion relation are formed at the topological-number-transition boundary and give rise to the dissipationless helical edge conduction at zero magnetic field. So far, both the ARPES and STS have been successfully applied to reveal the TI surface state, while several electrical measurements and device structures have been used to manipulate the surface states. In parallel with the pursuit of the massless Dirac fermions, it is of equal significance to break the TRS of the TI surfaces by introducing the perpendicular magnetic interaction. In this TRS-breaking case, subject to the interplay between the band topology (SOC strength) and the magnetic orders, QAHE with single chiral edge conduction will replace QSHE. With additional structural engineering along with the SOC and magnetic order inside TIs, the functionalities of associated physics and applications can be further multiplied.

References 1. Qi X-L, Zhang S-C (2011) Topological insulators and superconductors. Rev Mod Phys 83:1057–1110 2. Moore JE (2010) The birth of topological insulators. Nature 464:194–198 3. Hasan MZ, Kane CL (2010) Colloquium: topological insulators. Rev Mod Phys 82:3045

12

Spintronics of Topological Insulators

459

4. Zhang Y et al (2010) Crossover of the three-dimensional topological insulator Bi2Se3 to the two-dimensional limit. Nat Phys 6:584–588 5. Zhang T et al (2009) Experimental demonstration of topological surface states protected by time-reversal symmetry. Phys Rev Lett 103:266803 6. Qi X-L, Hughes TL, Zhang S-C (2008) Topological field theory of time-reversal invariant insulators. Phys Rev B 78:195424 7. Zhang H et al (2009) Topological insulators in Bi2Se3, Bi2Te3 and Sb2Te3 with a single Dirac cone on the surface. Nat Phys 5:438–442 8. Kane CL, Mele EJ (2005) Z2 topological order and the quantum spin hall effect. Phys Rev Lett 95:146802 9. Moore JE, Balents L (2007) Topological invariants of time-reversal-invariant band structures. Phys Rev B 75:121306 10. Ando T, Matsumoto Y, Uemura Y (1975) Theory of Hall effect in a two-dimensional electron system. J Physical Soc Japan 39:279–288 11. Klitzing KV, Dorda G, Pepper M (1980) New method for high-accuracy determination of the fine-structure constant based on quantized Hall resistance. Phys Rev Lett 45:494–497 12. Roth LM (1966) Semiclassical theory of magnetic energy levels and magnetic susceptibility of Bloch electrons. Phys Rev 145:434 13. Laughlin RB (1981) Quantized Hall conductivity in two dimensions. Phys Rev B 23:5632–5633 14. Stormer HL (1999) Nobel Lecture: the fractional quantum Hall effect. Rev Mod Phys 71:875–889 15. Novoselov KS et al (2007) Room-temperature quantum Hall effect in graphene. Science 315:1379 16. Thouless DJ, Kohmoto M, Nightingale MP, den Nijs M (1982) Quantized Hall conductance in a two-dimensional periodic potential. Phys Rev Lett 49:405–408 17. Ko¨nig M et al (2007) Quantum spin Hall insulator state in HgTe quantum wells. Science 318:766–770 18. Qi X-L, Zhang S-C (2010) The quantum spin Hall effect and topological insulators. Phys Today 63:33–38 19. Bernevig BA, Hughes TL, Zhang S-C (2006) Quantum spin Hall effect and topological phase transition in HgTe quantum wells. Science 314:1757–1761 20. Bernevig BA, Zhang S-C (2006) Quantum spin Hall effect. Phys Rev Lett 96:106802 21. Berry MV (1984) Quantal phase factors accompanying adiabatic changes. Proc R Soc Lond A Math Phys Sci 392:45–57 22. Ando T, Nakanishi T, Saito R (1998) Berry’s phase and absence of back scattering in carbon nanotubes. J Physical Soc Japan 67:2857–2862 23. Kane CL, Mele EJ (2005) Quantum spin Hall effect in graphene. Phys Rev Lett 95:226801 24. Du LJ, Knez I, Sullivan G, Du RR (2015) Robust helical edge transport in gated InAs/GaSb bilayers. Phys Rev Lett 114:096802 25. Xu Y et al (2013) Large-gap quantum spin Hall insulators in tin films. Phys Rev Lett 111:136804 26. Yu R et al (2010) Quantized anomalous Hall effect in magnetic topological insulators. Science 329:61–64 27. He K, Wang Y, Xue Q-K (2013) Quantum anomalous Hall effect. Natl Sci Rev 1:38–48 28. Liu C-X, Qi X-L, Dai X, Fang Z, Zhang S-C (2008) Quantum anomalous Hall effect in Hg1-yMnyTe quantum wells. Phys Rev Lett 101:146802 29. Buhmann H (2012) Towards the quantum anomalous Hall effect in HgMnTe. Bull Am Phys Soc 57 30. Chang C-Z et al (2013) Experimental observation of the quantum anomalous Hall effect in a magnetic topological insulator. Science 340:167–170 31. Datta S (1997) Electronic transport in mesoscopic systems. Cambridge University Press

460

K.L. Wang et al.

32. Kou XF et al (2013) Manipulating surface-related ferromagnetism in modulation-doped topological insulators. Nano Lett 13:4587–4593 33. Roth A et al (2009) Nonlocal transport in the quantum spin Hall state. Science 325:294–297 34. Checkelsky JG, Ye J, Onose Y, Iwasa Y, Tokura Y (2012) Dirac-fermion-mediated ferromagnetism in a topological insulator. Nat Phys 8:729–733 35. Hsieh D et al (2008) A topological Dirac insulator in a quantum spin Hall phase. Nature 452:970–974 36. Fu L, Kane CL (2007) Topological insulators with inversion symmetry. Phys Rev B 76:045302 37. Chen YL et al (2009) Experimental realization of a three-dimensional topological insulator, Bi2Te3. Science 325:178–181 38. Hor YS et al (2009) p-type Bi2Se3 for topological insulator and low-temperature thermoelectric applications. Phys Rev B 79:195208 39. Hsieh D et al (2009) Observation of time-reversal-protected single-dirac-cone topologicalinsulator states in Bi2Te3 and Sb2Te3. Phys Rev Lett 103:146401 40. Hsieh D et al (2009) A tunable topological insulator in the spin helical Dirac transport regime. Nature 460:1101–1105 41. Park SR et al (2010) Quasiparticle scattering and the protected nature of the topological states in a parent topological insulator Bi2Se3. Phys Rev B 81:041405 42. Xia Y et al (2009) Observation of a large-gap topological-insulator class with a single Dirac cone on the surface. Nat Phys 5:398–402 43. Alpichshev Z et al (2010) STM imaging of electronic waves on the surface of Bi2Te3: topologically protected surface states and hexagonal warping effects. Phys Rev Lett 104:016401 44. He L et al (2011) Epitaxial growth of Bi2Se3 topological insulator thin films on Si (111). J Appl Phys 109:103702 45. Lang M et al (2011) Revelation of topological surface states in Bi2Se3 thin films by In Situ Al passivation. ACS Nano 6:295–302 46. He L et al (2012) Surface-dominated conduction in a 6 nm thick Bi2Se3 thin film. Nano Lett 12:1486–1490 47. Yu X et al (2013) Separation of top and bottom surface conduction in Bi2Te3 thin films. Nanotechnology 24:015705 48. Lang M et al (2012) Competing weak localization and weak antilocalization in ultrathin topological insulators. Nano Lett 13:48–53 49. He L et al (2013) Evidence of the two surface states of (Bi0.53Sb0.47)2Te3 films grown by van der Waals epitaxy. Sci Rep 3:3406 50. Kou XF et al (2012) Magnetically doped semiconducting topological insulators. J Appl Phys 112:063912–063916 51. Kou X et al (2013) Interplay between different magnetisms in Cr-doped topological insulators. ACS Nano 52. Kou XF et al (2011) Epitaxial growth of high mobility Bi2Se3 thin films on CdS. Appl Phys Lett 98:242102 53. Lang M et al (2014) Proximity induced high-temperature magnetic order in topological insulator - ferrimagnetic insulator heterostructure. Nano Lett 14:3459–3465 54. Wang M-X et al (2012) The coexistence of superconductivity and topological order in the Bi2Se3 thin films. Science 55. Liu M et al (2012) Crossover between weak antilocalization and weak localization in a magnetically doped topological insulator. Phys Rev Lett 108:036805 56. Chang C-Z et al (2013) Thin films of magnetically doped topological insulator with carrierindependent long-range ferromagnetic order. Adv Mater 25:1065–1070 57. Zhang D et al (2012) Interplay between ferromagnetism, surface states, and quantum corrections in a magnetically doped topological insulator. Phys Rev B 86:205127

12

Spintronics of Topological Insulators

461

58. Richardella A et al (2010) Coherent heteroepitaxy of Bi2Se3 on GaAs (111)B. Appl Phys Lett 97:262104–262103 59. Kandala A et al (2013) Growth and characterization of hybrid insulating ferromagnettopological insulator heterostructure devices. Appl Phys Lett 103:202409 60. Taskin AA, Sasaki S, Segawa K, Ando Y (2012) Manifestation of topological protection in transport properties of epitaxial Bi2Se3 thin films. Phys Rev Lett 109:066803 61. Taskin AA, Sasaki S, Segawa K, Ando Y (2012) Achieving surface quantum oscillations in topological insulator thin films of Bi2Se3. Adv Mater 24:5581–5585 62. Zhang G et al (2009) Quintuple-layer epitaxy of thin films of topological insulator Bi2Se3. Appl Phys Lett 95:053114 63. Kim YS et al (2011) Thickness-dependent bulk properties and weak antilocalization effect in topological insulator Bi2Se3. Phys Rev B 84:073109 64. Chen J et al (2010) Gate-voltage control of chemical potential and weak antilocalization in Bi2Se3. Phys Rev Lett 105:176602 65. Schreyeck S et al (2013) Molecular beam epitaxy of high structural quality Bi2Se3 on lattice matched InP(111) substrates. Appl Phys Lett 102:041914 66. Teweldebrhan D, Goyal V, Balandin AA (2010) Exfoliation and characterization of bismuth telluride atomic quintuples and quasi-two-dimensional crystals. Nano Lett 10:1209–1218 67. Shahil KM (2010) Crystal symmetry breaking in few-quintuple Bi2Te3 films: applications in nanometrology of topological insulators. Appl Phys Lett 96:153103 68. Kong D et al (2009) Topological insulator nanowires and nanoribbons. Nano Lett 10:329–333 69. Cha JJ, Koski, KJ, Cui Y (2013) Topological insulator nanostructures. phys status solidi (RRL) 7:15–25 70. Hong SS, Cha JJ, Kong D, Cui Y (2012) Ultra-low carrier concentration and surface-dominant transport in antimony-doped Bi2Se3 topological insulator nanoribbons. Nat Commun 3:757 71. Kong D et al (2011) Ambipolar field effect in the ternary topological insulator (BixSb1-x)2Te3 by composition tuning. Nat Nano 6:705–709 72. Kong D et al (2010) Few-layer nanoplates of Bi2Se3 and Bi2Te3 with highly tunable chemical potential. Nano Lett 10:2245–2250 73. McClure JW (1956) Diamagnetism of graphite. Phys Rev 104:666–671 74. Ando Y (2013) Topological insulator materials. J Physical Soc Japan 82:102001 75. Onsager L (1952) Interpretation of the de Haas-van Alphen effect. Philos Mag 43:1006–1008 76. Butch NP et al (2010) Strong surface scattering in ultrahigh-mobility Bi2Se3 topological insulator crystals. Phys Rev B 81:241301 77. Analytis JG et al (2010) Two-dimensional surface state in the quantum limit of a topological insulator. Nat Phys 6:960–964 78. Liu M et al (2011) Electron interaction-driven insulating ground state in Bi2Se3 topological insulators in the two-dimensional limit. Phys Rev B 83:165440 79. Steinberg H, Laloe JB, Fatemi V, Moodera JS, Jarillo-Herrero P (2011) Electrically tunable surface-to-bulk coherent coupling in topological insulator thin films. Phys Rev B 84:233101 80. Chen J et al (2011) Tunable surface conductivity in Bi2Se3 revealed in diffusive electron transport. Phys Rev B 83:241304 81. Xiu F et al (2011) Manipulating surface states in topological insulator nanoribbons. Nat Nanotechnol 6:216–221 82. Ren Z, Taskin AA, Sasaki S, Segawa K, Ando Y (2010) Large bulk resistivity and surface quantum oscillations in the topological insulator Bi2Te2Se. Phys Rev B 82:241306 83. Wang Y et al (2012) Gate-controlled surface conduction in Na-doped Bi2Te3 topological insulator nanoplates. Nano Lett 12:1170–1175 84. Analytis JG et al (2010) Bulk Fermi surface coexistence with Dirac surface state in Bi2Se3: a comparison of photoemission and Shubnikov-de Haas measurements. Phys Rev B 81:205407 85. Peng H et al (2010) Aharonov-Bohm interference in topological insulator nanoribbons. Nat Mater 9:225–229

462

K.L. Wang et al.

86. Hikami S, Larkin AI, Nagaoka Y (1980) Spin-orbit interaction of magnetoresistance in the two dimensional random aystem. Prog Theor Phys 63:707–710 87. Lu H-Z, Shi J, Shen S-Q (2011) Competition between weak localization and antilocalization in topological surface states. Phys Rev Lett 107:076801 88. Bergmann G (1984) Weak localization in thin films: a time-of-flight experiment with conduction electrons. Phys Rep 107:1–58 89. Kou XF et al (2014) Scale-invariant quantum anomalous Hall effect in magnetic topological insulators beyond the two-dimensional limit. Phys Rev Lett 113:137201

Part V Materials: Magnetic Semiconductors

III–V Based Magnetic Semiconductors

13

T. Jungwirth

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Electronic Structure and Magnetic Coupling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Curie Temperature and Doping Trends . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnetic Anisotropy Effects in Films and Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Micromagnetic Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tunnel Junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Hall Magnetoresistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Current-Induced Torques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tunnel Junctions and Domain Walls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Uniform Magnets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnonic Charge Pumping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Light-Induced Torques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circularly Polarized Light . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Linearly Polarized Light . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

466 468 472 476 476 478 483 485 487 490 492 495 498 503 503 503 507 511 512

Abstract

(Ga,Mn)As and related (III,Mn)V compounds are at the forefront of spintronics research exploring the synergy of ferromagnetism with the physics and the technology of semiconductors. Over the past 20 years, the research of (Ga,Mn)As has led to a deeper understanding of previously known spintronics phenomena, T. Jungwirth (*) Institute of Physics ASCR, v.v.i., Praha 6, Czech Republic School of Physics and Astronomy, University of Nottingham, Nottingham, UK e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_20

465

466

T. Jungwirth

to discoveries of new effects, and to demonstrations of unprecedented functionalities of experimental spintronics devices with general applicability to a wide range of magnetic materials. In this chapter we review some of the basic structural, magnetic, electronic, and optical properties of the ferromagnetic (III,Mn)V semiconductors, as well as the devices fabricated from these model spintronics materials. List of Acronyms

AMR CB DOS DW FMR GGA GMR LSMR LT-MBE MRAM OSOT OSTT SET SHE SOT SQUID STM STT TAMR TBA TMR WB

Anisotropic magnetoresistance Coulomb blockade Density of states Domain wall Ferromagnetic resonance Generalized gradient approximations Giant magnetoresistance Linear spin-Hall magnetoresistance Low-temperature molecular-beam epitaxy Magnetic random access memory Optical spin–orbit torque Optical spin–transfer torque Single-electron transistor Spin-Hall effect Spin–orbit torque Superconducting quantum interference device Scanning tunneling microscopy Spin–transfer torque Tunneling anisotropic magnetoresistance Tight-binding approximation Tunneling magnetoresistance Walker breakdown

Introduction (Ga,Mn)As has been the most extensively studied member of the Mn-doped III–V family of magnetic semiconductors. It becomes ferromagnetic for doping above 1 % of the Mn local moments and acceptors. For semiconductor hosts this is a very high doping level which in case of (Ga,Mn)As and some related (III,Mn)V compounds can be achieved by the nonequilibrium, low-temperature molecular-beamepitaxy (LT-MBE) technique [1–6]. Magnetic properties of the material can be modified by the means common in semiconductors, such as doping, electric fields, or light. For example, several experiments have verified that changes in the carrier density and distribution in thin (III,Mn)As films due to an applied gate voltage can induce reversible changes of the Curie temperature Tc, as illustrated in Fig. 1, and of other magnetic and

III–V Based Magnetic Semiconductors

Fig. 1 Top panel: schematics of a field-effect transistor with an ultrathin (3.5 nm) (Ga,Mn) As layer. Bottom panel: experimental temperature dependence of the spontaneous moment for selected values of gate voltage. Temperatures at which moment disappears define the Curie temperature Tc, as marked by arrows (Reprinted by permission from Macmillan Publishers Ltd: Nature Physics [16], copyright (2009))

467

VG

Au gate Dielectric (Ga, Mn)As 8 Ga0.93Mn0.07As mS (10– 6 e.m.u. cm–2 )

13

0 < H < 1Oe

6 –6 4

+6

VG(V) 0

2

–12

+12 0

0

10

20 30 Temperature (K)

40

50

magneto-transport properties [7–16]. The interplay between optical and magnetic properties was demonstrated in experiments in which ferromagnetism in a (III,Mn) As system is turned on and off optically or in which recombination of spinpolarized carriers injected from the ferromagnetic semiconductor yields emission of circularly polarized light [17–19]. The tunability of magnetic properties and compatibility with established heterostructure growth and microfabrication techniques in semiconductors have made (Ga,Mn)As an ideal test-bed material for spintronics research. High-quality magnetic tunnel junctions have been demonstrated showing large tunneling magnetoresistances [20–23]. In the studies of spin–transfer torques in tunnel junctions [24] and domain walls [25–31], the dilute-moment p-type (Ga,Mn)As is unique for its low saturation magnetization and strong spin–orbit coupled valence band [32–34]. This implies low currents required to excite magnetization dynamics and the leading role of magnetocrystalline anisotropies over the dipolar shape anisotropy fields, which allows for unprecedented control of the magneto-transport phenomena ex situ by microfabrication [27, 35] or in situ by piezoelectric gating [31, 36–38]. Besides the more conventional spintronics effects such as the tunneling magnetoresistance and spin–transfer torque, (Ga,Mn)As studies have particularly important contributions to the research of spintronics phenomena based on the relativistic

468

T. Jungwirth

spin–orbit coupling. Here among the new effects discovered in (Ga,Mn)As, and subsequently observed in other systems including conventional metal magnets, are the anisotropic magnetoresistance effects in nanostructures [39–44] and the currentinduced spin–orbit torques observed in uniform magnetic structures [45, 46]. The optical activity of (Ga,Mn)As, characteristic of direct-gap semiconductors, has led to the discovery of the optical counterparts of the current-induced torques [47, 48]. In this chapter we provide an overview of the magnetic and electronic properties of (Ga,Mn)As and of the spintronics phenomena and devices that have been explored using this model material system. For more detailed discussions, we refer to other comprehensive review articles on ferromagnetic semiconductors [49–54].

Electronic Structure and Magnetic Coupling The most stable position of Mn in the GaAs host lattice up to a certain level of Mn doping is on the Ga site. The isolated MnGa substitutional impurity has the character of a local moment with zero orbital angular momentum and with spin S = 5/2 (Lande´ g-factor g = 2) due to the five 3d electrons and a moderately bound hole. The experimental acceptor binding energy of MnGa is E0a  0:1 eV [55–59]. At concentrations  1 % of MnGa, (Ga,Mn)As is insulating and paramagnetic. Experimentally, ferromagnetism in (Ga,Mn)As is observed when Mn doping reaches approximately 1 %, and the system is still below but near the insulatorto-metal transition [60–63]. At these Min concentrations, the localization length of the holes is extended to a degree that allows them to mediate, via the sp  d hybridization, ferromagnetic exchange interaction between Min local moments, even though the moments are dilute. Beyond 1.5 % Mn doping, (Ga,Mn)As becomes a degenerate semiconductor with a metallic-like conduction [49, 51, 63]. In the metallic regime, Mn provides delocalized holes with a density comparable to Mn density [64–66]. Unlike the metal–insulator phase transition, which is sharply defined in terms of the temperature T = 0 limit of the conductivity, the crossover in the character of states near the Fermi level in semiconductors with increased doping is gradual [51, 67–71]. At very weak doping, the Fermi level resides inside a narrow impurity band separated from the valence band by an energy gap of magnitude close to the impurity binding energy. At high doping when (Ga,Mn)As is ferromagnetic and metallic, the basic electronic structure and origin of magnetism have been a subject of some controversies. Here it is important to separate the physics and terminology sides of the debate. We start with the character of the electronic structure. Physics: Based on a resonant tunneling study, it was concluded [72] that the effect of Mn impurities in ferromagnetic metallic (Ga,Mn)As is condensed within a narrow (~10’s meV) detached impurity band, leaving the host GaAs valence band virtually unaffected. The photoemission studies [73, 74], on the other hand, showed that there is no gap between the Mn-induced impurity band and the GaAs valence bands and that Mn introduces changes throughout the entire valence band. Impurity and valence bands

13

III–V Based Magnetic Semiconductors

469

are merged in ferromagnetic (Ga,Mn)As, according to photoemission. While in the resonant tunneling experiments the detached impurity band is not measured directly and its presence if inferred indirectly from electrical transport measurement through a heterostructure containing (Ga,Mn)As, the merged impurity and valence bands are observed directly in the photoemission spectroscopy of bare (Ga,Mn)As films. Terminology: For merged impurity and valence bands, assigning the Fermi level states to an impurity band or to a valence band if ambiguous and, therefore, only a matter of the choice of a preferred language. A related but separate side of the debate concerns the nature of the magnetic coupling in the ferromagnetic metallic (Ga,Mn)As. Physics: Density functional theory calculations of the band structure of (Ga,Mn)As are in agreement with the photoemission measurements [73, 74]. Microscopic calculations of the (Ga,Mn)As band structure based on the multiorbital tight-binding-approximation (TBA) Anderson model [75], which are in broad agreement with the density functional theory, provide a direct link between microscopic electronic structure calculations and effective models of magnetic coupling mechanisms. In (Ga,Mn)As, a smaller part of the spectral weight near the Fermi energy is formed by Mn d-orbitals and a larger part by the host GaAs sp-orbitals [73–75], as shown in Fig. 2. The states near the Fermi energy are formed by sp-orbitals with moderately hybridized Mn d states. Zener’s effective sp  d kinetic-exchange model is derived by performing the Schrieffer–Wolff transformation of the sp  d hybridization (and Coulomb interaction) into an effective exchange coupling between magnetic moments and carrier spins [51]. In the transformation, the sp  d hybridization is treated perturbatively so the model accuracy increases with decreasing hybridization strength. For the moderate sp  d hybridization in (Ga,Mn)As, one can expect sizable corrections beyond the weak sp  d hybridization limit of the kinetic-exchange model. Simultaneously, the Fermi level states in (Ga,Mn)As are not characterized by occupying a narrow detached impurity band with a dominant Mn d-orbital composition. The material is, therefore, far from the corresponding Zener’s d  d hopping doubleexchange model when viewed from the perspective of the orbital-resolved electronic structure. The weak sp  d hybridization kinetic-exchange model predicts a linear dependence of the mean-field Curie temperature Tc on the Mn moment density, and the d  d hopping double-exchange model predicts a square-root dependence on the Mn moment density [52]. In both models the mean-field Tc increases monotonously with the Mn moment density, and calculations based on the density functional theory band structure show a sublinear dependence for (Ga,Mn)As [52]. Strongly distinct predictions of the two models are for the carrier-density dependence of the mean-field Tc. While the kinetic-exchange model predicts a weak monotonous increase of Tc with increasing density (to power 1/3 approximately), the doubleexchange model predicts maximum Tc at half filling and zero Tc for zero carrier compensation (filled or empty d  d hopping impurity band). Microscopic tightbinding Anderson [66] and density functional [52] calculations show initially an increasing Tc trend with increasing carrier density followed by a saturation near zero carrier compensation. The microscopic theories are consistent with

470

a 1.5

n Tot (E ) (states eV−1)

Fig. 2 (a) and (b) Calculated spin-resolved element and orbital-projected density of states (DOS) for Ga0.97Mn0.03As, with Ga, As, and Mn contributions indicated (Reprinted by permission from Macmillan Publishers Ltd: Nature Materials [73], copyright (2012))

T. Jungwirth

Ga0.97Mn0.03As Spin-up

Total Ga As Mn

1.0

0.5

0.0 12

10

8

6 4 2 Binding energy (eV)

0

b

n Tot (E ) (states eV−1)

1.5

Ga0.97Mn0.03As Spin-down

Total Ga As Mn

1.0

0.5

0.0 12

10

8

6 4 2 Binding energy (eV)

0

experiments in Ref. [66] and [76]. On the other hand, the experimental work in Ref. [77] and [78] concluded that Tc collapses at zero carrier compensation. In Ref. [66] and [76], Tcs reach ~ 190 K while in Ref. [77] and [78] Tcs reach only ~ 90 K. Measurements in Ref. [66] and [76] were performed on (Ga,Mn)As materials prepared under carefully optimized synthesis conditions. The essential importance of optimized material synthesis for elucidating experimental doping trends in micromagnetic parameters of (Ga,Mn)As is thoroughly discussed in Ref. [79]. Terminology: It is only a matter of a preferred terminology how the mutually fully consistent physical results, as obtained among others in Refs. [52, 66, 73–76], are linked to Zener’s magnetic exchange models. One may emphasize the presence of contributions beyond the weak hybridization limit in (Ga,Mn)As by using a term “moderate sp  d hybridization kinetic exchange” [75], and others might prefer using a term “kinetic exchange with admixed double exchange” [52, 73].

13

III–V Based Magnetic Semiconductors 20

471

10% Mn

80

LDA+U

Density of states (arb.units)

0 –20 20

100% Mn

40

20% Mn

0 0 –20

50% Mn

20 –40 0 –20 –80 –8

–4 0 Energy (eV)

4

–8

–4 0 Energy (eV)

4

Fig. 3 DOS for (Ga,Mn)As mixed crystals with various contents of Mn obtained in the GGA + U theory. Blue line represents the total DOS while the red area shows the partial density of Mn d states (From Ref. [75]. Reprinted with permission from J. Masˇek et al., Physical Review Letters, 105, 2010. Copyright (2010) by the American Physical Society)

In Fig. 3 we show density of states (DOS) over the entire MnGa doping range obtained from the generalized gradient approximation (GGA) + U density functional calculations [52, 75]. The GGA + U, the TBA-Anderson, and the kineticexchange Zener theories all provide a consistent picture of the band structure of ferromagnetic (Ga,Mn)As. Simultaneously, it is important to keep in mind that the moderate acceptor binding energy of MnGa shifts the insulator-to-metal transition to orders of magnitude higher doping densities than in the case of common shallow nonmagnetic acceptors, as mentioned above [63, 75]. Disorder and correlation effect, therefore, play a comparatively more significant role in (Ga,Mn)As than in degenerate semiconductors with common shallow dopants, and any simplified one-particle band picture of ferromagnetic (Ga,Mn)As can only represent a proxy to the electronic structure of the material. To illustrate the role of strong intrinsic disorder in ferromagnetic (Ga,Mn)As, we show in Fig. 4 the local DOS along one of the directions inside the simulation cells illustrated in Fig. 5 for 5 % Mn doping. In the model, the band structure and disorder effects are treated at an equal level by using exact diagonalization supercell simulation method [80]. There is an extensive weight of the density of states in the mid-gap region which arises from the deep bonding states created by neighboring Mn impurities. The higher energy tail of the disordered valance band comprises localized states as highlighted in Fig. 4b. On the other hand, the Fermi energy is located deep in the delocalized region away from the mobility edge. The spatial inhomogeneity of the distribution of states in the gap region and the peaks formed by bonding states in the gap region are consistent with scanning tunneling

472

a LDoS[eV−1nm−6]

Fig. 4 (a) Local DOS for 5 % Mn doping. The plot shows different positions along the x-axis of the simulation supercell which is shown in Fig. 5. The vertical plane shows the position of the Fermi energy. The results are from the theoretical Ref. [80] and agree qualitatively with the STM experiments in Ref. [81]. (b) DOS for 5 % Mn doping. Red area shows the localized states

T. Jungwirth

0.03 0.02 2

0.01 0.00 −0.1

0 [nm]

0.0 0.1 E[eV]

−2

0.2 0.3

b

Mn 5% no compensation Fermi Energy

5

DoS [eV−1nm−3]

4 3 2 1 0

−0.1

0

0.1

0.3 0.2 Energy [eV]

0.4

0.5

0.6

microscopy (STM) experiments [81]. The effect of strong disorder on the wave functions is illustrated in Fig. 5 which compares the probability distributions of a localized state near the disordered valance band edge, a delocalized state near the Fermi energy, and a state near the mobility edge.

Curie Temperature and Doping Trends As seen in Fig. 3, the bands evolve continuously from the intrinsic nonmagnetic semiconductor GaAs, via the degenerate ferromagnetic semiconductor (Ga,Mn)As to the ferromagnetic metal MnAs. From this it can be expected that Tc of MnAs, with the value close to room temperature (350 K for cubic MnAs inclusions in (Ga, Mn)As [82, 83]), sets the upper theoretical bound of achievable Tcs in (Ga,Mn)As across the entire doping range. In experiment, the MnGa doping is limited to approximately 10 % with corresponding Tc reaching 190 K in uniform thin-film crystals prepared by optimized LT-MBE synthesis and post-growth annealing. In these samples the hole density is in the ~ 1020  1021 cm3 range, i.e., several

13

III–V Based Magnetic Semiconductors

Fig. 5 Wave function probability distribution of a localized state near the disordered valance band edge (a), a delocalized state near the Fermi energy (c), and a state near the mobility edge (b) (From Ref. [80]. Reprinted with permission from Huawei Gao et al., Physical Review B, 91, 2015. Copyright (2015) by the American Physical Society)

473

a

>0.5 0.4-0.5 0.3-0.4 0.2-0.3 0.1-0.2 0.02-0.1

b

>0.5 0.4-0.5 0.3-0.4 0.2-0.3 0.1-0.2 0.02-0.1

c

>0.5 0.4-0.5 0.3-0.4 0.2-0.3 0.1-0.2 0.02-0.1

474

T. Jungwirth

ρ (10−3Ωcm)

b

c

80

10

5 Oe 1000 Oe

60

0 Oe 1000 Oe 8

40 6

20 0

4 (1)

2.2 (2) 2

2

0.1

1.8

dρ/dT (10−6Ωcm/K)

M (emu/cm3)

a

0 (1) −(2)

1.6

0 0

100

200 T (K)

300

−2 100

200 T (K)

Fig. 6 (a) Temperature-dependent SQUID magnetization of an optimized 12.5 % Mn-doped (Ga, Mn)As measured at 5 and 1000 Oe. (b) Measured resistivity ρ(T ) at zero field (1), the fit of ρ(T ) by ρfit(T ) = c0 + cnmT + cm2M2(T ) + cm4M4(T ) (2), and the difference ρ(T )  ρfit(T ) for the 5 and 1000 Oe field measurements (right-hand scale). (c) Temperature derivative of the measured resistivity at 5 and 1000 Oe. The peak in zero-field dρ/dt coincides, within the experimental error, with the SQUID Tc = 185 K (From Ref. [84], Reprinted with permission from V. Novák et al., Physical Review Letters, 101, 2008. Copyright (2008) by the American Physical Society)

orders of magnitude higher than densities in commonly used nonmagnetic semiconductors but also one to two orders of magnitude lower than is typical for metals. A detailed measurement of the Curie temperature in a high-quality (Ga,Mn)As material is illustrated in Fig. 6 [84]. Figure 6a shows magnetization M(T ) measured along the easy axis in this material over the whole studied temperature range. The remanence vanishes sharply at T ! T  c . Figure 6b shows results of corresponding measurements of the resistivity ρ(T ). A useful insight into the physics of the shoulder in ρ(T ) near Tc is obtained by first removing the nonmagnetic part in the temperature dependence, cnmT, which is approximated by linearly extrapolating from the high-temperature ρ(T) data (see the straight dotted line in Fig. 6b). The measured M(T) then allows to subtract the contribution from uncorrelated scattering, assuming a M2 expansion dependence [5, 85–87]. On the ferromagnetic side, a very close fitting is achieved by ρfit(T) = c0 + cnmT + cm2M2(T ) + cm4M4(T). On the paramagnetic side, the nose dive of the remaining magnetic contribution to ρ(T) suggests that the shoulder in the measured resistivity originates from a singular behavior at T ! T þ c rather than from disorder broadening effects. The singularity is revealed by numerically differentiating the experimental zero-field ρ(T ) curve, as shown in Fig. 6c. The position of the sharp peak in dρ/dT coincides with the Curie temperature determined from M(T ).

σ (Ω−1cm−1)

a

III–V Based Magnetic Semiconductors

103

7% 2%

101

1% 10–1 x=0.05% 10–3

6 8 10 1000/T (K–1)

1 0

NMn (1021cm–3)

101 10–1 2

4

6 8 x (%)

100 2

75 50

1

25

10 12 14

x=1.5%

0

f 200 13%

150 TC (K)

1.0

2

12

103

c

3

e

10–30

(dρ/dT)*

4

d

M (emu/cm3)

σ (Ω−1cm−1)

b

2

475

p (1021cm–3)

13

0.5

100 50

0.0 0

50

100 150 T (K)

200

0

0

2

4

6

8 10 12 14

x (%)

Fig. 7 (a) Temperature dependence of the conductivity σ(T ) of optimized (Ga,Mn)As epilayers with depicted nominal Mn doping. Dashed lines indicate the activated parts of σ(T ) of the insulating paramagnetic (Ga,Mn)As with 0.05 % Mn doping, corresponding to the Mn acceptor level and the band gap, respectively. (b) Conductivity at 4 K as a function of the nominal Mn doping. Open symbol corresponds to a paramagnetic sample. (c) Sharp Curie point singularities in the temperature derivative of the resistivity in the series of optimized ferromagnetic (Ga,Mn)As epilayers with metallic conduction. (d–f) Hole density p, magnetization M and corresponding Mn moment density NMn, and Curie temperature Tc as a function of the nominal Mn doping in the series of optimized (Ga,Mn)As epilayers (From Ref. [79]. Copyright # 2013, Rights Managed by Nature Publishing Group)

The dρ/dT singularity described in Fig. 6 is a generic characteristic of optimized (Ga,Mn)As films spanning a wide range of Mn dopings. This is illustrated in Fig. 7c together with the other doping characteristics of the optimized materials shown in Fig. 7. From the doping trends seen in Fig. 7, the uniform (Ga,Mn)As materials with minimized extrinsic disorder can be divided into the following groups: At nominal dopings below ~ 0.1 %, the (Ga,Mn)As materials are paramagnetic, strongly insulating, showing signatures of the activated transport corresponding to valence

476

T. Jungwirth

bandimpurity band transitions at intermediate temperatures and valence bandconduction band transitions at high temperatures (see Fig. 7a) [63, 79]. For higher nominal dopings, 0.5 ≲ x ≲ 1.5 %, no clear signatures of activation from the valence band to the impurity band are seen in the dc transport, indicating that the bands start to overlap and mix, yet the materials remain insulating. At x  1.5 %, the low-temperature conductivity of the film increases abruptly by several orders of magnitude (see Fig. 7b), and the system turns into a degenerate semiconductor. The onset of ferromagnetism occurs already on the insulating side of the transition at x  1 %. All ferromagnetic samples over a broad nominal Mn-doping range can have sharp Curie point singularities when synthesized under individually optimized growth and post-growth annealing conditions (see Fig. 7c). The hole concentration p can be measured by the slope of the Hall curve at high fields with an error bar due to the multi-band nature estimated to ~ 20 % [66]. Within this uncertainty, the overall trend shows increasing p with increasing doping in the optimized materials, as shown in Fig. 7d. Similarly, the saturation moment and Tc steadily increase with increasing nominal doping up to x  13 %, as shown in Fig. 7e, f. Assuming 4.5 μB per Mn atom [88], the density NMn of uncompensated MnGa moments can be inferred from the magnetization data (see left y-axis in Fig. 7e). Since there is no apparent deficit of p compared to NMn and since the interstitial Mn impurity [89–91] compensates one local moment but two holes, it can be concluded that interstitial Mn, which is the key contributor to extrinsic disorder, is removed in the optimally grown and annealed epilayers. Hence, a broad series of optimized (Ga,Mn)As materials can be prepared with reproducible characteristics, showing an overall trend of increasing saturation moment with increasing x, increasing Tc (reaching ~ 190 K), and increasing hole density. The materials have no measurable charge or moment compensation of the substitutional MnGa impurities and have a large degree of uniformity.

Magnetic Anisotropy Effects in Films and Devices Micromagnetic Parameters The magnetic anisotropy relates to the dependence of the total energy of the magnetic material on the direction of the magnetization vector. In magnetic memories it is the key parameter that determines the retention of the stored information. In the optimized (Ga,Mn)As epilayers grown on GaAs, the internal magnetic anisotropy fields are dominated by three components. The out-of-plane component Kout is a sum of the thin-film shape anisotropy and the relativistic magnetocrystalline anisotropy due to the compressive growth strain in (Ga,Mn) As deposited on the GaAs substrate. The cubic magnetocrystalline anisotropy Kc reflects the zinc-blended crystal structure of the host semiconductor. The additional uniaxial anisotropy component Ku along the in-plane diagonal is not associated with any measurable macroscopic strain in the epilayer, and its origin is still debated.

13

III–V Based Magnetic Semiconductors

477

In a ferromagnetic resonance experiment, the precession frequency is given by  gμB  Hext cos ðφ  φH Þ  2K out þ K c ð3 þ cos 4φÞ=2 þ 2K u sin2 ðφ  π=4Þ þ ΔH n 1=2 h  ½H ext cos ðφ  φH Þ þ 2K c cos 4φ  2K u sin 2φ þ ΔH n 1=2 ;



(1) where g is the Lande´ g-factor of Mn moments, μB is the Bohr magneton, φ and φH are the in-plane magnetization and external magnetic-field angles measured from the [100] crystal axis, and ΔHn is the shift of the resonant field for the higher-index n spin wave modes with respect to the n = 0 uniform precession mode. In order to uniquely determine the anisotropy constants, field-dependent precession frequency measurements were performed on the optimized films by a magneto-optical pumpand-probe technique and complemented by the superconducting quantum interference device (SQUID) measurements [79]. The values of Kout and Kc for the given Mn doping are well reproducible in materials whose synthesis yields the same optimized values of the basic structural, magnetic, and transport properties. For the Ku constant, variations in the width of the optimized thin (Ga,Mn)As films or of other otherwise insignificant changes of the growth or annealing conditions may yield sizable changes of Ku. This indicates a more subtle, extrinsic nature of this magnetic anisotropy component. The sign of Kout implies that the (Ga,Mn)As/GaAs materials are in-plane ferromagnets. The competing magnitudes of Kc and Ku and the different doping trends of these two in-plane magnetic anisotropy constants (see Fig. 8a) are therefore crucial for the micromagnetics of the materials. The biaxial anisotropy Kc dominates at very low dopings, and the easy axis aligns with the main crystal

Ki (mT)

50

Ku

25

3.0

10 8

Kc

0

2.5

6 2.0 4

–100

D (meV .nm2)

b

75

α (10–2)

a

1.5

–150

2

Kout

–200 2

4

6 X (%)

8

10

0

2

4

6

8

1.0 10

X (%)

Fig. 8 (a) Dependence of magnetic anisotropy constants on nominal Mn doping. (b) Dependence of the Gilbert damping constant α and the spin stiffness constant D on nominal Mn doping. Measurements were performed at 15 K (From Ref. [79]. Copyright # 2013, Rights Managed by Nature Publishing Group)

478

T. Jungwirth

axis [100] or [010]. At intermediate dopings, the uniaxial anisotropy Ku is still weaker but comparable in magnitude to Kc. In these samples the two equilibrium   easy axes are tilted toward the 110 direction, and their angle is sensitive to small changes of temperature or externally applied electrostatic or piezo voltages [7, 8, 11–14, 31, 36–38]. The origin of the magnetocrystalline anisotropies is in the spin–orbit coupling of the valence band holes mediating the ferromagnetic Mn–Mn coupling, as described on a qualitative or semiquantitative level by the model, kinetic-exchange Hamiltonian theory [92–94]. For completeness we show in Fig. 8b the Gilbert damping constants and spin stiffnesses inferred from the same set of measurements as for the magnetic anisotropy constants. Their values are also consistent with theory predictions for the spin–orbit coupled disordered valence band of (Ga,Mn)As [32, 95–98].

Resistors Resistors, capacitors, and transistors are among the key elements of electronic circuits. Here and in sections “Tunnel Junctions,” “Capacitors,” and “Transistors,” we review how research in (Ga,Mn)As has contributed to studies of the relativistic magnetic anisotropy phenomena reflected in functionalities of these electronic devices. In general, magnetic effects on transport properties of conductors can be ascribed to three different categories: ordinary (orbital), due to the Lorentz force; spin-dependent, due to spin splitting of bands through ferromagnetism or the Zeeman effect; and extraordinary, relativistic in origin through the spin–orbit interaction. Well-known examples of these effects are Lorentz magnetoresistance, giant magnetoresistance (GMR) [99], and anisotropic magnetoresistance (AMR) [100], respectively. (Ga,Mn)As represents a favorable model system for understanding the phenomenology and detailed microscopic origin of the AMR in ohmic resistors [101–108]. AMR is the symmetric magnetoresistance coefficient with the longitudinal and transverse resistivities obeying, ρL(M) = ρL(M) and ρT(M) = ρT(M), where M has an arbitrary orientation. AMR was discovered by Lord Kelvin in transition metal ferromagnets [109] and was used in the first generation of hard drive read heads and magnetoresistive random access memories (MRAMs) [110]. Among the remarkable AMR features of (Ga,Mn)As ferromagnetic semiconductors are the opposite sign of the noncrystalline component, compared to most metal ferromagnets, and the crystalline terms reflecting the rich magnetocrystalline anisotropies [101–107]. In Fig. 9 we show an example of AMR data replotted from Ref. [107] which reports a systematic experimental and phenomenological study of the AMR coefficients in (Ga,Mn)As films, grown on (001)- and (113)A-oriented GaAs substrates. Microscopic numerical simulations [102, 111] consistently describe the sign and magnitudes of the noncrystalline AMR in the standard (Ga,Mn)As materials with

13

III–V Based Magnetic Semiconductors

479

Fig. 9 Left panels: magnetization and external magnetic-field vectors with different orientations below saturation fields. The out-of-plane and in-plane AMR measurement geometries. Right panel: measured longitudinal and transverse in-plane AMR curves at external fields smaller than the saturation field (0.1 and 0.25 T) and larger than the saturation field (0.7 T). The solid lines represent fits to the experimental data (From Ref. [107]. Reprinted with permission from W. Limmer et al., Physical Review B, 74, 2006. Copyright (2006) by the American Physical Society)

metallic conductivities and capture the presence of the more subtle crystalline terms associated with, e.g., growth-induced strain [104, 111]. In the following paragraphs, we describe some of the rich AMR phenomenology in (Ga,Mn)As in more detail and explain the basic microscopic physics of the AMR in dilute-moment ferromagnets. For simplicity we discuss only AMR in saturating magnetic fields, i.e., for M fully aligned with the external field, and the pure AMR geometry with zero (antisymmetric) Hall signal, i.e., for M oriented in the plane of the device. (Ga,Mn) As films grown on the (001)-GaAs substrate will be considered. The phenomenological decomposition of the AMR of (Ga,Mn)As into various terms allowed by symmetry is obtained by extending the standard phenomenology

480

T. Jungwirth

[112], to systems with the cubic [100] plus the uniaxial [110] anisotropy. With this the longitudinal AMR is written as [108] Δρxx ¼ CI cos 2ϕ þ CU cos 2ψ þ CC cos 4ψ ρav þ CI, C cos ð4ψ  2ϕÞ;

(2)

where Δρxx = ρxx–ρav, ρav is the ρxx averaged over 360 in the plane of the film, ϕ is ^ and the current I, and ψ is the the angle between the magnetization unit vector M ^ angle between M and the [110] crystal direction. The four contributions are the noncrystalline term, the lowest order uniaxial and cubic crystalline terms, and a crossed noncrystalline/crystalline term. The purely crystalline terms are excluded by symmetry for the transverse AMR, and one obtains Δρxy ¼ CI sin 2ϕ  CI, C sin ð4ψ  2ϕÞ: ρav

(3)

In the dilute-moment systems like (Ga,Mn)As ferromagnets, two distinct microscopic mechanisms lead to anisotropic carrier lifetimes. One combines the spin–orbit coupling in the carrier band with polarization of randomly distributed magnetic scatterers and the other with polarization of the carrier band itself resulting in an asymmetric band-spin texture. Although acting simultaneously in real systems, theoretically both mechanisms can be turned on and off independently. Since the former mechanism clearly dominates in (Ga,Mn)As, the spin splitting of the valence band is neglected in the following qualitative discussion. This is further simplified by focusing on the noncrystalline AMR in the heavy-hole Fermi surfaces in the spherical, s k k, spin-texture approximation [113] and   ^  s . Here s and k are considering scattering off a δ-function potential / r þ M the carrier spin operator and wave vector, and r represents the ratio of nonmagnetic and magnetic parts of the Mn impurity potential. Assuming a proportionality between conductivity and lifetimes of carriers with k||I gives the following qualitative analytical expression for the AMR in this class of materials [108],         ^ kI σ M 1 1 1 2 2 2 2 : r þ r    ¼ r þ ^ 4 12 4 σ M⊥I

(4)

    ^ k I < σ M⊥I ^ Therefore, when r  1, one expects σ M (as is usually observed in metallic ferromagnets). However, the sign of the noncrystalline AMR pffiffiffiffiffi reverses at a relatively weak nonmagnetic potential (r ¼ = 20 in the model), its magnitude is then maximized when the two terms are comparable (r = 1/2), and, for this mechanism, it vanishes when the magnetic term is much weaker than the nonmagnetic term (r ! 1). ^ i.e., with s parallel or antiparallel to M, ^ Physically, carriers moving along M, experience the strongest scattering potential among all Fermi surface states when

13

III–V Based Magnetic Semiconductors

481

Fig. 10 Measured (at 4.2 K) longitudinal and transverse AMR for Ga0.92Mn0.05As as a function of ^ and I. The legend shows the direction of the current. The y-axes show that Δρ/ the angle between M ρav shifted such that the minimum is at zero (From Ref. [108]. Reprinted with permission from W. Limmer et al., Physical Review B, 74, 2006. Copyright (2006) by the American Physical Society)

    ^ k I < σ M⊥I ^ r = 0, giving σ M . When the nonmagnetic potential is present, however, it can more efficiently cancel the magnetic term for carriers moving along pffiffiffiffiffi ^ and for relatively small r, the sign of AMR flips. Since r < 1= 20 is unrealistic M     ^ k I < σ M⊥I ^ for the magnetic acceptor Mn in GaAs [51, 111], one obtains σ M , consistent with experiment. Differences among experimental AMRs for current along the [100], [110], and   110 directions show that cubic and uniaxial crystalline terms are also sizable. This phenomenology is systematically observed in experimental AMRs of weakly or moderately compensated metallic (Ga,Mn)As films. Typical data for such systems [108], represented by the 25 nm Ga0.95Mn0.05As film with 3.6% AMR, are shown in   Fig. 10 for the Hall bars patterned along the [100], [010], [110], and 110 directions. The high crystalline quality metallic (Ga,Mn)As samples allow to produce low contact resistant Hall bars accurately orientated along the principle crystallographic axes, from which it is possible to extract the independent contributions to the AMR. It is also possible to fabricate low contact resistance Corbino disk samples for which the averaging over the radial current lines eliminates all effects originating from a specific direction of the current [108]. Corbino measurements are possible in these materials because they are near-perfect single crystals but with low carrier density and mobility (compared with single-crystal metals) and so can have large source-drain resistances compared with the contact resistances. Measured results for a Corbino device fabricated from the same 25 nm Ga0.95Mn0.05As film as used for the Hall bars are shown in Fig. 11 [108]. The AMR signal is an order of magnitude weaker than in the Hall bars and is clearly composed of a uniaxial and a cubic contribution. Figure 11 also shows the crystalline components of the AMR extracted by fitting the Hall bar data to the phenomenological longitudinal and transverse AMR expressions. Figure 11d shows the consistency for the coefficients CI,C, CU, and CC when extracted from the Hall bar

482

T. Jungwirth

a

b

[010]

[100]

800mm 300mm [100]

d 0.15

90 60

120

0.4 30

150

0.2 0.0 180

0

0.2 210

330 ψ(degrees)

0.4 300

240 270

Coefficient (Δρ/ρ(%))

Δρ/ρav−(Δρ/ρav)min (%)

c

CI,C Hall Bars −CU Hall bars −CC Hall bars −CU Corbino −CC Corbino

0.10 0.05 0.00 0

20

40 60 80 100 120 Temperature (K)

Fig. 11 (a) and (b) Cartoons of the Corbino disk and Hall bar devices, respectively. (c) MR of the 25 nm Ga0.95Mn0.05As film in the Corbino geometry at 4.2 K (red line), 30 K (blue line), and  60 K (black line) and the crystalline component extracted from the Hall bars AMR½110 þ AMR 110 =2 (closed points) and (AMR[100] + AMR[010])/2 (open points). (d) Temperature dependence of the crystalline terms extracted from the Hall bars and Corbino devices (From Ref. [108]. Reprinted with permission from W. Limmer et al., Physical Review B, 74, 2006. Copyright (2006) by the American Physical Society)

and Corbino disk data over the whole range up to the Curie temperature (80 K). The uniaxial crystalline term, CU, becomes the dominant term for T 30 K. This correlates with the uniaxial component of the magnetic anisotropy which dominates for T 30 K as observed by SQUID magnetometry measurements [108, 114]. A unique AMR phenomenology has been observed on ultrathin (5 nm) Ga0.95Mn0.05As films [108]. The crystalline terms dominate the AMR with the uniaxial crystalline term being the largest. SQUID magnetometry on 5 nm Ga0.95Mn0.05As films consistently shows that the uniaxial component of the magnetic anisotropy dominates over the whole temperature range [115]. The 5 nm films have lower Curie temperatures (TC  30 K) than the 25 nm films and become highly resistive at low temperature, indicating that they are close to the metal–insulator transition. The strength of the effect in the 5 nm films is remarkable, and it is not captured by theory simulations assuming weakly disordered, fully delocalized (Ga,Mn)As valence bands. It might be related to the expectation that magnetic interactions become more anisotropic with increasing localization of the holes near their parent Mn ions as the metal–insulator transition is approached [51].

13

III–V Based Magnetic Semiconductors

483

Fig. 12 (a) Schematic showing layer structure, contact geometry, and crystallographic directions in the TAMR device. (b) Magnetoresistance (MR) hysteresis curves for T = 4.2 K and 1 mV bias with in-plane magnetic field H along 0 and 50 . MR is spin valve like with two abrupt switching events at Hc1 and Hc2. Depending on the angle, the width of the feature and, more important, its sign can change. The high-resistance state corresponds to magnetization along [100]-axis and the low-resistance state along [010]-axis (Reprinted with permission from [129]. Copyright (2005), AIP Publishing LLC)

Tunnel Junctions The tunneling magnetoresistance (TMR) represents the difference between resistivities in configurations with parallel and antiparallel polarizations of ferromagnetic layers separated by a nonmagnetic barrier in magnetic tunnel junctions [99, 116–119]. The TMR in tunnel junctions can be much larger than the AMR of simple ohmic resistors and is the key effect utilized for readout in modern MRAMs [120]. In (Ga,Mn)As, functional magnetic tunnel junction devices can be built, as demonstrated by the measured large TMR effects [20–24, 121–125]. Here we focus on the physics of the tunneling anisotropic magnetoresistance (TAMR) which was discovered in (Ga,Mn)As-based tunnel devices [22, 39, 121, 122, 125–128]. TAMR, like AMR, arises from spin–orbit coupling and reflects the dependence of the tunneling density of states of the ferromagnetic layer on the orientation of the magnetization. The effect does not rely on spin coherence in the tunneling process and requires only one ferromagnetic contact. In Fig. 12 we show the TAMR signal which was measured in a (Ga,Mn)As/ AlOx/Au vertical tunnel junction [39, 129]. For the in-plane magnetic field applied at an angle 50 off the [100]-axis, the magnetoresistance is reminiscent of the conventional spin-valve signal with hysteretic high-resistance states at low fields and low-resistance states at saturation. Unlike the TMR, however, the sign changes when the field is applied along the [100]-axis. Complementary SQUID

484

T. Jungwirth

a 3.0 300%

R (MΩ)

2.5

0.5 mV 2.5 mV 5.0 mV 10.0 mV

2.0 1.5 1.0 0.5

b 1010

1500-fold

R (Ω)

109 108 107 106

c

6

R (MΩ)

−100

1

−50

0 50 Magnetic Field (mT)

d 104

90

0.5

100

90

102 0

180

100

0

180

1

102 6

5mV 7mV 10mV

270

104

1mV 2mV

270

Fig. 13 (a) TAMR along ϕ = 65 at 4.2 K for various bias voltages. (b) Very large TAMR along ϕ = 95 at 1.7 K and 1 mV bias. (c) and (d) ϕ at various bias at 1.7 K (From Ref. [126]. Reprinted with permission from C. R€ uster et al., Physical Review Letters, 94, 2005. Copyright (2005) by the American Physical Society)

magnetization measurements confirmed that for the sample measured in Fig. 12, the high-resistance state corresponds to magnetization in the (Ga,Mn)As contact aligned along the [100]-direction and the low-resistance state along the [010]direction and that this TAMR effect reflects the underlying magnetocrystalline anisotropy between the M || [100] and M || [010] magnetic states of the specific (Ga,Mn)As material used in the study. Since the field is rotated in the plane perpendicular to the current, the Lorentz force effects on the tunnel transport can be ruled out. Microscopic calculations consistently showed that the spin–orbit coupling-induced density-of-state anisotropies with respect to the magnetization orientation can produce TAMR effects in (Ga,Mn)As of the order ~1 % to ~10 % [39, 129]. At very low temperatures and bias voltages, huge TAMR signals were observed [129] in a (Ga,Mn)As/GaAs/(Ga,Mn)As tunnel junction (see Fig. 13) which are not described by the one-body theories of anisotropic tunneling transmission

13

III–V Based Magnetic Semiconductors

485

coefficients. The observation was interpreted as a consequence of electron–electron correlation effects near the metal–insulator transition [130]. Large anisotropic magnetoresistance effects were also measured in lateral nano-constriction devices fabricated in ultrathin (Ga,Mn)As materials [131–133]. The comparison of the anisotropic magnetoresistance signals in the unstructured part of the device and in the nano-constriction showed a significant enhancement of the signal in the constriction [131]. Subsequent studies of these nano-constrictions with an additional side gate patterned along the constriction, discussed in detail in section “Transistors” [10, 42, 134, 135], indicated that single-electron charging effects were responsible for the observed large anisotropic magnetoresistance signals.

Capacitors Classifying magnetocapacitance along similar lines as magnetoresistance, both ordinary and spin-dependent effects have been observed in various systems. Changes in capacitance as a function of in-plane magnetic field were measured in two-dimensional electron gases and attributed to combined Lorentz force and quantum confinement effects [136, 137]; spin-dependent effects were measured due to the Zeeman splitting in Pd plate capacitors [138], and in magnetic tunnel junctions, several measurements have shown changes in capacitance as a function of relative magnetization orientation [139–141]. Research in (Ga,Mn)As has led to the discovery of the third class, the anisotropic magnetocapacitance whose origin is in the spin–orbit interaction [142]. Figure 14 shows the capacitor stack with one of the electrodes made of (Ga,Mn) As, the equivalent circuit, and the measured complex admittance of the capacitor.

a

b I

c

Fig. 14 (a) (Ga,Mn)As magnetocapacitance device structure. (b) Example measurement and fit of admittance of (Ga,Mn)As p–n junction capacitor. The leakage resistance and the access resistance are evident in the offset and frequency dependence of the real part, respectively. (c) Lumped element circuit diagram used for fitting (From Ref. [142]. Reprinted with permission from J. A. Haigh et al., Physical Review B, 91, 2015. Copyright (2015) by the American Physical Society)

486 Fig. 15 2 K measurements of magnetocapacitance for rotations of the magnetization (a) out of plane and (b) in plane with a 1 T magnetic field. The capacitance is extracted from the fitting of the complex admittance (From Ref. [142]. Reprinted with permission from J. A. Haigh et al., Physical Review B, 91, 2015. Copyright (2015) by the American Physical Society)

T. Jungwirth

a

b

In Fig. 15 the capacitance is plotted as a function of in-plane (a) and out-of-plane (b) magnetic-field orientation. There is a clear cubic in-plane symmetry and uniaxial out-of-plane symmetry to the change in capacitance. This is the symmetry that might be expected due to the bulk cubic crystal symmetry in the plane and uniaxial out-of-plane anisotropy due to the compressive strain on the (Ga,Mn)As epilayer grown on the GaAs substrate. Based on the Thomas–Fermi screening length, one can formulate an effective “kinetic” capacitance, in series to the conventional geometric capacitance, with a dependence on the DOS [143]: Ck =A ¼

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi e2 ϵ0 ϵeff ρ3D

(5)

where ρ3D is the DO, ϵ 0ϵ eff is the effective dielectric constant of the constant material, and A is the area of the plate. Taking ρ3D  1046 J1m3 from independent DOS measurements in (Ga,Mn)As [144], Ck =A  50fFμm2 . The change in the total capacitance of the device CT can be related to the change in the kinetic capacitance by ΔCT CT ΔCk ¼ : CT Ck Ck

(6)

13

III–V Based Magnetic Semiconductors

487

It can be seen from this equation that increasing the ratio of total to kinetic capacitance would increase the size of the modulation when rotating the magnetization vector in the ferromagnet. The total capacitance per area of the studied device is  2fF μm2. From these values a  5% change in the DOS would be needed to explain the 0.1 % anisotropic magnetocapacitance measured for the outof-plane field rotation, a value which is reasonable in the studied (Ga,Mn)As material.

Transistors Magnetically induced changes in the position of the chemical potential can be used to construct field-effect transistors whose channel conductance is controlled by spin-dependent effects in the electrodes. Shifts in the chemical potential associated with the magnetic-field-induced Zeeman splitting of the ferromagnetic bands were observed in single-electron transistor (SET) devices as spin-dependent magnetoCoulomb oscillations [145, 146]. Research in (Ga,Mn)As-based SETs has led to the discovery of the relativistic Coulomb-blockade (CB) AMR [10, 42, 44, 134, 135]. Ferromagnetic SETs with (Ga,Mn)As in the transport channel of the transistor [42, 135] were fabricated by trench-isolating a side-gated narrow channel in a thinfilm (Ga,Mn)As epilayer (see Fig. 16). The nonuniform carrier concentration produces differences between chemical potentials Δμ of the lead and of the island in the constriction. There are two mechanisms through which Δμ depends on the magnetic field. One is caused by the direct Zeeman coupling of the external

Fig. 16 (a) Schematics of an all-(Ga,Mn)As SET. Trench-isolated side gate and channel aligned along the [110] direction were patterned in a 5 nm Ga0.98Mn0.02As epilayer. (b) CB conductance (I/VC) oscillations with gate voltage for different source-drain bias. The diamond patterns in this 2D plot are clear fingerprints of single-electron transport (From Ref. [42]. Reprinted with permission from J. Wunderlich et al., Physical Review Letters, 97, 2006. Copyright (2006) by the American Physical Society)

488

T. Jungwirth

magnetic field and leads to a CB magnetoresistance previously observed in ferromagnetic metal SETs [147]. The CB-AMR effect, discovered in the (Ga,Mn)As SETs, is attributed to the spin–orbit coupling-induced anisotropy of the carrier chemical potential, i.e., to magnetization orientation-dependent differences Δμ(M) between chemical potentials of the lead and of the island in the constriction [42]. A marked shift in the CB oscillation pattern is observed when |Δμ(M)| is of the order of the island singleelectron charging energy. The fact that CB-AMR occurs when the anisotropy in a band structure-derived parameter is comparable to an independent scale (singleelectron charging energy) makes the effect distinct and potentially much larger in magnitude as compared to the AMR and TAMR. Indeed, resistance variations by more than three orders of magnitude were observed in the (Ga,Mn)As SETs. The sensitivity of the magnetoresistance to the orientation of the applied magnetic field is an indication of the anisotropic magnetoresistance origin of the effect. This is confirmed by the observation of comparably large and gate-controlled magnetoresistance in a field-sweep experiment and when the saturation magnetization is rotated with respect to the crystallographic axes. The field-sweep and rotation measurements are shown in Fig. 17c, d and compared with analogous measurements of the ohmic AMR in the unstructured part of the (Ga,Mn)As bar, plotted in Fig. 17a, b [42]. In the unstructured bar, higher or lower resistance states correspond to magnetization along or perpendicular to the current direction. Similar behavior is seen in the SET part of the device at, for example, VG = 0.4 V, but the anisotropic magnetoresistance is now hugely increased and depends strongly on the gate voltage. The huge magnetoresistance signals can be also hysteretic which shows that CB-AMR SETs can act as a nonvolatile memory/transistor element. In nonmagnetic SETs, the CB “on” (low resistance) and “off” (high resistance) states can represent logical “1” and “0,” and the switching between the two states can be realized by applying a gate voltage, in analogy with a standard field-effect transistor. The CB-AMR SET can be addressed also magnetically with comparable “on” to “off” resistance ratios in the electric and magnetic modes. Anther type of a (Ga,Mn)As SET device [44] is shown in Fig. 18. Here the SET has a micron-scale Al island separated by aluminum oxide tunnel junctions from Al source and drain leads (Fig. 18a). It is fabricated on top of a (Ga,Mn)As layer, which is electrically insulated from the SET by an alumina dielectric, and acts as a spin back gate to the Al SET. By sweeping the externally applied potential to the SET gate (Vg), one obtains the conductance oscillations that characterize the CB, as shown in Fig. 18b. Due to the magnetic gate, a shift is observed in these oscillations by an applied saturating magnetic field which rotates the magnetization in the (Ga, Mn)As gate. Figure 18b shows measurements for the in-plane (Φ = 90 ) and for the perpendicular-to-plane (Φ = 0 ) directions of magnetization. Alternatively, Fig. 18c shows the channel conductance as a function of the magnetization angle Φ for a fixed external potential Vg applied to the gate. The oscillations in Φ seen in Fig. 18c are of comparable amplitude as the oscillations in Vg in Fig. 18b.

13

III–V Based Magnetic Semiconductors

489

c

a

40

50

30

Rc [MΩ]

RS [ kΩ ]

51 B0 || I

B90 ⊥ I 49

20 10

48 −0.50 −0.25

0.00

0.25

−0.6 −0.4 0.50 −0.2 V G [V 0.0 −0.25 0.00 0.25 ] −0.50 B 0[T]

0.50

B [T]

VS

b

47

d

I

12 10

VC Rc [MΩ]

46 RS [ kΩ ]

VG

45

8 6 4 2

44 −90

B = 5T 0

90 θ [deg]

180

270

−0.6 −0.4 −0.2 V G [V 0.0 ]

−90

0

180 270

90 ]

g θ[de

Fig. 17 (a) Resistance RS = VS/I of the unstructured bar (see schematic diagram) versus up and down sweeps of in-plane magnetic field parallel (blue/green) and perpendicular (red/black) to the current direction. (b) RS versus the angle between the current direction and an applied in-plane magnetic field of 5 T, at which M || B. (c) Channel resistance RC versus gate voltage and down sweep of the magnetic field parallel to the current. (d) RC vs. gate voltage and the angle between the current direction and an applied in-plane magnetic field of 5 T (From Ref. [42]. Reprinted with permission from J. Wunderlich et al., Physical Review Letters, 97, 2006. Copyright (2006) by the American Physical Society)

Since the (Ga,Mn)As back gate is attached to a charge reservoir, any change in the internal chemical potential of the gate induced by the rotating magnetization vector causes an inward, or outward, flow of charge in the gate, as illustrated in Fig. 18e. This change in back-gate charge offsets the Coulomb oscillations (Fig. 18b) and changes the conductance of the nonmagnetic transistor channel for a fixed external potential applied to the gate (Fig. 18c). The device clearly derives its functionality from the oldest among spintronics phenomena, namely, from the AMR. Simultaneously it appears to violate the established definition of spintronics as a portmanteau meaning “spin transport electronics.” In the device shown in Fig. 18, the transport channel is nonmagnetic, and all the spin action is encoded in the capacitively coupled magnetic gate electrode.

Vsd

a

Isd Vg

Al AlOx

b

2.0

c

2.0

1.5

G (μS)

T. Jungwirth

G (μS)

490

1.5

(Ga,Mn)As GaAs 1.0 −280

d

e [001]

M

Φ

[110]

θ

Res.

Φ =0 deg

Φ=90 deg −140

BG

0 140 Vg (μV) AlOx

SET

280

Vg= 140 μV

Vg= 0V 1.0 0

Res.

9

180 270 Φ (deg)

BG

AlOx

360

SET

qVg [1–10]

m (M1)

+ +

m (M2)

+ + + +

-

Fig. 18 (a) Schematic showing the SET channel separated by AlOx dielectric from the ferromagnetic (Ga,Mn)As back gate. The SET comprises Al leads and island and AlOx tunnel barriers. (b) Coulomb oscillations for the SET on Ga0.97Mn0.03As for two different polar angles Φ of the magnetization. (c) Magneto-Coulomb oscillations shown by the same SET by varying the angle of magnetization for two different gate voltages. (d) Magnetization vector with respect to (Ga,Mn)As crystal axes. (e) Schematic explaining the spin-gating phenomenon: reorientation of the magnetization from M1 to M2 causes a change in the chemical potential of the (Ga,Mn)As back gate (BG). This causes charge to flow onto the back gate from the reservoir (Res.). The net effect is to alter the charge on the back gate and therefore the SET conductance. The externally applied electrochemical potential on the gate μec = qVg is held constant (Reprinted with permission from [44]. Copyright (2012), AIP Publishing LLC)

Spin-Hall Magnetoresistance We have mentioned in section “Resistors” that the first generation of magnetic random access memories (MRAMs) was based on the AMR. These MRAMs relied on magnetic fields not only for writing but also for reading the information in a uniaxial ferromagnet [110]. The Oersted field was employed for partially tilting the moments during readout and by this for breaking the symmetry between the opposite magnetization orientation states. Without the tilt, i.e., for moments flipped strictly by 180 , the AMR vanishes by symmetry. In modern MRAMs based on the TMR, the auxiliary Oersted field is removed from the readout scheme [148]. Instead, the symmetry breaking is provided by interfacing the storing free ferromagnet with a reference fixed ferromagnet. Research in (Ga,Mn)As has led to the discovery [149] of a reading scheme for the 180 magnetization reversal which does not require a symmetry-breaking magnetic field or a reference ferromagnet [149, 150]. It is due to the so-called linear spin-Hall magnetoresistance (LSMR) [149] with the maximum and minimum resistance values corresponding to the opposite magnetization directions in the ferromagnet. In the studied structure, the ferromagnet is represented by a

13

III–V Based Magnetic Semiconductors

a

491

c 1723

+300μA 1722 1721 1720

±150μA

−5

1719 1718

±5μA

5

0

b

Rxx (Ω)

−300μA

B (mT) d

0 −2 −200

0

ΔRxx (Ω)

2

200

I (μA) Fig. 19 (a) Schematic of the LSMR phenomenon. Thin arrows represent the SHE-induced spin polarization; thick arrows represent the easy-axis (EA) magnetization of the ferromagnet. (b) Schematic of the device and measurement geometry. (c) Longitudinal resistance measurements at 130 K and different amplitudes and signs of the applied current as a function of the external magnetic field. Steps correspond to the 180 magnetization reversal. (d) Difference between resistance states for opposite magnetizations, set by sweeping the magnetic field from negative or positive values to the zero field, as a function of the applied current (From Ref. [149]. Reprinted ´ k et al., Physical Review B, 91, 2015. Copyright (2015) by the with permission from K. OlejnU American Physical Society)

Ga0.91Mn0.09As film with Curie temperature Tc1 = 155 K. It is grown on top of a Ga0.97Mn0.03As which remains paramagnetic down to Tc2 = 95 K, has a similar conductivity as the top, higher Mn-doped film, and for which one expects a sizable spin-Hall effect (SHE) [151, 152]. This bilayer geometry implies that for the given in-plane current polarity, the SHE generates a fixed in-plane, perpendicular-tocurrent spin polarization at the interface with the ferromagnetic (Ga,Mn)As. When the axis of the in-plane magnetization of the ferromagnet is also transverse to the current, as illustrated in Fig. 19a, then flipping the sign of the magnetization results in the LSMR. The phenomenology can be viewed as analogous to the ferromagnetic bilayer structure operated in the in-plane current GMR geometry and with the fixed reference ferromagnet replaced in the structure with the paramagnetic SHE polarizer.

492

T. Jungwirth

The measurement setup is shown in Fig. 19b and the magnetoresistances detected at 130 K are plotted in Fig. 19c, d. At a probe current of amplitude 5 μA, corresponding to current density of 1.25  104 Acm2, a negligible change in the longitudinal resistance Rxx is observed for the magnetic field swept along the easy axis, as shown in Fig. 19c. On the other hand, at 150 and 300 μA, a magnetoresistance signal is observed which increases with increasing current and is an odd function when reversing magnetization by 180 . The hysteretic Rxx jumps whose sign flips when flipping the field-sweep direction or the polarity of the probe current occur at 0.2 mT which is the easy-axis coercive field. Figure 19d shows the difference between resistance states for opposite magnetizations set by sweeping the magnetic field from negative or positive values to the zero field. The difference increases linearly with the applied probe current and change sign for the opposite current polarity. We note that the LSMR effect [149, 150] is related to another spin-Hall magnetoresistance which is quadratic in the spin-Hall angle [153]. In the latter effect, a spin current generated by the SHE is either absorbed at the interface with a ferromagnet when the SHE polarization is transverse to the magnetization or, in the parallel configuration, it is reflected. The reflected spin current generates an additional voltage via the inverse SHE which renormalizes the resistance of the device. Unlike the LSMR and like the AMR, this quadratic-in-SHE phenomenon is independent of the sign of the magnetization.

Current-Induced Torques When spin-polarized carriers are injected into a magnetic region whose moments are misaligned with the injected spin polarization of the carriers, spin–transfer torques (STTs) can act on the magnetization of the ferromagnet [154]. The phenomena belong to an important area of spintronics research focusing on the means for manipulating magnetic moments by electric fields and are the basis of the emerging technologies for scalable MRAMs [120]. Theoretical description of STTs is simplified when the nonequilibrium spin density of carriers s and magnetization M of the ferromagnet are treated as separate degrees of freedom and when the timescales for the coupled dynamics of s and M are very different. Dilute-moment ferromagnetic semiconductors, and (Ga,Mn)As in particular, are model systems in which the first assumption is well justified microscopically. Saturation magnetization of the ferromagnet M is primarily due to Mn d-orbital local moments, while the carrier states near the top of the valence band (or bottom of the conduction band) are dominated by As p-orbitals (or Ga s-orbitals) [49, 51]. The timescale for the precession of the nonequilibrium carrier spin polarization in the exchange field of local moments, described by ds J ex cS ^ ¼ s þ M; dt ℏ

(7)

13

III–V Based Magnetic Semiconductors

493

is τex ¼ ℏ=J ex cS , where Jex is the carrier-local moment exchange coupling and cS |M|. The timescale for the precession of local moments in the exchange field of the nonequilibrium carrier spin polarization, described by ^

cS ddtM ¼ cSJ ex jsj ^  ^s; ℏM

(8)

is ℏ=J ex jsj. Since cS |s| is common in the STT experiments, the local moment dynamics is typically much slower than the nonequilibrium carrier spin dynamics [47, 154–157]. This allows one to phenomenologically describe STTs in two steps. In the first step, the fast dynamics of s is considered, and one looks for a steady-state component of the nonequilibrium carrier spin density, δs. In the second step, δs is introduced into Eq. 8 in order to infer STTs acting on the magnetization M of the ferromagnet. Phenomenologically, the nonequilibrium carrier spin dynamics in the presence of the exchange field of local moments and steady injection rate P of carriers with ^ misaligned with M is given by spin polarization vector n ds J ex cS s ^ þ P^ ¼ sM n ; dt ℏ τs

(9)

where the last term reflects a finite spin lifetime of the nonequilibrium carriers in the ferromagnet. Two components of STT can be distinguished when considering two limiting cases of Eq. 9 [47, 154–157]. One limit is when carrier spin lifetime τs is much larger than the precession time τex. In this case, and assuming for simplicity ^ the steady-state component of the nonequilibrium carrier spin polarization in ^⊥ M, n ^ and |δS|/τex = P. This ^ and M the ferromagnet is oriented perpendicular to both n follows from the steady-state solution of Eq. 9 (ds/dt = 0) in which the last term on the right-hand side is neglected, and the rate of tilt of the nonequilibrium carrier spin polarization due to precession in the exchange field of local moments (first term in Eq. 9) is canceled by the rate of the incoming carrier spin polarization (second term in Eq. 9). The steady-state carrier polarization δs produces STT acting on the magnetization, cS

^   dM ^  n ^ : ^M ¼ PM dt

(10)

In this adiabatic STT the entire spin-angular momentum of the injected carriers is transferred to the magnetization, independent of τs, τex, and other parameters of the system. The adiabatic STT has been considered since the seminal theory works [158, 159] on carrier-induced magnetization dynamics which opened a large field ranging from metal magnetic tunnel junctions switched by current to tunable oscillators [154] and ultrafast photomagnetic laser excitations of ferromagnetic semiconductors [47, 157].

494

T. Jungwirth

In the opposite limit of τs  τex, δs remains parallel to the injected spin ^ , and its magnitude is a product of the spin injection rate and spin polarization n lifetime, |δs| = Pτs. This follows from Eq. 9 in which the first term on the right-hand side is neglected. The resulting nonadiabatic STT [155], cS

^  dM τs  ^ ^ ; ¼ P Mn dt τex

(11)

is perpendicular to the adiabatic STT and has a magnitude |s|/τex = Pτs/τex. For intermediate ratios τex/τs, both the nonadiabatic and adiabatic torques can be present, and the ratio of their magnitudes (nonadiabatic to adiabatic) is given by β = τex/τs [155–157]. The nonadiabatic STT plays a crucial role in current-induced domain-wall (DW) motion [155, 156]. It allows for a nonzero mobility of DW in the low-current steady-flow regime, with the DW mobility proportional to β/α where α is the damping parameter [155, 156, 160, 161]. One of the sources of small τs, i.e., large nonadiabatic STT, is spin–orbit coupling. Remarkably, a related spin–orbit torque (SOT) produced by spin–orbit coupling and electrical current can occur even in uniformly magnetized systems [45, 46, 162–166]. The presence of SOT in uniform ferromagnets can be illustrated by considering linear-response transport theory in which the occupied states on the Fermi surface are redistributed by the applied electrical current. The redistribution combined with microscopic non-collinearity of spin expectation values on the spin–orbit coupled Fermi surface produces a nonequilibrium steady-state component of the carrier spin polarization in systems with broken inversion symmetry. When misaligned with the equilibrium magnetization, SOT acts on the magnetic moments [163, 164, 167]. SOT has been employed in current-assisted magnetization reversal experiments in uniformly magnetized ferromagnetic semiconductor and metal thin films [45, 165] and in all-electrical ferromagnetic resonance measurements of uniformly magnetized ferromagnetic semiconductor nanodevices [46], and recent studies of thin-film metal ferromagnets have proposed that SOT can enhance the mobility of current-driven DWs [168]. Ferromagnetic (III,Mn)V semiconductors represent an ideal experimental realization of the above model picture of the STT [169], as well as of SOT [45, 46, 163–166] phenomena. The strong exchange interaction is accompanied in these materials by a strong spin–orbit coupling, resulting in τs ~ τex and, therefore, in the expectation of significant contributions of both adiabatic and nonadiabatic STTs [28, 30, 33, 34] and of SOT. Another favorable feature of dilute-moment (III,Mn)V ferromagnets is their low saturation moment, as compared to conventional dense-moment metal ferromagnets. Together with the high degree of spin polarization of carriers, it implies that electrical currents required to excite magnetization by STT in ferromagnetic semiconductors are also comparatively low [24–27, 32]. The combination of low saturation moment and strong spin–orbit coupling has yet another key advantage which is the dominant role of magnetocrystalline anisotropy fields over the demagnetizing anisotropy fields [27]. It allows to control the stability of the internal DW structure in situ by a

13

III–V Based Magnetic Semiconductors

495

piezoelectric stressor attached to the ferromagnetic semiconductor sample [31]. Similarly, the inversion symmetry-breaking terms in the spin–orbit coupled band structure of (Ga,Mn)As, responsible for SOT, can be controlled by the lattice-matching growth strain or strains introduced post-growth by microfabrication or piezoelectric stressors [46, 94].

Tunnel Junctions and Domain Walls In magnetic tunnel junctions with (Ga,Mn)As electrode, STT-induced switching was observed [24] at current densities of the order 104  105 Acm2, as shown in Fig. 20. This is consistent with theory expectations [32] predicting one to two orders of magnitude lower current densities than in the STT experiments in common dense-moment metal ferromagnets. Critical currents of the order 105 Acm2 for the DW motion in the creep regime have been reported and the effect thoroughly explored in perpendicularly magnetized (Ga,Mn)As thin-film devices [25, 26, 170]. The perpendicular magnetization geometry was achieved by growing the films under a tensile strain on a (In,Ga)As substrate and is particularly useful for the direct magneto-optical Kerr-effect imaging of the domains, as illustrated in Fig. 21.

Fig. 20 (a) ΔR as a function of the applied current Ipulse of the 1.5  0.3 μm2(Ga,Mn)As/GaAs/ (Ga,Mn)As tunnel junction device at 30 K, where ΔR is the resistance difference between the resistance of the tunnel junction after application of Ipulse and parallel magnetization configuration. Closed circles show the Ipulse dependence of ΔR for initial configuration A (parallel magnetization), whereas open triangles show the results for initial configuration C (antiparallel magnetization). The inset shows IV characteristics of the device (From Ref. [24]. Reprinted with permission from D. Chiba et al., Physical Review Letters, 93, 2004. Copyright (2004) by the American Physical Society)

496

a

T. Jungwirth

c

[110]

50

[110]

100 K 4.3 x 105 A/cm2 60 μm

20 μm

nominal temperature 100 K j = 4.3 x 105 A/cm2

3 μs 9 μs 6 μs 12 μs 15 μs 18 μs

deff (μm)

30 nm

20 nm

b

40

5 μm

30

20

10

0 j = 1.1 x

106 A/cm2

0

5

15 10 Wp (μS)

20

Fig. 21 (a) Layout of the device showing the 5 μm mesa and step for DW pinning in perpendicular magnetic anisotropy (Ga,Mn)As film. (b) 7 μm wide magneto-optical images with a 5 μm mesa in the center show that DW moves in the opposite direction to the current independent of the initial magnetization orientation and that DW displacement is proportional to pulse duration (c). The lowest panel in (b) shows destruction of ferromagnetic phase by Joule heating (From Ref. [26]. Reprinted with permission from M. Yamanouchi et al., Physical Review Letters, 96, 2006. Copyright (2006) by the American Physical Society)

The viscous-flow regime over a wide current range was achieved in high crystal quality (Ga,Mn)(As,P)/GaAs epilayers grown under tensile strain, resulting again in the out-of-plane easy axis [29–31]. The idea behind experiments shown in Fig. 22 is based on predictions of a simplified 1D model in which a Bloch (or Ne´el) DW in an out-of-plane magnetized system is assumed and in which the viscous-flow DW motion in a low-current steady regime is separated from a high-current precessional regime by the Walker breakdown (WB) [160, 161, 171]. The mobility (velocity divided by current) is larger below WB when the nonadiabatic STT is strong enough that β/α > 1. For β/α < 1, on the other hand, the DW mobility is larger above the WB threshold current. The important property of the ferromagnetic semiconductor sample is that the position of the WB is governed by the uniaxial magnetocrystalline anisotropy field parallel to the magnetic epilayer plane and the rotation plane of the DW, whose magnitude can be tuned in a controlled way by applying bias voltage to an attached piezostressor [31, 36, 94, 172]. As a result, one can tune in situ the WB threshold

13

III–V Based Magnetic Semiconductors

a

497

c 30

KU1 (+200V) KU2 (−200V)

m

vDW [m/s]

m

[1-10] bar (Néel Wall)

20

[110] bar (Bloch wall) KU1 (+200V) KU2 (−200V)

10

b vDW

KU1 < KU2

jWB

j

ΔvDW (x2) [m/s]

d

0

−10 [1-10] bar

[110] bar

5

10 j

[109

15

A/m2]

Fig. 22 (a) Illustration of the steady-state carrier spin polarization s and corresponding adiabatic STT (STTAD) acting on magnetization m in the τs τex limit (left) and nonadiabatic STT (STTNA) in the τs  τex limit (right). (b) Schematic diagram of the predicted DW velocity as a function of the driving current in the presence of adiabatic and nonadiabatic STTs and β/α < 1 or β/α > 1 and of the predicted shift of the WB threshold current jWB for two values of the in-plane current density magnetocrystalline constant Ku,1 < Ku,2. (c) Measured DW velocity versus  driving  at piezo voltages 200 V or +200 V, strengthening or weakening the 110 in-plane easy axis,   respectively. Open symbols correspond to the 110 -oriented microbar with less internally stable Ne´el DW and filled symbols to the [110]-oriented microbar with more internally stable Bloch DW. The character of the measured data, including the shift of the WB threshold current, implies STTs with β/α < 1. (d ) ΔvDW = vDW (+200 V)  vDW (200 V) vs. current density illustrates  the  piezoelectric control of the DW mobility achieved starting from lower currents in the 110 oriented microbar with less internally stable DW (From Ref. [31]. Copyright # 2013, Rights Managed by Nature Publishing Group)

current jWB and the DW mobility and infer the β/α ratio which characterizes the strengths of adiabatic and nonadiabatic STTs in the studied magnet. The experimental realization of this proposal [172] is shown in Fig. 22c, d [31]. By comparing Fig. 22b, c, it can be concluded that both adiabatic and nonadiabatic STTs act in the current-driven DW motion experiments and that the characteristic ratio β/α < 1. Figure 22d shows that by shifting the WB position one can control DW mobilities. Up to 500 % mobility variation, for an electrical-current-driven domain wall, have been observed in these piezoelectrically controlled DW STT experiments in (Ga, Mn)(As,P).

498

T. Jungwirth

Uniform Magnets The experimental discovery of SOT was reported in a (Ga,Mn)As sample whose image is shown in Fig. 23c [45]. The device was patterned into a circular island with eight nonmagnetic ohmic contacts (Fig. 23a). In the presence of a strong external magnetic field H, the magnetization of the ferromagnetic island is aligned with the field. For weak fields, however, the direction of magnetization is primarily determined by magnetic anisotropy. As a small field (5 < H < 20 mT) is rotated in the plane of the sample, the magnetization is realigned along the easy axis closest to the field direction. Such rotation of magnetization by an external field is demonstrated   in Fig. 24. For the current I k 110 , the measured transverse AMR (Rxy) is positive for M || [100] and negative for M || [010]. The switching angles where Rxy changes

a

7

6

5

b

[110] H

[100] H eff

6 μm

8

4

−/

M

[010]

ϕM +/

ϕH

[110]

H eff

−/

[010]

1

2

c

H

3 jy

eff

+/

d

jy

[110]

[110]

[110]

jx

[100] H eff

[110]

jx

Fig. 23 (a) Atomic force micrograph of the studied sample with eight nonmagnetic metal contacts. (b) Diagram of device orientation with respect to crystallographic axes, with easy and hard magnetization axes marked with blue dashed and red dot-dashed lines, respectively. Measured directions of Heff field are shown for different current directions. (c) and (d) Orientation of effective SOT field with respect to current direction for strain-induced (c) and Rashba (d) spin–orbit interactions (Reprinted by permission from Macmillan Publishers Ltd: Nature Physics [45], copyright (2009))

13

a

III–V Based Magnetic Semiconductors [110]

[010]

[100]

[110]

499 [010]

[100]

[110]

[110]

[010]

Rxy (Ω)

10 I || [110] –0.7 mA

0

+0.7 mA

–10 (1)

jH

Rxy (Ω)

b

90

(2)

jH

180

jH (°)

270

360

(3)

jH

(4)

jH

10 I || [110]

0 –10 45

Rxy (Ω)

c

10

90

135

0.01 mA

180

0.25 mA

270

315

0.50 mA

360

0.75 mA

I || [110]

0 –10 135

225 jH (°)

180

135

180

135

180

135

180

jH (°)

Fig. 24 (a) and (b) Transverse anisotropic magnetoresistance Rxy as a function of external field φ(i) direction φH for H = 10 mT and current I = 0.7 H mark magnetization  mA.  The  angles  switchings. (c) Magnetization switching between 100 and 010 easy axes for several values of the current (Reprinted by permission from Macmillan Publishers Ltd: Nature Physics [45], copyright (2009))

sign are denoted as φ(i) H on the plot. The data can be qualitatively understood if one considers an extra current-induced effective magnetic field Heff, as shown schematically in Fig. 23b. The symmetry of the measured Heff with respect to I coincides with the unique symmetry of the strain-related SOT field (Fig. 23c). A form of ferromagnetic resonance (FMR) has been demonstrated based on SOT in which an electric current oscillating at microwave frequencies is used to create an effective SOT field in the magnetic material being probed, which makes it possible to characterize individual nanoscale samples with uniform magnetization profile [46]. Lithographically patterned (Ga,Mn)As and (Ga,Mn)(As,P) nanoscale bars were characterized, including broadband measurements of resonant damping as a function of frequency and measurements of anisotropy fields as a function of bar width and strain. In addition, vector magnetometry of these driving SOT fields revealed contributions with the symmetry of both the strain-related Dresselhaus SOT and of an additional Rashba-symmetry SOT. The principle of the SOT-FMR technique is illustrated in Fig. 25a [46]. To drive the FMR, a microwave-frequency current was passed through the nanobar (Fig. 25b).

500

T. Jungwirth

a τ SO

τα

Htot

M

j(t )

b

200 nm

c

V

Fig. 25 (a) Precession of the magnetization vector M around the total magnetic field Htot. M is subject to a damping torque τα (yellow arrow) owing to energy dissipation, which causes the magnetic motion to relax toward Htot. The driving SOT τSO is due to the current-induced effective field. The current density vector is represented by j(t). (b) SEM image of an 80 nm wide bar, patterned from the (Ga,Mn)(As,P) wafer. (c) Schematic of the experimental setup. A microwave-frequency current is driven across the nanoscale magnetic bar, which is contacted with Cr/Au bondpads. The d.c. voltage, generated by magnetization precession, is extracted through a bias tee (represented by the capacitor and inductor network attached between the signal generator and sample source) (From Ref. [46]. Copyright # 2011, Rights Managed by Nature Publishing Group)

For detection, a frequency mixing effect based on AMR was used. When magnetization precession is driven, there is a time-dependent change ΔR(t) in longitudinal resistance from the equilibrium value R (owing to AMR). The resistance oscillates with the same frequency as the microwave current, thus causing frequency mixing, and a directly measurable d.c. voltage Vdc is generated. This voltage provides a direct probe of the amplitude and phase of magnetization procession with respect to microwave current. Figure 26 presents measurements on an 80 nm wide nanobar patterned in the   110 direction from the (Ga,Mn)(As,P) epilayer. The magnetic-field dependence of Vdc is measured at different microwave frequencies. The frequency of the

13

III–V Based Magnetic Semiconductors

501

a

60

0

−60

8 GHz 10 GHz 12 GHz

7

0.4 m0H0 (T)

0

0.8

10 5

0

0.6

7 f (GHz)

[110]

[110]

[010]

0.3 m0Hres (mT)

[100]

0 0.0

d 0.75 m0H0 (T)

0.6

c 15 ΔH (mT)

14

f (GHz)

0.2

b

× 5.5

× 1.7

−120

0.50

0

90

14

Vdc (μV) 100 40 –20 –80 –140 –200

0.25 180 j (deg)

270

360

e 0.75 m0Hres (T)

Fig. 26 (a) Vdc measured at 8, 10, and 12 GHz (symbols) on the 80 nm wide device. The resonance peaks are clearly observed and can be well described by the solution to the LLG equation. Solid lines are the fitted results. The difference in the signal level at different frequencies is caused by the frequencydependent attenuation of the microwave circuit. (b) Resonance field Hres as a function of microwave frequency. The red solid line is the fitted result. (c) Frequency dependence of the FMR line width ΔH. The data are fitted to a straight line to extract ΔHinhomo and α. (d) Vdc measured from in-plane rotational scans of the external field H0. The color scale represents the magnitude of the voltage. φ is the angle between the magnetization vector M and the [100] crystalline axis. (e) Angle plot of the resonance field Hres. The red line is a fitting curve to calculate the magnetic anisotropy (From Ref. [46]. Copyright # 2011, Rights Managed by Nature Publishing Group)

Vdc (µV)

incident current is fixed while an external d.c. magnetic field H0 is swept, and a well-defined resonance peak appears (Fig. 26a). The peak is well fitted by the solution of the Landau–Lifshitz–Gilbert (LLG) equation, which describes the dynamics of precessional motion of the magnetization.

0.50

0.25 0

90

180 j (deg)

270

360

502

T. Jungwirth [100]

μ0hx μ0hy

[010]

j

[1-10]

[110]

100 mT

μ0hz (μT)

200 100 0 –100 –200 sin

cos const. sin

cos const. sin θM-E-dep.

cos const. sin

cos const.

Fig. 27 Measured in-plane current-induced fields due to the field-like SOT and out-of-plane fields due to the anti-damping-like SOT in (Ga,Mn)As. In-plane spin–orbit field and coefficients of the cot θM  E and sin θM  E fit to the angle dependence on out-of-plane SOT field for our sample set. For the in-plane fields, a single sample in each microbar direction is shown (corresponding to the same samples that yield the blue out-of-plane data points). In the out-of-plane data, two samples are shown in each microbar direction. The symmetries expected for the anti-damping SOT, on the basis of the theoretical model for the Dresselhaus term in the spin–orbit interaction, are shown by light green shading. All data are normalized to a current density of 105 Acm2 (From Ref. [173]. Copyright # 2014, Rights Managed by Nature Publishing Group)

Figure 26b plots the frequency dependence of the resonance field Hres. The FMR linewidth ðΔH ¼ ΔH inhomo þ αω=γ Þ describes the damping in the ferromagnetic system. The broadband nature of the setup allows to determine the inhomogeneous contribution to the damping (Fig. 26c), and the Gilbert damping constant α (Fig. 26d) presents the data from an in-plane scan of the magnetic field, showing that there is a strong uniaxial anisotropy perpendicular to the bar direction. By analyzing the peak positions (Fig. 26e), the SOT-FMR allows to quantify the anisotropy fields in the studied micro- or nanoscale magnet. Studies of the SOT in (Ga,Mn)As have also identified an additional antidamping SOT contribution which has a common microscopic origin with the intrinsic anomalous and spin-Hall effects [173]. Unlike the above scattering-related field-like SOT, described within the semiclassical Boltzmann theory, the presence of an anti-damping SOT with a scattering-independent origin is captured by the time-dependent quantum-mechanical perturbation theory. Here the linear-response theory considers the equilibrium distribution function, and the applied electric field perturbs the carrier wave functions. The anti-damping like SOT with the theoretically predicted symmetries was identified in measurements in (Ga,Mn)As, as shown in Fig. 27 [173]. The all-electrical broadband SOT-FMR technique [46] was applied which allowed to perform 3D vector magnetometry on the driving SOT fields and showed that the anti-damping SOT plays an important role in driving the magnetization dynamics in (Ga,Mn)As.

13

III–V Based Magnetic Semiconductors

503

Magnonic Charge Pumping In the STT, spin-angular momentum is transferred from the carriers to the magnet where it excites magnetization dynamics. A reciprocal effect to the STT is the spin pumping in which pure spin current is generated from magnetization precession [174, 175]. Spin pumping can be used not only for probing magnetization dynamics in ferromagnets but also spin physics in paramagnets, e.g., for measuring the SHE angles. Magnetization dynamics also produces electrical signals in the ferromagnetic layer through galvanomagnetic effects. Experiments in a (Ga,Mn)As/p-GaAs model system, where sizable galvanomagnetic effects are present, have demonstrated that neglecting the galvanomagnetic effects in the ferromagnet can lead to a large overestimate of the SHE angle in the paramagnet. The study has also shown a method to separate voltages of these different origins in the spin-pumping experiments in the ferromagnet/paramagnet bilayers [151]. The Onsager reciprocity relations imply that there is also a reciprocal phenomenon of the SOT in which electrical signal is generated from magnetization precession in a uniform, spin–orbit coupled magnetic system with broken space inversion symmetry (see Fig. 28) [176, 177]. In this reciprocal SOT effect, no secondary spin–charge conversion element is required, and, as for the SOT, (Ga, Mn)As with broken inversion symmetry in its bulk crystal structure and strong spin–orbit coupled holes represents a favorable model system to explore this phenomenon. The effect was observed in (Ga,Mn)As and was termed a magnonic charge pumping [178].

Light-Induced Torques Circularly Polarized Light As discussed in the previous section, current-induced STT is a phenomenon in which angular momentum of a spin-polarized electrical current entering a ferromagnet is transferred to the magnetization. The effect has opened a new research field of electrically driven magnetization dynamics in magnetic nanostructures and plays an important role in the development of a new generation of memory devices and tunable oscillators. Optical excitations of magnetic systems by laser pulses have been a separate research field whose aims are to explore magnetization dynamics at short time scales and enable ultrafast spintronics devices. The observation in (Ga,Mn)As [47] of the optical spin–transfer torque (OSTT) [157, 179] has built the bridge between these two fields of spintronics research. In a pump-andprobe optical experiment, a coherent spin precession in a (Ga,Mn)As ferromagnetic semiconductor was excited by circularly polarized laser pulses. During the pump pulse, the spin-angular momentum of photo-carriers generated by the absorbed light is transferred to the collective magnetization of the ferromagnet. (Ga,Mn)As is a favorable candidate for observing OSTT [157, 179]. The directgap GaAs host allows the generation of a high density of photo-carriers, optical

504

T. Jungwirth

Fig. 28 (a) A charge current through (Ga,Mn)As results in a nonequilibrium spin polarization of the carriers, which exchange couples to the magnetization and exerts a torque. The effect is induced by spin–orbit coupling, which mediates the transfer of orbital momentum to spinangular momentum. An alternating current generates a time-varying torque, which drives magnetic precession resonantly when a magnetic field is applied. (b) The reciprocal effect of (a). Magnetization precession leads to a nonequilibrium spin concentration, which pumps charge (green arrows) and is converted into an alternating charge current by the spin–orbit coupling (Reprinted by permission from Macmillan Publishers Ltd: Nature Nanotechnology [178], copyright (2014))

selection rules for circularly polarized light yield high degree of spin polarization of photo-carriers in the direction of the light propagation, and the carrier spins interact with ferromagnetic moments on Mn via exchange coupling. When the ferromagnetic Mn moments are excited, this can be sensitively detected by probe laser pulses due to strong magneto-optical signals in (Ga,Mn)As [180–189]. Coupled precession dynamics of the magnetization orientation and the nonequilibrium photo-carrier spin density is governed by the analogous equations to Eqs. 8 and 9, where the carrier–Mn moment exchange coupling constant is Jex  50 meVnm3 for holes and Jex  10 meVnm3 for electrons in (Ga,Mn)As. In the optical experiments, P in Eq. 9 is the rate per unit volume at which carrier ^ are optically injected into the ferromagnet. In the studied spins with orientation n experimental geometry with normal incidence of the laser pulse and in-plane easy ^ is perpendicular to n ^. The sign of n ^ is given by axis of (Ga,Mn)As, the equilibrium M the helicity of the circularly polarized laser pulse.

13

III–V Based Magnetic Semiconductors

a

505

b

OSTT

mp

Pu



P s0

M

s0 mp

Pu



P

M

Fig. 29 (a) Schematic illustration of the optical spin–transfer torque in the large spin lifetime ^ (normal to the limit. The rate P of the photo-carrier spin injection along light propagation axis n sample plane) is completely transferred to the optical spin–transfer torque (OSTT) acting along the ^ of the ferromagnet. The steady-state normal to the sample plane on the magnetization M component of the injected spin density s0 is oriented in the plane of the sample and perpendicular to the in-plane equilibrium magnetization vector. The fast precessing component of the spin of a photo-carrier (small upper arrows) relaxes to the environment at a random orientation, producing a zero net momentum transfer to the environment. This picture applies to photoelectrons in (Ga,Mn) ^ produced by photo-carriers with a short spin lifetime. Most of As. (b) A weak torque acting on M the spin-angular moment is transferred to the environment in this limit. For photo holes in (Ga,Mn) As, this picture is more relevant than the picture of the strong optical spin–transfer torque shown in panel (a) (From Ref. [47]. Copyright # 2012, Rights Managed by Nature Publishing Group)

The timescale of photoelectron precession due to the exchange field produced by the high-density ferromagnetic Mn moments is τex ~ 100 fs. The major source of spin decoherence of the photoelectrons in (Ga,Mn)As is the exchange interaction with fluctuating Mn moments. Microscopic calculations of the corresponding relaxation time give a typical scale of 10’s ps [157]. The other factor that limits τs introduced in Eq. 9 is the photoelectron decay time which is also ~10’s ps, as inferred from reflectivity measurements of the (Ga,Mn)As samples [47]. Within the spin lifetime, the photoelectron spins therefore precess many times around the exchange field of ferromagnetic moments. In the corresponding regime of τs τex, the OSTT has the form of the adiabatic STT given by Eq. 10 and is illustrated in Fig. 29a. The precession time of holes in (Ga,Mn)As is ~10’s fs, and the spin lifetime of holes, dominated by the strong spin–orbit coupling, is estimated to ~1–10 f. [157]. Since τs ≲ τex for holes, their contribution is better approximated by the weaker torque which has the form of nonadiabatic STT given by Eq. 11 and is neglected in the discussion below. The experimental observation of the magnetization precession in (Ga,Mn)As excited by OSTT, with tire characteristic in opposite phases of the oscillations excited by pump pulses of opposite helicities, is presented in Fig. 30a. In the experiment, the output of a femtosecond laser is divided into a strong, 300 f. long pump pulse and a weak delayed probe pulse that are focused to the same spot on the measured sample. To observe the OSTT, a circularly polarized pump laser beam is used. The pump-induced change of the magneto-optical response of the sample is

506

T. Jungwirth

a

s+

MO signal (μrad)

25

0

s– –25 0

500

1,000

1,500

Time delay (ps)

b 117 s+ 300 ps 116

j M (°)

700 ps OSTT 115

e

Tim

200 ps 500 ps

114

100 ps 113

89

90

91

q M (°) Fig. 30 (a) Precession of the magnetization induced in (Ga,Mn)As by σ + and σ  circularly polarized pump pulses. Points are the measured rotations of the polarization plane of the reflected linearly polarized probe pulse as a function of the time delay between pump and probe pulses. Lines are the theoretically calculated time-dependent magneto-optical signals. (b) The calculated time evolution of the orientation of the magnetization in the sample, described by the polar angle φM measured from the [100] axis and the azimuthal angle θM measured from the sample normal [001] and induced by the σ + circularly polarized pulse. The orientation of the optical spin–transfer torque for σ + polarization is shown in the figure by red arrow (OSTT). For σ + polarization of the pump pulse, the torque points in the opposite direction (From Ref. [47]. Copyright # 2012, Rights Managed by Nature Publishing Group)

13

III–V Based Magnetic Semiconductors

507

measured by a time-delayed linearly polarized probe pulse. The magneto-optical signals shown in Fig. 30a represent the rotation of the polarization plane of the reflected probe beam. Figure 30b shows the calculated time evolution of the polar angle φM and the azimuthal angle θM of the magnetization corresponding to the measured data in Fig. 30a for the σ + helicity. Since the period of the oscillations seen in Fig. 30 is much larger than tine pump-pulse duration, the action of OSTT is reflected only in the initial phase and amplitude of the free precession of the magnetization. The opposite phase of the measured magneto-optical signals triggered by pump pulses with opposite helicities highlights that the OSTT is not accompanied by any polarizationindependent excitation mechanism. Indeed, a linearly polarized pump pulse with any orientation of the polarization is not exciting the magnetization precession. Polarization-independent excitations of magnetization precession in (Ga,Mn)As have been reported prior to the discovery of OSTT [180–189]. The experiments were interpreted in terms of changes of the magnetocrystalline anisotropy induced by the laser pulse [180–189]. The samples studied in Fig. 30a could be also excited by the magnetic anisotropy-related mechanism. In the measurements shown in Fig. 30a, this mechanism was intentionally suppressed in order to highlight the observation of OSTT. This was done by attaching a piezostressor to the (Ga,Mn)As sample which modifies the magnetic anisotropy of the ferromagnetic film due to the differential thermal contraction and allows for an additional in situ electrical control of the in-plane magnetocrystalline anisotropy. Spin-polarized photo-carriers were also demonstrated to exert an OSTT on the DWs [190]. The resulting optical manipulation of the position of DWs was observed in a perpendicularly magnetized ferromagnetic semiconductor (Ga,Mn) (As,P). The direction of the domain-wall motion was controlled via the OSTT using the helicity of the laser, as illustrated in Fig. 31. Unlike current- or magnetic-fielddriven DWs, the OSTT mechanism provides an optical tweezer-like ability to position and locally probe domain walls and to study DW dynamics on ultrashort timescales.

Linearly Polarized Light As discussed in section “Uniform Magnets,” carriers in a magnet under applied electric field can develop a nonequilibrium spin polarization due to the relativistic spin–orbit coupling even in the absence of an external polarizer. This results in the current-induced SOT acting on the magnetization. In this section we review the observation of the optical counterpart of SOT in (Ga,Mn)As [48]. In OSTT discussed above, the external source for injecting spin-polarized carriers is provided by circularly polarized light at normal incidence which yields high degree of out-of-plane spin polarization of injected photo-carriers due to the optical selection rules in GaAs. Since large OSTT requires large spin lifetime of

508

T. Jungwirth

d

a l l

b l l

c



.

l

l l

l



l

e

− − I

l

− l

− l

Fig. 31 (a), (b), and (c) Images of the initial domain nucleated by the nucleation pulse and the final domain after illumination by many trains of low-power pulses, as described in the main text. Following nucleation, many measurements of the laser-induced displacement of the right-hand DW are made. For each measurement, the laser is randomly repositioned within 4 μm of the DW and illuminated by a train of ps pulses, below the threshold for domain nucleation. Following the application of many (>50) pulse trains, the DW has moved to the right (left) for σ polarization and remains relatively unchanged for linear polarization. (d) Examples of the change in the magnetic domain following excitation with a linearly polarized pulse for different initial positions of the DW with respect to the laser. A cross section of the difference between the Kerr images taken before and after the laser exposure is plotted against the position relative to the center of the laser spot. Positive (negative) signal indicates a shrinking (growing) domain. In most cases, the final position indicated by the positive gradient is close to the center of the laser spot, regardless of initial position. (e) Plot of the DW displacement versus initial position. The effect of the pulse train is to move the DW to a stationary position, 0, that is shifted to the right (left) for σ polarizations, respectively. (Inset) A positive B field is defined parallel to the optical axis (From Ref. [190]. Reprinted with permission from A. J. Ramsay et al., Physical Review Letters, 114, 2015. Copyright (2015) by the American Physical Society)

injected carriers, i.e., spin–orbit coupling is detrimental for OSTT, the weakly spin–orbit coupled photoelectrons play the key role in this case. In OSOT, on the other hand, the absence of an external polarizer corresponds to photo-carrier excitations which are independent of the polarization of the pump laser pulses. Since the phenomenon relies on spin–orbit coupling, the nonequilibrium photo holes are essential in this case. Because of the lack of external polarizer and because of strong spin–orbit coupling, precession of carriers in the exchange field of

13

III–V Based Magnetic Semiconductors

509

magnetic moments is not the origin of the torque in this case, in close analogy to the current-induced SOT and reminiscent of the nonadiabatic STT. The model physical picture of the OSOT is as follows: The optically injected photo holes relax toward the hole Fermi energy of the p-type (Ga,Mn)As on a short (~100 fs) timescale, creating a nonequilibrium excess hole density in the valence band. The change in the occupation of the hole states, as compared to the equilibrium state in dark, and the spin–orbit coupling can generate a nonequilibrium hole spin polarization which is misaligned with the equilibrium orientation of Mn moments. This nonequilibrium photo-hole polarization persists over the timescale of the hole recombination (~ps) during which it exerts a torque on the Mn local moments via the kinetic-exchange coupling. The three key characteristic features, i.e., the nonequilibrium occupation of carrier states, spin–orbit coupling in carrier bands, and the resulting nonequilibrium carrier polarization acting on the magnetic moments via exchange coupling, make OSOT the direct optical counterpart of the current-induced SOT. Since the applied electrical drift (and relaxation) yields a nonequilibrium carrier occupation in the form of asymmetric redistribution on the Fermi surface, the current-induced SOT is observed in systems with broken inversion symmetry in the crystal. OSOT is caused by optical generation (and relaxation) of photo-carriers without an applied drift, and, therefore, the broken inversion symmetry in the crystal is not required. It is replaced by the broken time reversal symmetry, i.e., by a nonzero spin polarization of carrier bands in equilibrium. This is another reason why holes in the strong exchange-split valence band in (Ga,Mn) As govern OSOT. The schematic illustration and the experimental observation of OSOT are shown in Fig. 32. Apart from the physically more intriguing nature of relativistic OSOT, as compared to OSTT, its experimental identification is complicated by the presence of thermal excitation mechanisms of magnetization dynamics in the case of pumppolarization-independent signals [191, 192]. The absorption of the pump laser pulse leads to photo-injection of electron–hole pairs. The non-radiative recombination of photoelectrons produces a transient increase of lattice temperature which builds up on the timescale of ~ 10 ps and persists over ~ 1000 ps. This results in a quasiequilibrium easy-axis orientation which is tilted from the equilibrium easy axis. Consequently, Mn moments in (Ga,Mn)As start to precess around quasiequilibrium easy axis, as illustrated in Fig. 32a, with a typical precession time of ~ 100 ps given by the magnetic anisotropy fields in (Ga,Mn)As. The easy axis stays in-plane and the sense of rotation within the plane of the (Ga,Mn)As film with increasing temperature is uniquely defined. In the notation introduced in Fig. 32c, the change of the in-plane angle δφ of magnetization during the thermally excited precession can be only positive. OSOT, illustrated in Fig. 32b, acts during the laser pulse (with a duration of 200 fs) and fades away within the hole recombination time (~ ps). It causes an impulse tilt of the magnetization which allows one to clearly distinguish OSOT from the considerably slower thermal excitation mechanism. Moreover, the initial OSOT-induced tilt of magnetization can yield precession angles that are inaccessible in the thermally induced magnetization dynamics. This provides another

510

T. Jungwirth

a

c Quasi-equil. EA

M(t )

p

Pum

[001] q

M0

[010] j

Equil. EA

M

b

[100]

d

Pump

M(t 1)

δj (deg)

M(t 2) OSOT

4

Quasi-equil. EA



2 0

55 ps 2 ps 2 ps

55 ps

−2

Equil. EA

6I0 12I0

−4 −10

−5

0 5 δq (deg)

10

Fig. 32 (a) Schematic illustration of the thermally excited precession of magnetization M(t) around the transient quasi-equilibrium easy axis (EA). M0 is the magnetization vector aligned with in-plane equilibrium EA before the pump pulse. (b) Schematic illustration of OSOT induced by the in-plane transverse component sφ of the nonequilibrium hole spin polarization. On the timescale of magnetization precession, OSOT causes an instantaneous tilt of the magnetization M(t1) which allows to clearly distinguish OSOT from the considerably slower thermal excitation mechanism. The initial OSOT-induced tilt of magnetization can yield precession angles that are inaccessible in the thermally induced magnetization dynamics. (c) Definition of the coordinate system. (d) Time evolution of the magnetization vector measured in a (Ga,Mn)As material with nominal Mn doping x = 3 %. The direction of the time increase is depicted by arrows. Magnetization tilt angles δφ and δθ are measured with respect to equilibrium EA (From Ref. [48]. Copyright # 2013, Rights Managed by Nature Publishing Group)

evidence for OSOT. Examples of the direct observation of the thermally governed excitation of magnetization at a lower pump-pulse intensity and of the OSOTgoverned excitation at a higher intensity are shown in Fig. 32d. These dynamical magneto-optical signals are completely independent of the polarization of pump pulses, i.e., they are the same for any orientation of the polarization plane of linearly polarized pump laser pulses. The distinct features of OSOT, described in the previous paragraph, are clearly visible when comparing the two measured trajectories of magnetization angles. A full quantitative theory of OSOT is a challenging problem compared to the theory of OSTT [47, 157, 179]. In the latter case, the nonequilibrium spin density of weakly spin–orbit coupled photoelectrons, producing the OSTT, is directly determined by the external polarizer, i.e., by the intensity, propagation axis, and helicity

13

III–V Based Magnetic Semiconductors

511

of the circularly polarized pump laser beam. The relation between the nonequilibrium density of photo holes and the transverse component of their spin polarization, producing OSOT in the case of light-polarization-independent excitations, results from a more complex interplay of spin–orbit coupling and photogeneration and relaxation processes in the spin–split valence band of the ferromagnetic semiconductor [193]. The effective field due to the nonequilibrium spin density of the photo holes, Jexs, generating OSOT can be related in a simplified picture [48] to the hole-density-dependent magnetocrystalline anisotropy field. This can be illustrated using the model kinetic-exchange Hamiltonian H ¼ H host þ J ex c ^  σ [51, 94], where Hhost is the host Hamiltonian semiconductor and σ is the hole SM spin operator. The anisotropy field can then be written as [164] ð 1 @ X dkϵa, k f a, k ^ a cS @ M

ð @H 1X a, k f dk a, k ¼ a, k ^ cS a @ M ð X dkha, kjJ ex σ ja, kif a, k ¼

Han ¼ 

(12)

a

¼ J ex s Here ϵ a,k and fa,k are the eigenenergy of H and Fermi distribution function, respectively, labeled by the band and wave vector index. Since the easy-axis orientation is sensitive to the hole density, Han and the corresponding OSOT field Jexs can change when the hole density is increased by, e.g., the photoexcitation.

Summary We have reviewed the electronic and magnetic properties of (III,Mn)V ferromagnetic semiconductors and devices fabricated in these materials, focusing on the most extensively explored and exploited (Ga,Mn)As. Over the two decades of research in (Ga,Mn)As, its material properties have been extensively debated from the basic physics perspective in order to elucidate the role of the high density of magnetic and electrical Mn impurities in the semiconductor host and the implications of the Mn doping on the Curie temperature, conductivity, and other hybrid magneto-semiconducting characteristics of the material. This material research side of (Ga,Mn)As now seems to have reached a rather mature stage. Where (Ga,Mn)As and the related (III,Mn)V materials continue to bring new surprises and inspiration is primarily in the research of relativistic spintronics phenomena and device functionalities. A number of effects that continuously expand the portfolio of spintronics fundamentals have been initially observed in (Ga,Mn)As and subsequently rediscovered or transferred to other magnetic systems, including high Curie temperature ferromagnets relevant for applications. This trend is likely to continue in the future since (Ga,Mn)As encompasses a range of unique and favorable

512

T. Jungwirth

structural, magnetic, electronic, and optical properties rendering this ferromagnetic semiconductor an ideal model system for research in spintronics phenomena and devices. Acknowledgments This review is based on numerous helpful discussions with our colleagues. In particular we acknowledge discussions with Richard Campion, Tomasz Dietl, Kevin Edmonds, Andrew Ferguson,Tom Foxon, Bryan Gallagher, Allan MacDonald, Jan Masˇek, Petr Neˇmec, Vı´t Novák, Hideo Ohno, Kamil Olejnı´k, Andrev Rushforth, Jairo Sinova, Karel Vy´borny´, Dieter Weiss, Jorg Wunderlich, and Jan Zemen. We also acknowledge support from the ERC Advanced Grant No. 268066, from the Ministry of Education of the Czech Republic Grant No. LM2011026, and from the Czech Science Foundation Grant No. 14-37427G

References 1. Ohno H, Munekata H, Penney T, von Molnár S, Chang LL (1992) Magnetotransport properties of p-type (In, Mn)As diluted magnetic III-V semiconductors. Phys Rev Lett 68:2664 2. Munekata H, Zaslavsky A, Fumagalli P, Gambino RJ (1993) Preparation of (In, Mn)As/(Ga, Al)Sb magnetic semiconductor heterostructures and their ferromagnetic characteristics. Appl Phys Lett 63:2929 3. Ohno H, Shen A, Matsukura F, Oiwa A, Endo A, Katsumoto S, Iye Y (1996) (Ga,Mn)As: a new diluted magnetic semiconductor based on GaAs. Appl Phys Lett 69:363 4. Hayashi T, Tanaka M, Seto K, Nishinaga T, Ando K (1997) III-V based magnetic(GaMnAs)/ nonmagnetic(AlAs) semiconductor superlattices. Appl Phys Lett 71:1825 5. Van Esch A, Van Bockstal L, De Boeck J, Verbanck G, Van Steenbergen AS, Wellmann PJ, Grietens B, Herlach RBF, Borghs G (1997) Interplay between the magnetic and transport properties in the III-V diluted magnetic semiconductor Ga1xMnxAs. Phys Rev B 56:13103 6. Ohno H (1998) Making nonmagnetic semiconductors magnetic. Science 281:951 7. Ohno H, Chiba D, Matsukura F, Omiya T, Abe E, Dietl T, Ohno Y, Ohtani K (2000) Electricfield control of ferromagnetism. Nature 408:944 8. Chiba D, Yamanouchi M, Matsukura F, Ohno H (2003) Electrical manipulation of magnetization reversal in a ferromagnetic semiconductor. Science 301:943 9. Chiba D, Matsukura F, Ohno H (2006) Electric-field control of ferromagnetism in (ga, mn)as. Appl Phys Lett 89:162505 10. Wunderlich J, Jungwirth T, Irvine AC, Kaestner B, Shick AB, Campion RP, Williams DA, Gallagher BL (2007) Coulomb blockade anisotropic magnetoresistance and voltage controlled magnetic switching in a ferromagnetic GaMnAs single electron transistor. J Magn Magn Mater 310:1883 11. Chiba D, Sawicki M, Nishitani Y, Nakatani Y, Matsukura F, Ohno H (2008) Magnetization vector manipulation by electric fields. Nature 455:515 12. Olejnı´k K, Owen MHS, Novák V, Masˇek J, Irvine AC, Wunderlich J, Jungwirth T (2008) Enhanced annealing, high Curie temperature and low-voltage gating in (Ga,Mn)As: a surface oxide control study. Phys Rev B 78:054403. arXiv:0802.2080 13. Owen MHS, Wunderlich J, Novák V, Olejnı´k K, Zemen J, Vy´abornay´ K, Ogawa S, Irvine AC, Ferguson AJ, Sirringhaus H, Jungwirth T (2009) Low voltage control of ferromagnetism in a semiconductor p-n junction. New J Phys 11:023008. arXiv:0807.0906 14. Stolichnov I, Riester SWE, Trodahl HJ, Setter N, Rushforth AW, Edmonds KW, Campion RP, Foxon CT, Gallagher BL, Jungwirth T (2008) Nonvolatile ferroelectric control of ferromagnetism in (Ga,Mn)As. Nat Mater 7:464. arXiv:0802.2074

13

III–V Based Magnetic Semiconductors

513

15. Riester SWE, Stolichnov I, Trodahl HJ, Setter N, Rushforth AW, Edmonds KW, Campion RP, Foxon CT, Gallagher BL, Jungwirth T (2009) Toward a low-voltage multiferroic transistor: magnetic (ga, mn)as under ferroelectric control. Appl Phys Lett 94:063504 16. Sawicki M, Chiba D, Korbecka A, Nishitani Y, Majewski JA, Matsukura F, Dietl T, Ohno H (2010) Experimental probing of the interplay between ferromagnetism and localisation in (ga, mn)as. Nat Phys 6:22. arXiv:0909.3694 17. Munekata H, Abe T, Koshihara S, Oiwa A, Hirasawa M, Katsumoto S, Iye Y, Urano C, Takagi H (1997) Light-induced ferromagnetism in III-V-based diluted magnetic semiconductor heterostructures. Appl Phys Lett 81:4862 18. Koshihara S, Oiwa A, Hirasawa M, Katsumoto S, Iye Y, Urano C, Takagi H, Munekata H (1997) Ferromagnetic order induced by photogenerated carriers in magnetic III-V semiconductor heterostructures of (In, Mn)As/GaSb. Phys Rev Lett 78:4617 19. Ohno Y, Young DK, Beschoten B, Matsukura F, Ohno H, Awschalom DD (1999) Electrical spin injection in a ferromagnetic semiconductor heterostructure. Nature 402:790 20. Tanaka M, Higo Y (2001) Large tunneling magnetoresistance in GaMnAs/AlAs/GaMnAs ferromagnetic semiconductor tunnel junctions. Phys Rev Lett 87:026602 21. Chiba D, Matsukura F, Ohno H (2004) Tunneling magnetoresistance in (Ga, Mn)As-based heterostructures with a GaAs barrier. Physica E 21:966 22. Saito H, Yuasa S, Ando K (2005) Origin of the tunnel anisotropic magnetoresistance in Ga1x Mnx As/ZnSe/Ga1xMnxAs magnetic tunnel junctions of II-VI/III-V heterostructures. Phys Rev Lett 95:086604 23. Mattana R, Elsen M, George JM, Jaffre`s H, Dau FNV, Fert A, Wyczisk MF, Olivier J, Galtier P, Le´pine B, Guivarc’h A, Je´ze´quel G (2005) Chemical profile and magnetoresistance of Ga1x Mnx As/GaAs/AlAs/GaAs/Ga1xMnxAs tunnel junctions. Phys Rev B 71:075206 24. Chiba D, Sato Y, Kita T, Matsukura F, Ohno H (2004) Current-driven magnetization reversal in a ferromagnetic semiconductor (ga,mn)as/gaas/(ga,mn)as tunnel junction. Phys Rev Lett 93:216602. arXiv:cond-mat/0403500 25. Yamanouchi M, Chiba D, Matsukura F, Ohno H (2004) Current-induced domain-wall switching in a ferromagnetic semiconductor structure. Nature 428:539 26. Yamanouchi M, Chiba D, Matsukura F, Dietl T, Ohno H (2006) Velocity of domain-wall motion induced by electrical current in a ferromagnetic semiconductor (Ga,Mn)As. Phys Rev Lett 96:096601. arXiv:cond-mat/0601515 27. Wunderlich J, Irvine AC, Zemen J, Holy´ V, Rushforth AW, Ranieri ED, Rana U, Vy´borny´ K, Sinova J, Foxon CT, Campion RP, Williams DA, Gallagher BL, Jungwirth T (2007) Local control of magnetocrystalline anisotropy in (Ga,Mn)As microdevices: demonstration in current-induced switching. Phys Rev B 76:054424. arXiv:0707.3329 28. Adam J, Vernier N, Ferre J, Thiaville A, Jeudy V, Lemaitre A, Thevenard L, Faini G (2009) Nonadiabatic spin-transfer torque in (Ga, Mn)As with perpendicular anisotropy. Phys Rev B 80:193204 29. Wang KY, Edmonds KW, Irvine AC, Tatara G, Ranieri ED, Wunderlich J, Olejnik K, Rushforth AW, Campion RP, Williams DA, Foxon CT, Gallagher BL (2010) Current-driven domain wall motion across a wide temperature range in a (Ga, Mn)(As, P) device. Appl Phys Lett 97:262102 30. Curiale J, Lemaitre A, Ulysse C, Faini G, Jeudy V (2012) Spin drift velocity, polarization, and current-driven domain-wall motion in (Ga, Mn)(As, P). Phys Rev Lett 108:076604 31. De Ranieri E, Roy PE, Fang D, Vehsthedt EK, Irvine AC, Heiss D, Casiraghi A, Campion RP, Gallagher BL, Jungwirth T, Wunderlich J (2013) Piezo-electric control of the mobility of a domain wall driven by adiabatic and non-adiabatic torques. Nat Mater 12:808 32. Sinova J, Jungwirth T, Liu X, Sasaki Y, Furdyna JK, Atkinson WA, MacDonald AH (2004) Magnetization relaxation in (Ga,Mn)As ferromagnetic semiconductors. Phys Rev B 69:085209. arXiv:cond-mat/0308386 33. Garate I, Gilmore K, Stiles MD, MacDonald AH (2009) Non-adiabatic spin transfer torque in real materials. Phys Rev B 79:104416. arXiv:0812.2570

514

T. Jungwirth

34. Hals KMD, Nguyen AK, Brataas A (2009) Intrinsic coupling between current and domain wall motion in (ga,mn)as. Phys Rev Lett 102:256601. arXiv:0811.2235 35. Wenisch J, Gould C, Ebel L, Storz J, Pappert K, Schmidt MJ, Kumpf C, Schmidt G, Brunner K, Molenkamp LW (2007) Control of magnetic anisotropy in (Ga,Mn)As by lithography-induced strain relaxation. Phys Rev Lett 99:077201. arXiv:cond-mat/0701479 36. Rushforth AW, Ranieri ED, Zemen J, Wunderlich J, Edmonds KW, King CS, Ahmad E, Campion RP, Foxon CT, Gallagher BL, Vy´borny´ K, Kucˇera J, Jungwirth T (2008) Voltage control of magnetocrystalline anisotropy in ferromagnetic - semiconductor/piezoelectric hybrid structures. Phys Rev B 78:085314. arXiv:0801.0886 37. Overby M, Chernyshov A, Rokhinson LP, Liu X, Furdyna JK (2008) GaMnAs-based hybrid multiferroic memory device. Appl Phys Lett 92:192501. arXiv:0801.4191 38. Goennenwein STB, Althammer M, Bihler C, Brandlmaier A, Gepra¨gs S, Opel M, Schoch W, Limmer W, Gross R, Brandt MS (2008) Piezo-voltage control of magnetization orientation in a ferromagnetic semiconductor. Phys Status Solidi (RRL) 2:96 39. Gould C, R€uster C, Jungwirth T, Girgis E, Schott GM, Giraud R, Brunner K, Schmidt G, Molenkamp LW (2004) Tunneling anisotropic magnetoresistance: a spin-valve like tunnel magnetoresistance using a single magnetic layer. Phys Rev Lett 93:117203. arXiv:cond-mat/ 0407735 40. Moser J, Matos-Abiague A, Schuh D, Wegscheider W, Fabian J, Weiss D (2007) Tunneling anisotropic magnetoresistance and spin-orbit coupling in fe/gaas/au tunnel junctions. Phys Rev Lett 99:056601. arXiv:cond-mat/0611406 41. Park BG, Wunderlich J, Marti X, Holy V, Kurosaki Y, Yamada M, Yamamoto H, Nishide A, Hayakawa J, Takahashi H, Shick AB, Jung-wirth T (2011) A spin-valve-like magnetoresistance of an antiferromagnet-based tunnel junction. Nat Mater 10:347. arXiv:1011.3188 42. Wunderlich J, Jungwirth T, Kaestner B, Irvine AC, Wang KY, Stone N, Rana U, Giddings AD, Shick AB, Foxon CT, Campion RP, Williams DA, Gallagher BL (2006) Coulomb blockade anisotropic magnetoresistance effect in a (Ga,Mn)As single-electron transistor. Phys Rev Lett 97:077201. arXiv:cond-mat/0602608 43. Bernand-Mantel A, Seneor P, Bouzehouane K, Fusil S, Deranlot C, Petroff F, Fert A (2009) Anisotropic magneto-coulomb effects and magnetic single-electron-transistor action in a single nanoparticle. Nat Phys 5:920 44. Ciccarelli C, Zarbo LP, Irvine AC, Campion RP, Gallagher BL, Wunderlich J, Jungwirth T, Ferguson AJ (2012) Spin gating electrical current. Appl Phys Lett 101:122411. arXiv:1203.2439 45. Chernyshov A, Overby M, Liu X, Furdyna JK, Lyanda-Geller Y, Rokhinson LP (2009) Evidence for reversible control of magnetization in a ferromagnetic material by means of spin-orbit magnetic field. Nat Phys 5:656. arXiv:0812.3160 46. Fang D, Kurebayashi H, Wunderlich J, Vyborny K, Zarbo LP, Campion RP, Casiraghi A, Gallagher BL, Jungwirth T, Ferguson AJ (2011) Spin-orbit driven ferromagnetic resonance: a nanoscale magnetic characterisation technique. Nat Nanotechnol 6:413. arXiv:1012.2397 47. Nemec P, Rozkotova E, Tesarova N, Trojanek F, Ranieri ED, Olejnik K, Zemen J, Novak V, Cukr M, Maly P, Jungwirth T (2012) Experimental observation of the optical spin transfer torque. Nat Phys 8:411. arXiv:1201.1436 48. Tesarova N, Nemec P, Rozkotova E, Zemen J, Trojanek F, Olejnik K, Novak V, Maly P, Jungwirth T (2013) Experimental observation of the optical spin-orbit torque. Nat Photonics 7:492. arXiv:1207.0307 49. Matsukura F, Ohno H, Dietl T (2002) In: Buschow KHJ (ed) Handbook of magnetic materials, vol 14. Elsevier, Amsterdam, p 1. From Ohno Lab Homepage 50. Dietl T (2003) In: Kramer B (ed) Advances in solid state physics. Springer, Berlin, p 413. arXiv:cond-mat/0306479 51. Jungwirth T, Sinova J, Masˇek J, Kucˇera J, MacDonald AH (2006) Theory of ferromagnetic (III,Mn)V semiconductors. Rev Mod Phys 78:809. arXiv:cond-mat/0603380

13

III–V Based Magnetic Semiconductors

515

52. Sato K, Bergqvist L, Kudrnovsky´ J, Dederichs PH, Eriksson O, Turek I, Sanyal B, Bouzerar G, Katayama-Yoshida H, Dinh VA, Fukushima T, Kizaki H, Zeller R (2010) First-principles theory of dilute magnetic semiconductors. Rev Mod Phys 82:1633 53. Dietl T, Ohno H (2014) Dilute ferromagnetic semiconductors: physics and spintronic structures. Rev Mod Phys 86:187. arXiv:1307.3429 54. Jungwirth T, Wunderlich J, Novak V, Olejnik K, Gallagher BL, Campion RP, Edmonds KW, Rushforth AW, Ferguson AJ, Nemec P (2014) Spin-dependent phenomena and device concepts explored in (Ga,Mn)As. Rev Mod Phys 86:855. arXiv:1310.1944 55. Chapman RA, Hutchinson WG (1967) Photoexcitation and photoionization of neutral manganese acceptors in gallium arsenide. Phys Rev Lett 18:443 56. Blakemore JS, Brown WJ, Stass ML, Woodbury DA (1973) Thermal activation energy of manganese acceptors in gallium arsenide as a function of impurity spacing. J Appl Phys 44:3352 57. Bhattacharjee AK, à la Guillaume CB (2000) Model for the mn acceptor in gaas. Solid State Commun 113:17 58. Yakunin AM, Silov AY, Koenraad PM, Wolter JH, Van Roy W, De Boeck J, Tang JM, Flatte´ ME (2004) Spatial structure of an individual mn acceptor in gaas. Phys Rev Lett 92:216806. arXiv:cond-mat/0402019 59. Madelung O, Ro¨ssler U, Schulz M (2003) Impurities and, defects in group IV elements, IV-IV and III-V compounds. Part b: group IV-IV and III-V compounds. Landolt-Bo¨rnstein – group III condensed matter, vol 41A2b. Springer, Berlin/Heidelberg 60. Ohno H (1999) Properties of ferromagnetic iii-v semiconductors. J Magn Magn Mater 200:110 61. Campion RP, Edmonds KW, Zhao LX, Wang KY, Foxon CT, Gallagher BL, Staddon CR (2003) The growth of gamnas films by molecular beam epitaxy using arsenic dimers. J Cryst Growth 251:311 62. Potashnik SJ, Ku KC, Mahendiran R, Chun SH, Wang RF, Samarth N, Schiffer P (2002) Saturated ferromagnetism and magnetization deficit in optimally annealed (Ga,Mn)As epilayers. Phys Rev B 66:012408. arXiv:cond-mat/0204250 63. Jungwirth T, Sinova J, MacDonald AH, Gallagher BL, Novák V, Edmonds KW, Rushforth AW, Campion RP, Foxon CT, Eaves L, Olejnı´k K, Masˇek J, Yang SRE, Wunderlich J, Gould C, Molenkamp LW, Dietl T, Ohno H (2007) Character of states near the fermi level in (Ga,Mn)As: impurity to valence band crossover. Phys Rev B 76:125206. arXiv:0707.0665 64. Ruzmetov D, Scherschligt J, Baxter DV, Wojtowicz T, Liu X, Sasaki Y, Furdyna JK, Yu KM, Walukiewicz W (2004) High-temperature hall effect in Ga1xMnxAs. Phys Rev B 69:155207 65. MacDonald AH, Schiffer P, Samarth N (2005) Ferromagnetic semiconductors: moving beyond (Ga,Mn)As. Nat Mater 4:195. arXiv:cond-mat/0503185 66. Jungwirth T, Wang KY, Masˇek J, Edmonds KW, Ko¨nig J, Sinova J, Polini M, Goncharuk NA, MacDonald AH, Sawicki M, Campion RP, Zhao LX, Foxon CT, Gallagher BL (2005) Prospects for high temperature ferromagnetism in (Ga,Mn)As semiconductors. Phys Rev B 72:165204. arXiv:cond-mat/0505215 67. Shklovskii BI, Efros AL (1984) Electronic properties of doped semiconductors. Springer, New York 68. Lee PA, Ramakrishnan TV (1985) Disordered electronic systems. Rev Mod Phys 57:287 69. Paalanen MA, Bhatt RN (1991) Transport and thermodynamic properties across the metalinsulator transition. Physica B 169:223 70. Dietl T (2007) Origin of ferromagnetic response in diluted magnetic semiconductors and oxides. J Phys Condens Matter 19:165204. arXiv:0711.0340 71. Dietl T (2008) Interplay between carrier localization and magnetism in diluted magnetic and ferromagnetic semiconductors. J Phys Soc Jpn 77:031005. arXiv:0712.1293 72. Ohya S, Takata K, Tanaka M (2011) Nearly nonmagnetic valence band of the ferromagnetic semiconductor GaMnAs. Nat Phys 7:342

516

T. Jungwirth

73. Gray AX, Minár J, Ueda S, Stone PR, Yamashita Y, Fujii J, Braun J, Plucinski L, Schneider CM, Panaccione G, Ebert H, Dubon OD, Kobayashi K, Fadley CS (2012) Bulk electronic structure of the dilute magnetic semiconductor GaMnAs through hard x-ray angle-resolved photoemission. Nat Mater 11:957 74. Di Marco I, Thunstrom P, Katsnelson MI, Sadowski J, Karlsson K, Lebegue S, Kanski J, Eriksson O (2013) Electron correlations in MnxGa1xAs as seen by resonant electron spectroscopy and dynamical mean field theory. Nat Commun 4:2645 75. Masˇek J, Máca F, Kudrnovsky´ J, Makarovsky O, Eaves L, Campion RP, Edmonds KW, Rushforth AW, Foxon CT, Gallagher BL, Novak V, Sinova J, Jungwirth T (2010) Microscopic analysis of the valence band and impurity band theories of (Ga,Mn)As. Phys Rev Lett 105:227202. arXiv:1007.4704 76. Wang M, Edmonds KW, Gallagher BL, Rushforth AW, Makarovsky O, Patane A, Campion RP, Foxon CT, Novak V, Jungwirth T (2013) High curie temperatures at low compensation in the ferromagnetic semiconductor (ga,mn)as. Phys Rev B 87, 121301(R). arXiv:1211.3860 77. Dobrowolska M, Tivakornsasithorn K, Liu X, Furdyna JK, Berciu M, Yu KM, Walukiewicz W (2012) Controlling the Curie temperature in (Ga, Mn)As through location of the fermi level within the impurity band. Nat Mater 11:444 78. Dobrowolska M, Liu X, Furdyna JK, Berciu M, Yu KM, Walukiewicz W (2012) Response to the comment of K. W. Edmonds et al. http://arxiv.org/abs/1211.4051 79. Nemec P, Novak V, Tesarova N, Rozkotova E, Reichlova H, Butkovicova D, Trojanek F, Olejnik K, Maly P, Campion RP, Gallagher BL, Sinova J, Jungwirth T (2013) The essential role of carefully optimized synthesis for elucidating intrinsic material properties of (Ga,Mn) As. Nat Commun 4:1422. arXiv:1207.0310 80. Gao H, Cernov C, Jungwirth T, Sinova J (2015) Disorder and localization effects on the local spectroscopic and infrared-optical properties of GaMnAs. Phys Rev B 91:245201. arXiv:1502.05705v1 81. Richardella A, Roushan P, Mack S, Zhou B, Huse DA, Awschalom DD, Yazdani A (2010) Visualizing critical correlations near the metal-insulator transition in Ga1xMnxAs. Science 327:665 82. Yokoyama M, Yamaguchi H, Ogawa T, Tanaka M (2005) Zinc-blende-type mnas nanoclusters embedded in gaas. J Appl Phys 97, 10D317 83. Kovacs A, Sadowski J, Kasama T, Domagala J, Mathieu R, Dietl T, Dunin-Borkowski RE (2011) Voids and mn-rich inclusions in a (ga, mn)as ferromagnetic semiconductor investigated by transmission electron microscopy. J Appl Phys 109:083546 84. Novák V, Olejnı´k K, Wunderlich J, Cukr M, Vy´borny´ K, Rushforth AW, Edmonds KW, Campion RP, Gallagher BL, Sinova J, Jungwirth T (2008) Curie point singularity in the temperature derivative of resistivity in (Ga,Mn)As. Phys Rev Lett 101:077201. arXiv:0804.1578 85. Fisher ME, Langer JS (1968) Resistive anomalies at magnetic critical points. Phys Rev Lett 20:665 86. Lo´pez-Sancho MP, Brey L (2003) Temperature dependence of the dielectric constant and resistivity of diluted magnetic semiconductors. Phys Rev B 68:113201. arXiv:cond-mat/ 0302237 87. Moca CP, Sheu BL, Samarth N, Schiffer P, Janko B, Zarand G (2009) Scaling theory of magnetoresistance and carrier localization in GaMnAs. Phys Rev Lett 102:137203 88. Jungwirth T, Masˇek J, Wang KY, Edmonds KW, Sawicki M, Polini M, Sinova J, MacDonald AH, Campion RP, Zhao LX, Farley NRS, Johal TK, van der Laan G, Foxon CT, Gallagher BL (2006) Low-temperature magnetization of (Ga,Mn)As semiconductors. Phys Rev B 73:165205. arXiv:cond-mat/0508255 89. Máca F, Masˇek J (2002) Electronic states in Ga1xMnxAs: substitutional versus interstitial position of mn. Phys Rev B 65:235209

13

III–V Based Magnetic Semiconductors

517

90. Yu KM, Walukiewicz W, Wojtowicz T, Kuryliszyn I, Liu X, Sasaki Y, Furdyna JK (2002) Effect of the location of Mn sites in ferromagnetic Ga1xMnxAs on its curie temperature. Phys Rev B 65:201303 91. Edmonds KW, Wang KY, Campion RP, Neumann AC, Farley NRS, Gallagher BL, Foxon CT (2002) High Curie temperature GaM-nAs obtained by resistance-monitored annealing. Appl Phys Lett 81:4991. arXiv:cond-mat/0209554 92. Dietl T, Ohno H, Matsukura F (2001) Hole-mediated ferromagnetism in tetrahedrally coordinated semiconductors. Phys Rev B 63:195205. arXiv:cond-mat/0007190 93. Abolfath M, Jungwirth T, Brum J, MacDonald AH (2001) Theory of magnetic anisotropy in III1xMnxV ferromagnets. Phys Rev B 63:054418. arXiv:cond-mat/0006093 94. Zemen J, Kucera J, Olejnik K, Jungwirth T (2009) Magneto crystalline anisotropies in (Ga, Mn)As: a systematic theoretical study and comparison with experiment. Phys Rev B 80:155203. arXiv:0904.0993 95. Ko¨nig J, Jungwirth T, MacDonald AH (2001) Theory of magnetic properties and spin-wave dispersion for ferromagnetic (Ga,Mn)As. Phys Rev B 64:184423. arXiv:cond-mat/0103116 96. Brey L, Go´mez-Santos G (2003) Magnetic properties of GaMnAs from an effective Heisenberg Hamiltonian. Phys Rev B 68:115206. arXiv:cond-mat/0306125 97. Bouzerar G (2007) Magnetic spin excitations in diluted ferromagnetic systems: the case of Ga1xMnxAs. Europhys Lett 79:57007. arXiv:cond-mat/0610465 98. Werpachowska A, Dietl T (2010) Theory of spin waves in ferromagnetic (Ga, Mn)As. Phys Rev B 82:085204 99. Baibich MN, Broto JM, Fert A, Nguyen Van Dau F, Petroff F, Etienne P, Creuzet G, Friederich A, Chazelas J (1988) Giant Magnetoresistance of (001)Fe/(001)Cr Magnetic Superlattices. Phys Rev Lett 61:2472–2475 100. McGuire T, Potter R (1975) Anisotropic magnetoresistance in ferromagnetic 3d alloys. IEEE Trans Magn 11:1018–1038 101. Baxter DV, Ruzmetov D, Scherschligt J, Sasaki Y, Liu X, Furdyna JK, Mielke CH (2002) Anisotropic magnetoresistance in Ga1xMnxAs. Phys Rev B 65:212407 102. Jungwirth T, Sinova J, Wang KY, Edmonds KW, Campion RP, Gallagher BL, Foxon CT, Niu Q, MacDonald AH (2003) Dc-transport properties of ferromagnetic (ga,mn)as semiconductors. Appl Phys Lett 83:320. arXiv:cond-mat/0302060 103. Tang HX, Kawakami RK, Awschalom DD, Roukes ML (2003) Giant planar hall effect in epitaxial (ga,mn)as devices. Phys Rev Lett 90:107201. arXiv:cond-mat/0210118 104. Matsukura F, Sawicki M, Dietl T, Chiba D, Ohno H (2004) Magnetotransport properties of metallic (ga, mn)as films with compressive and tensile strain. Physica E 21:1032 105. Goennenwein STB, Russo S, Morpurgo AF, Klapwijk TM, Van Roy W, De Boeck J (2005) Quantitative study of magnetotransport through a (ga,mn)as single ferromagnetic domain. Phys Rev B 71:193306. arXiv:cond-mat/0412290 106. Wang KY, Edmonds KW, Campion RP, Zhao LX, Foxon CT, Gallagher BL (2005) Anisotropic magnetoresistance and magnetic anisotropy in high-quality(ga,mn)as films. Phys Rev B 72:085201. arXiv:cond-mat/0506250 107. Limmer W, Glunk M, Daeubler J, Hummel T, Schoch W, Sauer R, Bihler C, Huebl H, Brandt MS, Goennenwein STB (2006) Angle-dependent magnetotransport in cubic and tetragonal ferromagnets: application to (001)-and (113)a-oriented (ga,mn)as. Phys Rev B 74:205205. arXiv:cond-mat/0607679 108. Rushforth AW, Vy´borny´ K, King CS, Edmonds KW, Campion RP, Foxon CT, Wunderlich J, Irvine AC, Vasˇek P, Novák V, Olejnı´k K, Sinova J, Jungwirth T, Gallagher BL (2007) Anisotropic magnetoresistance components in (ga,mn)as. Phys Rev Lett 99:147207. arXiv: cond-mat/0702357 109. Thomson W (1856) On the electro-dynamic qualities of metals: effects of magnetization on the electric conductivity of nickel and of iron. Proc R Soc Lond 8:546 110. Daughton J (1992) Magnetoresistive memory technology. Thin Solid Films 216:162

518

T. Jungwirth

111. Jungwirth T, Abolfath M, Sinova J, Kucˇera J, MacDonald AH (2002) Boltzmann theory of engineered anisotropic magnetoresistance in (ga,mn)as. Appl Phys Lett 81:4029. arXiv:condmat/0206416 112. Do¨ring W (1938) Die Abha¨ngigkeit des widerstandes von nickelkristallen von der richtung der spontanen magnetisierung. Ann Phys (Leipzig) 424:259 113. Jungwirth T, Niu Q, MacDonald AH (2002) Anomalous Hall effect in ferromagnetic semiconductors. Phys Rev Lett 88:207208. arXiv:cond-mat/0110484 114. Sawicki M, Wang K-Y, Edmonds KW, Campion RP, Staddon CR, Farley NRS, Foxon CT, Papis E, Kaminska E, Piotrowska A, Dietl T, Gallagher BL (2005) In-plane uniaxial anisotropy rotations in (ga,mn)as thin films. Phys Rev B 71:121302. arXiv:cond-mat/ 0410544 115. Rushforth AW, Giddings AD, Edmonds KW, Campion RP, Foxon CT, Gallagher BL (2006) Amr and magnetometry studies of ultra thin gamnas films. Phys Status Solidi C 3:4078. arXiv:cond-mat/0610692 116. Binasch G, Gr€unberg P, Saurenbach F, Zinn W (1989) Enhanced magnetoresistance in layered magnetic structures with antiferromagnetic interlayer exchange. Phys Rev B 39:4828 117. Julliere M (1975) Tunneling between ferromagnetic films. Phys Lett A 54:225 118. Moodera JS, Kinder LR, Wong TM, Meservey R (1995) Large magnetoresistance at room temperature in ferromagnetic thin film tunnel junctions. Phys Rev Lett 74:3273 119. Miyazaki T, Tezuka N (1995) Giant magnetic tunneling effect in fe/al2o3/fe junction. J Magn Magn Mater 139:L231 120. Chappert C, Fert A, Dau FNV (2007) The emergence of spin electronics in data storage. Nat Mater 6:813 121. Brey L, Tejedor C, Fernández-Rossier J (2004) Tunnel magneto-resistance in gamnas: going beyond Jullie`re formula. Appl Phys Lett 85:1996. arXiv:cond-mat/0405473 122. Sankowski P, Kacman P, Majewski JA, Dietl T (2007) Spin-dependent tunneling in modulated structures of (ga,mn)as. Phys Rev B 75:045306. arXiv:cond-mat/0607206 123. Saffarzadeh A, Shokri AA (2006) Quantum theory of tunneling magnetoresistance in gamnas/gaas/gamnas heterostructures. J Magn Magn Mater 305:141. arXiv:cond-mat/ 0608006 124. Ohya S, Hai PN, Mizuno Y, Tanaka M (2007) Quantum-size effect and tunneling magnetoresistance in ferromagnetic-semiconductor quantum heterostructures. Phys Rev B 75:155328. arXiv:cond-mat/0608357 125. Elsen M, Jaffre`s H, Mattana R, Thevenard L, Lemaıˆtre A, George JM (2007) Spin-polarized tunneling as a probe of the electronic properties of Ga1xMnxAs heterostructures. Phys Rev B 76:144415. arXiv:0706.0109 126. R€uster C, Gould C, Jungwirth T, Sinova J, Schott GM, Giraud R, Brunner K, Schmidt G, Molenkamp LW (2005) Very large tunneling anisotropic magnetoresistance of a (ga,mn)as/ gaas/(ga,mn)as stack. Phys Rev Lett 94:027203. arXiv:cond-mat/0408532 127. Giraud R, Gryglas M, Thevenard L, Lemaıˆtre A, Faini G (2005) Voltage-controlled tunneling anisotropic magneto-resistance of a ferromagnetic p++ (ga,mn)as/n+ gaas zener-esaki diode. Appl Phys Lett 87:242505. arXiv:cond-mat/0509065 128. Ciorga M, Einwanger A, Sadowski J, Wegscheider W, Weiss D (2007) Tunneling anisotropic magnetoresistance effect in a p+(ga, mn)as/n+gaas esaki diode. Phys Status Solidi A 204:186 129. R€uster C, Gould C, Jungwirth T, Girgis E, Schott GM, Giraud R, Brunner K, Schmidt G, Molenkamp LW (2005) Tunneling anisotropic magnetoresistance: creating a spin-valve-like signal using a single ferromagnetic semiconductor layer. J Appl Phys 97, 10C506 130. Pappert K, Schmidt MJ, H€ umpfner S, R€ uster C, Schott GM, Brunner K, Gould C, Schmidt G, Molenkamp LW (2006) Magnetization-switched metal-insulator transition in a (ga,mn)as tunnel device. Phys Rev Lett 97:186402. arXiv:cond-mat/0608683 131. Giddings AD, Khalid MN, Jungwirth T, Wunderlich J, Yasin S, Campion RP, Edmonds KW, Sinova J, Ito K, Wang KY, Williams D, Gallagher BL, Foxon CT (2005) Large tunneling

13

III–V Based Magnetic Semiconductors

519

anisotropic magnetoresistance in (ga,mn)as nanoconstrictions. Phys Rev Lett 94:127202. arXiv:cond-mat/0409209 132. R€uster C, Borzenko T, Gould C, Schmidt G, Molenkamp LW, Liu X, Wojtowicz TJ, Furdyna JK, Yu ZG, Flatte´ ME (2003) Very large magnetoresistance in lateral ferromagnetic (ga,mn) as wires with nanoconstrictions. Phys Rev Lett 91:216602. arXiv:cond-mat/0308385 133. Schlapps M, Doeppe M, Wagner K, Reinwald M, Wegscheider W, Weiss D (2006) Transport through (ga, mn)as nanoconstrictions. Phys Status Solidi A 203:3597 134. Wunderlich J, Jungwirth T, Novák V, Irvine AC, Kaestner B, Shick AB, Foxon CT, Campion RP, Williams DA, Gallagher BL (2007) Ordinary and extraordinary coulomb blockade magnetoresistance in (ga, mn)as single electron transistor. Solid State Commun 144:536 135. Schlapps M, Lermer T, Geissler S, Neumaier D, Sadowski J, Schuh D, Wegscheider W, Weiss D (2009) Transport through (ga,mn)as nanoislands: coulomb blockade and temperature dependence of the conductance. Phys Rev B 80:125330. arXiv:0904.3225 136. Hampton J, Eisenstein J, Pfeiffer L, West K (1995) Capacitance of two-dimensional electron systems subject to an in-plane magnetic field. Solid State Commun 94:559–562 137. Jungwirth T, Smrcˇka L (1995) Capacitance of gated GaAs/AlxGa1xAs heterostructures subject to in-plane magnetic field. Phys Rev B 51:10181–10184 138. McCarthy KT, Hebard AF, Arnason SB (2003) Magnetocapacitance: probe of spindependent potentials. Phys Rev Lett 90:117201 139. Kaiju H, Fujita S, Morozumi T, Shiiki K (2002) Magnetocapacitance effect of spin tunneling junctions. J Appl Phys 91:7430–7432 140. Padhan P, LeClair P, Gupta A, Tsunekawa K, Djayaprawira DD (2007) Frequency-dependent magnetoresistance and magnetocapacitance properties of magnetic tunnel junctions with MgO tunnel barrier. Appl Phys Lett 90:142105 141. Chang Y-M, Li K-S, Huang H, Tung M-J, Tong S-Y, Lin M-T (2010) Extraction of the tunnel magneto-capacitance with two-terminal measurements. J Appl Phys 107:093904 142. Haigh JA, Ciccarelli C, Betz AC, Irvine A, Novák V, Jungwirth T, Wunderlich J (2015) Anisotropic magnetocapacitance in ferromagnetic-plate capacitors. Phys Rev B 91, 140409(R). doi:10.1103/PhysRevB.91.140409 143. Kopp T, Mannhart J (2009) Calculation of the capacitances of conductors: perspectives for the optimization of electronic devices. J Appl Phys 106:064504 144. Neumaier D, Turek M, Wurstbauer U, Vogl A, Utz M, Wegscheider W, Weiss D (2009) All-electrical measurement of the density of states in (ga, mn)as. Phys Rev Lett 103:087203 145. Ono K, Shimada H, Ootuka Y (1997) Enhanced magnetic valve effect and magneto-coulomb oscillations in ferromagnetic single electron transistor. J Physical Soc Japan 66:1261–1264 146. Ono K, Shimada H, Ootuka Y (1998) Ferromagnetic single electron transistor. Solid State Electron 42:1407–1411 147. Ono K, Shimada H, Ootuka Y (1997) Enhanced magnetic valve effect and magneto-coulomb oscillations in ferromagnetic single electron transistor. J Phys Soc Jpn 66:1261 148. Chappert C, Fert A, Van Dau FN (2007) The emergence of spin electronics in data storage. Nat Mater 6:813–823. http://www.ncbi.nlm.nih.gov/pubmed/17972936 149. Olejnı´k K, Novák V, Wunderlich J, Jungwirth T (2015) Electrical detection of magnetization reversal without auxiliary magnets. Phys Rev B 91:18. doi:10.1103/PhysRevB.91.180402 150. Avci CO, Garello K, Ghosh A, Gabureac M, Alvarado SF, Gambardella P. Unidirectional spin Hall magnetoresistance in ferromagnet/normal metal bilayers. arXiv:1502.06898v1 151. Chen L, Matsukura F, Ohno H (2013) Direct-current voltages in (Ga,Mn)As structures induced by ferromagnetic resonance. Nat Commun 4:2055. http://www.ncbi.nlm.nih.gov/ pubmed/23784479 152. Skinner TD, Olejnik K, Cunningham LK, Kurebayashi H, Campion RP, Gallagher BL, Jungwirth T, Ferguson AJ (2015) Complementary spin-Hall and inverse spin-galvanic effect torques in a ferromagnet/semiconductor bilayer. Nat Commun 6:6730 153. Nakayama H, Althammer M, Chen Y-T, Uchida K, Kajiwara Y, Kikuchi D, Ohtani T, Gepra¨gs S, Opel M, Takahashi S, Gross R, Bauer GEW, Goennenwein STB, Saitoh E

520

T. Jungwirth

(2013) Spin hall magnetoresistance induced by a nonequilibrium proximity effect. Phys Rev Lett 110:206601. doi:10.1103/PhysRevLett.110.206601 154. Ralph D, Stiles M, Bader S (eds) (2008) Current perspectives: spin transfer torques. J Magn Magn Mater 320:1189 155. Zhang S, Li Z (2004) Roles of nonequilibrium conduction electrons on the magnetization dynamics of ferromagnets. Phys Rev Lett 93:127204 156. Vanhaverbeke A, Viret M (2007) Simple model of current-induced spin torque in domain walls. Phys Rev B 75:024411 157. Fernández-Rossier J, Nu´n˜ez AS, Abolfath M, MacDonald AH (2003) Optical spin transfer in ferromagnetic semiconductors. arXiv:cond-mat/0304492 158. Slonczewski JC (1996) Current-driven excitation of magnetic multilayers. J Magn Magn Mater 159:L1 159. Berger L (1996) Emission of spin waves by a magnetic multilayer traversed by a current. Phys Rev B 54:9353 160. Metaxas PJ, Jamet JP, Mougin A, Cormier M, Ferre J, Baltz V, Rodmacq B, Dieny B, Stamps RL (2007) Creep and flow regimes of magnetic domain-wall motion in ultrathin pt/co/pt films with perpendicular anisotropy. Phys Rev Lett 99:217208 161. Mougin A, Cormier M, Adam JP, Metaxas PJ, Ferre J (2007) Domain wall mobility, stability and walker breakdown in magnetic nanowires. EPL 78:57007 162. Bernevig BA, Vafek O (2005) Piezo-magnetoelectric effects in p-doped semiconductors. Phys Rev B 72:033203 163. Manchon A, Zhang S (2009) Theory of spin torque due to spin-orbit coupling. Phys Rev B 79:094422 164. Garate I, MacDonald AH (2009) Influence of a transport current on magnetic anisotropy in gyrotropic ferromagnets. Phys Rev B 80:134403. arXiv:0905.3856 165. Miron IM, Gaudin G, Auffret S, Rodmacq B, Schuhl A, Pizzini S, Vogel J, Gambardella P (2010) Current-driven spin torque induced by the Rashba effect in a ferromagnetic metal layer. Nat Mater 9:230 166. Gambardella P, Miron IM (2011) Current-induced spin-orbit torques. Phil Trans R Soc A 369:3175 167. Manchon A, Zhang S (2008) Theory of nonequilibrium intrinsic spin torque in a single nanomagnet. Phys Rev B 78:212405 168. Miron IM, Moore T, Szambolics H, Bud Prejbeanu LD, Auffret S, Rodmacq B, Pizzini S, Vogel J, Bonfim M, Schuhl A, Gaudin G (2011) Fast current-induced domain-wall motion controlled by the Rashba effect. Nat Mater 10:419 169. Ohno H, Dietl T (2008) Spin-transfer physics and the model of ferromagnetism in (ga,mn)as. J Magn Magn Mater 320:1293. arXiv:0712.3247 170. Chiba D, Yamanouchi M, Matsukura F, Dietl T, Ohno H (2006) Domain-wall resistance in ferromagnetic (ga,mn)as. Phys Rev Lett 96:096602. arXiv:cond-mat/0601464 171. Thiaville A, Nakatani Y, Miltat J, Suzuki Y (2005) Micromagnetic understanding of currentdriven domain wall motion in patterned nanowires. Europhys Lett 69:990 172. Roy PE, Wunderlich J (2011) In-plane magnetic anisotropy dependence of critical current density, walker field and domain-wall velocity in a stripe with perpendicular anisotropy. Appl Phys Lett 99:122504 173. Kurebayashi H, Sinova J, Fang D, Irvine AC, Skinner TD, Wunderlich J, Novak V, Campion RP, Gallagher BL, Vehstedt EK, Zarbo LP, Vyborny K, Ferguson AJ, Jungwirth T (2014) An anti-damping spinorbit torque originating from the berry curvature. Nat Nanotechnol 9:211. arXiv:1306.1893 174. Mizukami S, Ando Y, Miyazaki T (2001) The study on ferromagnetic resonance linewidth for nm/80nife/nm (nm=cu, ta, pd and pt) films. Jpn J Appl Phys 40:580 175. Tserkovnyak Y, Brataas A, Bauer GEW, Halperin BI (2005) Nonlocal magnetization dynamics in ferromagnetic heterostructures. Rev Mod Phys 77:1375. arXiv:cond-mat/0409242

13

III–V Based Magnetic Semiconductors

521

176. Hals KMD, Brataas A, Tserkovnyak Y (2010) Scattering theory of charge-current-induced magnetization dynamics. Euro Phys Lett 90:47002. arXiv:0905.4170 177. Tatara G, Nakabayashi N, Lee KJ (2013) Spin motive force induced by Rashba interaction in the strong sd coupling regime. Phys Rev B 87:054403 178. Ciccarelli C, Hals KMD, Irvine A, Novak V, Tserkovnyak Y, Kurebayashi H, Brataas A, Ferguson A (2014) Magnonic charge pumping via spin orbit coupling. Nat Nanotechnol 10:50–54, 10.1038/nnano.2014.252 179. Nu´n˜ez AS, Fernández-Rossier J, Abolfath M, MacDonald AH (2004) Optical control of the magnetization damping in ferromagnetic semiconductors. J Magn Magn Mater 272–276:1913 180. Oiwa A, Takechi H, Munekata H (2005) Photoinduced magnetization rotation and precessional motion of magnetization in ferromagnetic (Ga, Mn)As. J Supercond Nov Magn 18:9 181. Wang DM, Ren YH, Liu X, Furdyna JK, Grimsditch M, Merlin R (2007) Light-induced magnetic precession in (Ga,Mn)As slabs: hybrid standing-wave damoneshbach modes. Phys Rev B 75:233308. arXiv:cond-mat/0609646 182. Takechi H, Oiwa A, Nomura K, Kondo T, Munekata H (2007) Light-induced precession of ferromagnetically coupled Mn spins in ferromagnetic (Ga, Mn)As. Phys Status Solidi C 3:4267 183. Qi J, Xu Y, Tolk NH, Liu X, Furdyna JK, Perakis IE (2007) Coherent magnetization precession in GaM-nAs induced by ultrafast optical excitation. Appl Phys Lett 91:112506 184. Qi J, Xu Y, Steigerwald A, Liu X, Furdyna JK, Perakis IE, Tolk NH (2009) Ultrafast laserinduced coherent spin dynamics in ferromagnetic Ga1xMnxAs/GaAs structures. Phys Rev B 79:085304 185. Rozkotova E, Nemec P, Horodyska P, Sprinzl D, Trojanek F, Maly P, Novak V, Olejnik K, Cukr M, Jungwirth T (2008) Light-induced magnetization precession in GaMnAs. Appl Phys Lett 92:122507. arXiv:0802.2043 186. Rozkotová E, Neˇmec P, Tesarˇová N, Maly´ P, Novák V, Olejnı´k K, Cukr M, Jungwirth T (2008) Coherent control of magnetization precession in ferromagnetic semiconductor (Ga, Mn)As. Appl Phys Lett 93:232505. arXiv:0808.3738 187. Hashimoto Y, Munekata H (2008) Coherent manipulation of magnetization precession in ferromagnetic semiconductor (Ga,Mn)As with successive optical pumping. Appl Phys Lett 93:202506. arXiv:0810.3728 188. Hashimoto Y, Kobayashi S, Munekata H (2008) Photoinduced precession of magnetization in ferromagnetic (Ga, Mn)As. Phys Rev Lett 100:067202 189. Kobayashi S, Suda K, Aoyama J, Nakahara D, Munekata H (2010) Photo-induced precession of magnetization in metal/(Ga, Mn)As systems. IEEE Trans Magn 46:2470 190. Ramsay AJ, Roy PE, Haigh JA, Otxoa RM, Irvine AC, Janda T, Campion RP, Gallagher BL, Wunderlich J (2015) Optical Spin-Transfer-Torque-Driven Domain-Wall Motion in a Ferromagnetic Semiconductor. Phys Rev Lett 114:067202 191. Wang J, Sun C, Hashimoto Y, Kono J, Khodaparast GA, Cywinski L, Sham LJ, Sanders GD, Stanton CJ, Munekata H (2006) Ultrafast magneto-optics in ferromagnetic III-V semiconductors. J Phys Condens Matter 18:R501 192. Kirilyuk A, Kimel AV, Rasing T (2010) Ultrafast optical manipulation of magnetic order. Rev Mod Phys 82:2731 193. Lingos PC, Wang J, Perakis IE (2015) Manipulating femtosecond spinorbit torques with laser pulse sequences to control magnetic memory states and ringing. Phys Rev B 91:195203. http://arxiv.org/abs/1411.6662.1411.6662

Si Based Magnetic Semiconductors

14

John F. DiTusa

Contents Introduction: Why Spintronics in Silicon? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Attempts to Make Silicon- and Germanium-Based Magnetic Semiconductors . . . . . . . . . . . . . . Transition Metal Dopants in Crystalline Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transition Metal Doping of Crystalline Germanium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transition Metal Doping of Amorphous Silicon and Germanium . . . . . . . . . . . . . . . . . . . . . . . . . Magnetic Silicides and Germanides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Monosilicides and Monogermanides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Dilute SiC-Based Magnetic Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary and Concluding Remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Directions for Future Research . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Further Reading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

524 527 527 531 537 539 542 550 551 551 552 560

Abstract

The efforts over the past decade to identify and characterize magnetic semiconducting systems that would be compatible with present-day silicon technologies are reviewed. Investigations that have explored transition metal doping of the group IV semiconductors silicon and germanium are discussed along with intermetallic compounds such as silicides and germanides that may play the role of a magnetic semiconducting source of polarized electrons. Thin films and nanostructures of these materials have been grown by a number of synthesis techniques, and the resulting structural properties, including the important issue of homogeneity of dopants, are critically surveyed. The resulting magnetic and carrier transport properties are also reviewed.

J.F. DiTusa (*) Department of Physics and Astronomy, Louisiana State University, Baton Rouge, LA, USA e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_21

523

524

J.F. DiTusa

List of Abbreviations

AFM CVD FC FM HM HRTEM MBE MFM PLD RDE SPE SQUID STEM STM Tc TEM TM XRD ZFC α μB ρ

Antiferromagnetic Chemical vapor deposition Field cooled Ferromagnetic Helimagnetic High-resolution transmission electron microscopy Molecular beam epitaxy Magnetic force microscopy Pulsed laser deposition Reactive deposition epitaxy Solid-phase epitaxy Superconducting quantum interference device Scanning transmission electron microscopy Scanning tunneling microscopy Curie temperature Transmission electron microscopy Transition metal X-ray diffraction Zero field cooled Amorphous Bohr magneton Resistivity

Introduction: Why Spintronics in Silicon? From a historical perspective, the advances made over the past 60 years in the materials science of silicon and the resulting device design using silicon as a central semiconductor are truly exceptional. The result of this enormous success is that Si is now deeply entrenched as the choice semiconductor in almost all electronic technologies. Its importance is reflected in the fact that it captures an impressive 90 % of the semiconductor market as the associated industries continue to invest large sums of money and time to develop silicon device fabrication. It is reasonable to expect then that making new technologies compatible with silicon will vastly reduce the time and investment necessary to bring them to market. Presumably, this holds true for the case of the developing technology of spintronics. However, silicon also has its limitations as a semiconductor and discovering materials, and methods for implementing new spintronics ideas into a silicon-based technology have proved to be extraordinarily challenging. In this chapter, I outline these challenges and the progress that has been made to overcome them, highlighting some of the creative ideas that are being pursued. In particular, I emphasize the explorations of magnetic semiconducting materials that are necessary to implement spintronics on a silicon-based platform.

14

Si Based Magnetic Semiconductors

525

a

b Al 10nm CoFe 5nm Al/5nmCu

VE VC1

Si IC1

Spin Transport

350μm Si wafer 4nm NiFe 4nm Cu n-Si

IC2

n-Si

d 120 sweep direction 115



2π 3π

4π 8π

110

0







12π 10π 13π 11π



8π 10π



105



3π π

100

π 2Bπ

−100

12π





−200

9π 11π 13π

0

FM switch T=150K 100

200

Perpendicular Magnetic Field [Oe]

Spin Lifetime (T1) [ns]

Collector 2 Current (IC2) [pA]

c

Al2O3

600 data

500

∝T−5/2

400 300 200 100 0 75

100

125

150

Temperature [K]

Fig. 1 Coherent spin transport in silicon. (a) Schematic view and (b) associated conduction band diagram for the silicon spin transport device employed in Ref. [3]. (c) Spin precession and dephasing effect (Hanle effect) of Si conduction band electrons. (d) Experimental spin lifetimes (Reprinted figures with permission from Huang et al. [3]. Copyright (2007) by the American Physical Society)

One of the great advantages of using silicon as a base material for polarized current and, perhaps, spin current transport is that spin relaxation lifetimes are predicted [1] and observed [2–4] to be very long in Si. The very weak spin-orbit coupling in crystalline silicon means that spin relaxation may be as much as 105 times as long as the elastic scattering times that determine charge conductivities. Because of this long relaxation time, the control and detection of spin currents on the length and time scales of technological interest is a distinct possibility. Simple analyses of conduction electron spin resonance measurements in phosphorus-doped Si (n-type) performed decades ago [5–11] demonstrated a spin relaxation time of 10 ns near room temperature that is relatively insensitive to the doping level across the metal-insulator transition [12]. More recently transport experiments, spin valve, and Hanle-type spin precession experiments in very clean silicon samples show coherent spin transport over length scales as long as 350 μm at 85 K [2–4] (Fig. 1) and extend in lateral devices to over 2 mm at 50 K [3]. These latter experiments employed Co84Fe16 ferromagnetic films to inject a spin-polarized current via tunneling across a thin Al2O3 insulating layer into a nonmagnetic thin film anode (Al and Cu). The carriers are given sufficient energy by the tunnel junction voltage

526

J.F. DiTusa

to travel ballistically in the nonmagnetic thin film and then to cross over the Schottky barrier into the Si conduction band. Ni80Fe20 ferromagnetic films are employed for polarized current detection [13, 14]. The corresponding relaxation time of about 500 ns (at 60 K) and the demonstration of spin transport at temperatures as high as 260 K [15] provide optimism that the logic and memory functions envisioned for spintronics can be accomplished on a silicon platform. These measured relaxation times can be compared with the time-resolved Faraday rotation experiments in n-type GaAs, revealing a spin coherence time of 200 ns at 4 K which is reduced to 1 ns at 100 K [16]. The striking conclusion is that if the challenges of producing and detecting spin currents in silicon-based devices can be overcome, this semiconductor may be far superior to any others for implementing spin-dependent technologies. However, the fact that that silicon is not a direct bandgap semiconductor means that the detection and control of spin currents will likely not be accomplished optically. This may be a severely limiting fact for many envisioned applications. Since optical means of spin current production in silicon is likely unrealistic, effective means of injecting spin-polarized currents from itinerant magnets take on greater importance. Unfortunately, spin-polarized current injection into Si from magnetic materials is just as difficult as in other semiconductors. Itinerant ferromagnetism occurs most readily in materials with large electronic density of states at the Fermi level which typically translates into high carrier density metals. Prototypical itinerant magnets such as the elemental systems iron, cobalt and nickel, and the alloys formed by combining them all have reasonably metallic carrier densities. Thus, there is a typically large band mismatch at the interface between these metals and semiconductors resulting in high Schottky barriers. The consequence is a large resistance to currents at the interfaces between the source of a spin-polarized current and the semiconductor which effectively limits the injected current density. Increasing the dopant density in the semiconductor in an effort to reduce the Schottky barrier heights tends to increase carrier scattering, further reducing the polarization of the injected current. The challenge then is to find magnetic materials with high Curie temperatures that tend to form high-quality Ohmic contacts to silicon with low interface scattering. In addition, it is likely important that the conductivities of the magnetic materials and the semiconductor be similar in order to avoid any conductivity mismatch problems [17]. Discovering solutions to these problems, or finding ways around them, is necessary for progress toward active spintronics elements. To set the scale of the problem of compatibility of ferromagnetic metals with silicon, I list a few quantitative examples of Schottky barrier heights. For common transition metal ferromagnets, such as Co1xFex and NiFe or Ni films on Si [18–20], Schottky barrier heights are usually between 0.6 and 0.73 eV. Some silicide systems can have smaller barriers as was demonstrated for MnSi/Si nanowire devices where a 0.1 eV barrier was measured [21]. A systematic investigation of Schottky barrier heights of silicon/metallic boundaries can be found in Ref. [22] where Uhrmann et al. measure the changes in effective barrier heights between CoFe, CoFeB, and NiFe alloys with annealing temperature, dopant density, and inclusion of MgO tunneling barriers. They observed a ~0.65 eV barrier

14

Si Based Magnetic Semiconductors

a detection

injection

527

b

detection

Si

direct band-gap semiconductors

injection

Si

magnetic semiconductors

Fig. 2 Proposed schemes for spin injection and detection in silicon. (a) Optical scheme based upon optical processes in direct bandgap semiconductors surrounding silicon. (b) Electrical scheme using magnetic semiconducting materials (Reprinted figure with permission from Zutic et al. [25]. Copyright (2006) by the American Physical Society)

nearly independent of the identity of the metallic ferromagnetic film. However, they found that they could reduce barrier height by ~20 % by increasing the doping density in the Si from 1015 to 1018 cm3. Another method for reducing Schottky barrier heights is to employ low work function metals. This method has been used to demonstrate spin-polarized tunneling from Ni80Fe20 into Si through an Al2O3 tunnel barrier where the inclusion of low work function metals Gd and Yb reduced the effective Schottky barriers [23, 24]. Surprisingly, the Gd tended not to disturb the carrier polarization, while 2 nm thickness of Yb suppressed it [23, 24]. The most obvious avenue to effectively reduce Schottky barrier heights, band matching to the semiconductor by employing magnetic semiconductors for the ferromagnetic components of spintronic devices, is the subject of much investigation. However, a magnetic semiconductor with a large Curie temperature that is compatible with silicon technology, including the ability to form high-quality interfaces with Si, has not been discovered [25]. If appropriate materials can be identified, models suggest that detection and injection of polarized currents using currently available techniques should indeed be possible [26] (see Fig. 2).

Attempts to Make Silicon- and Germanium-Based Magnetic Semiconductors Transition Metal Dopants in Crystalline Silicon There are several avenues that have been explored for producing silicon-based magnetic semiconductors. Following the successful demonstration of Mn doping of GaAs for producing a magnetic semiconductor with moderate Curie temperatures (up to 180 K [27, 28]), a number of attempts to produce similar results in transition

528

J.F. DiTusa

metal doping of Si were made. Included were attempts at Mn doping for exactly the same reason it was attempted in the III–V semiconductors; Mn ions tend to be in a high spin state (spin 5/2 is typical for Mn2+). Early experimental investigations employing sputtering, ion implantation, and molecular beam epitaxy (MBE) techniques for synthesizing thin films of Mn-doped Si were promising [29–32]. For example, 5 % Mn-doped Si films synthesized by sputtering with a subsequent hightemperature anneal displayed two Curie temperatures, one at 50 K and another above 250 K [29]. Since the XRD patterns displayed only Si peaks, with a shift of the diffraction pattern indicating an expanded lattice constant, the authors concluded that they had achieved Mn doping of Si. A second method was to ion implant Mn into silicon since nonequilibrium dopant concentrations can typically be achieved through these methods [30]. Again, high Curie temperatures were reported with an enhanced magnetic signal observed with an increasing sample annealing temperature. However, for an annealing temperature of 800  C, a decreased magnetic signal was observed that corresponded to a measured diffusion of Mn out of the samples. Unfortunately, more sophisticated investigations into films of this type revealed that the Mn dopants may not be truly incorporated into the Si structure. Nanoprecipitates with a typical diameter of 5 nm were routinely detected [33, 34] (see Fig. 3). Electron microscopy [34] and synchrotron X-ray diffraction [35, 36] observed the presence of higher Mn silicide precipitates which are usually referred to under the moniker MnSi1.7. The films produced via MBE methods were investigated by X-ray diffraction and X-ray absorption which also revealed evidence for this same phase along with little to suggest that Mn was incorporated into the Si structure [31]. These experiments indicate that, as one might expect, Mn is difficult to dope into Si. This conclusion is compatible with the fact that transition metals have very low solubility in silicon so that second phases frequently nucleate at very low densities. For example, the solubility limit of Mn in crystalline Si is only about 1016 cm3 at 1,000  C [37]. This low solubility limit makes the creation of a FM semiconductor by chemical substitution of Mn for Si impossible under equilibrium conditions and highly unlikely even for nonequilibrium-synthesized samples. The overall picture remains somewhat confusing. It appears that a consensus has been forming that the magnetism found in the Mn-doped Si materials results mainly from second phases, mostly MnSi1.7, forming nanoscopic clusters. However, there are many unanswered questions related to this consensus. First, the compounds referred to as MnSi1.7 are not a single entity, but a set of closely related compounds having stoichiometries between MnSi1.67 and MnSi1.75. These form in a crystal structure known as Nowotny chimney ladder phases and include the compounds Mn4Si7, Mn11Si19, Mn15Si26, and Mn27Si47 [38]. Growing a sample that contains only a single one of these compounds is difficult which leaves much uncertainty in the characterization of their physical properties. In addition, these compounds are thought to be self-doped semiconductors with a bandgap of ~0.6 eV. Based on this identification, several recent investigations suggest that thin film and nanowire samples of MnSi1.7 have promise as thermoelectric materials [39, 40]. In contrast, bulk samples of Mn4Si7 typically display fairly robust metallic behavior below

14

Si Based Magnetic Semiconductors

529

a

b

Intensity

1000 800

10 nm

Si (311)

1200

MnSi1.7 (220)

c

MnSi1.7 (214)

5 nm

5E16 RTA 5E16 Aslmp 1E16 RTA 1E16 Aslmp 1E15 RTA

600 400 200 30

40

60 50 2θ (deg.)

70

80

Fig. 3 Ion implantation of Mn in Si: example of a nanoprecipitate formation, likely MnSi1.7 phase. (a) HRTEM image (Reprinted with permission from Awo-Affouda et al. [33]. Copyright (2006), American Vacuum Society). (b) High-resolution TEM. (c) X-ray diffraction grazing incidence scans of Mn-implanted Si (Reprinted figures (b, c) with permission from Zhou et al. [34]. Copyright (2007) by the American Physical Society)

room temperature including residual resistivity ratios, ρ(300 K)/ρ(4 K), of several hundred indicating long mean free paths for the carriers at low temperature [41]. The magnetic properties of Mn4Si7 are also somewhat confusing. Ferromagnetism has been observed below Tc = 47 K with an extraordinarily small magnetic moment (0.012 μB) which evolves to a Curie-Weiss-like behavior characterized by a fluctuating magnetic moment of 0.3 μB [41] above Tc. The conclusion from these measurements is that Mn4Si7 is a weak itinerant ferromagnet. This idea is somewhat difficult to reconcile with the thought that these compounds are self-doped semiconductors, except if the doping is responsible for both the large conduction and the

530

J.F. DiTusa

magnetism observed in these compounds. More importantly, the low Curie temperatures exhibited by the bulk samples of these compounds make the identification of these phases as the responsible precipitates for the high Curie temperatures found in the attempted Mn-doped Si films more than a little suspect. It appears that Mn doping of silicon has not been convincingly demonstrated, but that the cause of magnetic behavior observed is not known, particularly in light of the realization that likely precipitates have low Curie temperatures in bulk samples. Thus, the origin of the high Curie temperatures observed in sputtered and ion-implanted films remains an open question [42]. In addition, whether materials with magnetic precipitates could be useful for producing polarized spin currents continues to be questioned in the literature. In fact, some view the low solubility of transition metals in Si as advantageous for the growth of nanoparticles in silicon matrix [43]. Despite the less-than-satisfying picture presented above for transition metal doping of Si as a route to ferromagnetic semiconductors, there are several new ideas stemming from theoretical work that lend some optimism to future investigations. One investigation indicates that interstitial dopant sites are typically preferred over substitutional ones [44]; however, the application of pressure may stabilize a substitutional site for Mn. Furthermore, this work indicates that Mn placed at interstitial sites tend to stabilize nearest neighbor substitutions which would be more favorable for the nucleation of ferromagnetism [29, 44]. A related idea is to include co-dopants along with Mn to increase the Mn solubility and stabilize the Mn in substitutional, rather than interstitial, sites in both Si and Ge. Here, compensated doping, where donor-type co-dopants (P or As) compensate the acceptor-type Mn doping, is most effective [45, 46]. By stabilizing Mn in the substitutional sites, they suggest that magnetic ordering is more likely with enhanced Curie temperatures. In addition, the authors also suggest that the variety of behaviors observed for Mn-doped Si and Ge (see below) originate from several factors, including clustering of Mn dopants, second-phase nucleation, and unintentional co-doping (the most likely suspect being oxygen donors). This emphasis to produce Mn in substitutional sites can be contrasted with another approach which instead suggests that one fourth δ-doped Mn layers in Si, where the Mn sites are found to be interstitial, result in half metallic behavior. The authors of this investigation suggested that the interstitial δ-doped layers are more stable than substitutional ones, yet unlike the previous calculations, they predict a magnetic ground state for the interstitial Mn layers. There is at least one recent experimental investigation exploring these ideas by claiming to produce substitutional Mn in co-sputtered Si1xMnx films [47]. Here, X-ray diffraction and absorption methods were employed to demonstrate that Mn substitutes for silicon in the crystal structure [47]. This experiment appears to agree with the theoretical work discussed above by demonstrating that the sites occupied by the Mn dopants in Si are sensitive to the growth and annealing conditions. It is unfortunate that no magnetization data is presented to characterize the magnetic properties of these films so that no comparisons to the predictions of the magnetic properties could be made.

14

Si Based Magnetic Semiconductors

531

Transition Metal Doping of Silicon Nanowires The investigation of transition metal doping of silicon nanowires to produce magnetic semiconductors has a similar flavor to that presented above for thin films. There are reports of high Curie temperatures in nanowires that were doped via Mn ion implantation to produce wires with ~1.35 % Mn doping [48]. The saturation magnetization at room temperature was shown to increase with Mn flux and with annealing temperature below 800  C. For samples annealed at 800  C a dramatically reduced the magnetic signal was found as the Mn dopants precipitated out of the nanowires, similar to the behavior observed in thin films. For samples annealed at these high temperatures, precipitates of Mn3O4 were observed on the nanowire surface due to the poor solubility of Mn in Si. A more in-depth investigation of similarly synthesized nanowires found that the magnetic susceptibility displayed several transitions near 45 K, 640 K, and 815 K which were attributed to the formation of Mn4Si7 precipitates, the presence of unintended Fe and Cr impurities, or spinodal decomposition [49]. Although the experimental situation is far from clarified at this time, there are a number of interesting calculations predicting magnetic behavior of silicon nanowires either doped with transition metals or adsorbed on to their surfaces [50–53]. There is also computational work exploring the possibility of magnetism in silicon nanotubes or fullerene structures where transition or rare earth metal atoms are encapsulated [54, 55].

Transition Metal Doping of Crystalline Germanium A very similar situation exists for Mn doping in Ge where, again, very low solubility of Mn in Ge [43] leads to phase segregation and host of contradictory experimental results. This is not terribly surprising given that there are no Ge-rich phases known to form in the MnGe binary phase diagram [56]. In fact, the highest germanium-rich binary phase known, MnGe (B20 structure), only grows under conditions of high pressure [57], so that it is clearly energetically favorable for Mn-rich clusters and precipitates to nucleate. Initially, there was a great deal of optimism for Mn-doped Ge. For example, in 2002, Park et al. used MBE techniques to grow films of Ge1xMnx while holding the substrate at a cool 70  C. These films showed some Mn clustering, with nanoscopic regions having a maximum of 10–15 % Mn concentration and a Curie temperature that increased with x from 25 K at x = 0.005 to 116 K for x = 0.035 [58]. When these films were annealed at temperatures above 300  C, Mn11Ge8 nanocrystalline precipitates were observed. Subsequently, there were several reports of ferromagnetism in bulk crystals of Ge1xMnx which displayed ferromagnetic magnetization curves above 300 K [59]. However, these measurements produced temperature-dependent susceptibility curves that had distinct resemblance to those of Mn11Ge8 [60], MnGe [61], or Mn5Ge3 [62], leading to the suspicion that the crystals were phase segregated and contained regions where these phases had nucleated. This suspicion was confirmed

532

Curie Temperature (K)

a

J.F. DiTusa

150

b

c D

100

Ge0.94 Mn0.06

Ge 3d

Survey Ge 3s Ge 3p

B Spot D C 1s

50

Mn 3d

200μm 0 0

Spot B

300

0.01 0.02 0.03 0.04 Mn Concentration, X

Mn Auger 200

100

0

Binding Energy (ev)

Fig. 4 Mn-doped Ge (a) Curie temperature as a function of Mn concentration. (b) Scanning electron microscopy image of single crystals of Ge0.94Mn0.06. (c) Survey spectra from two regions of the crystal-labeled B and D in frame (a) demonstrating the germanium-rich regions (D) and Mn-rich regions (B) (Reprinted figures with permission from Kang et al. [62]. Copyright (2005) by the American Physical Society)

by measurements (scanning photoelectron spectroscopy, x-ray absorption spectroscopy, and photoemission spectroscopy) which revealed striped-shaped microstructures where these magnetic second phases segregated from the bulk Ge [63] (see Fig. 4b, c). More systematic work on thin films followed including carefully controlled MBE growth with very low substrate temperatures (70  C). This technique produced films without the formation and segregation of MnGe compounds (see Fig. 5) [64]. However, the Mn was still found to cluster, leaving an inhomogeneously doped film. Typical results displayed two Curie temperatures for these films, at 12 and 112 K for x = 0.05, where the upper transition was thought to be due to the ordering within Mn clusters that would eventually order globally when cooled to 12 K. Similar results were reported for low-temperature MBE 5 % Mn in Ge films where nanoclustering of the Mn was found along with superparamagnetism below 160 K and spin glass behavior at temperatures less than 15 K [65]. This description is similar to that known as Griffiths phase phenomena as was discovered in the magnetic behavior of Co-doped FeS2 [66, 67]. It appears that a consensus is forming in which the higher Curie temperatures reported in the early investigations have been mostly ascribed to the formation of Mn5Ge3 precipitates, although Mn11Ge8 or even MnGe precipitates are also suspected [68]. In contrast, materials where the substrate temperature was kept below about 100  C do not display the second-phase precipitates; however, Mn clustering is observed along with lower Curie temperatures. Understanding of these issues is necessary for progress in Mn-doped Ge as a possible magnetic semiconductor. Co-doping of Ge with Mn and Co may be one solution to the clustering and phase segregation as it appears to suppress the nucleation of secondary phases while enhancing the magnetism. Tsui et al. showed that CoaxMnbxGe1x films formed by MBE methods on substrates held at 250  C and annealed at 450  C resulted in films with similar high- and low-temperature transitions. Curie temperatures

14

Si Based Magnetic Semiconductors

a

533

0.004

40 1T

M (G)

30

H/M

0.003 1T

0.002

0.1 T

Tc*=112 K 0.001

20 0.1 T

0.000 0

100

200

T (K)

10 remanence 0 0

100

200

300

T (K)

b

c

g002 GeMn

buffer

Ge substrate 100 nm

5 nm

Fig. 5 Molecular beam epitaxy growth of Ge1xMnx. (a) Magnetization at three fields for an x = 0.05 sample grown at a substrate temperature of 70  C. Inset: inverse magnetic susceptibility of the same sample displaying Weiss temperature above 100 K (Reprinted figure with permission from Li et al. [63]. Copyright (2005) by the American Physical Society). (b) Dark field TEM overview of an x = 0.05 sample grown with a substrate temperature of 60  C. Bright spots representing 4 nm clusters of increased Mn content can be seen. (c) High-resolution TEM micrograph of an x = 0.05 sample with the same growth conditions displaying regions of increased Mn concentration on substitutional sites that are coherently bound to the surrounding Ge film (Reprinted figures (b, c) with permission from Bougeard et al. [64]. Copyright (2006) by the American Physical Society)

corresponding to the higher temperature transition were reported to be as high as 270 K. They found no sign of second phases in electron diffraction, XRD, or TEM measurements [69]. More recently they have followed up on these ideas by performing a systematic investigation of Co co-dopants using very similar synthesis techniques [70]. The conclusion is that the addition of Co enhances the dopant stability and the magnetic behavior of the films.

534

J.F. DiTusa

Fig. 6 Subsurfactant epitaxy of Mn in Ge. (a, b) STM images of 0.05 monolayer of Mn deposited on a germanium (100) surface deposited at 150 K and room temperature respectively. Image sizes are 35  32 nm. These were subsequently covered by a germanium epilayer as seen in the STEM images in (c) for room temperature growth and (d) for 150 K growth. The green double pointed arrow in (c, d) indicates the interface between the Ge buffer layer and the epilayer. Scale bars in (c, d) are 20 nm (Reprinted figure with permission from Zeng et al. [70]. Copyright (2008) by the American Physical Society)

One recent publication gives hope for a second possible solution to Mn clustering and second-phase precipitation [71]. Here, Curie temperatures above room temperature were reported in a well-controlled investigation of MBE deposition of small amounts of Mn onto a Ge surface held at 150 K. STM measurements revealed that these Mn atoms were located in the atomic layer just below the Ge surface (see Fig. 6a, b). With subsequent deposition of Ge layers, these Mn atoms were observed to float toward the growth front, resulting in a more homogeneously distributed Mn impurity density (see Fig. 6c, d). What was particularly surprising was that the resulting films showed a ferromagnetic signal in both the magnetization and the Hall effect above room temperature, despite the resulting doping level being 0.25 atomic percent. Further investigation into the properties of Mn-doped Ge films grown at low temperatures has revealed an interesting phase segregation of Mn-rich and Mn-poor regions for doping levels of a few percent. Jamet et al. report a systematic

Si Based Magnetic Semiconductors

535

a 120

b 5K 100K 400K

100

In plane

0

1.0

Out of plane

0.8 0.6 0.4

−80

7 6 5

60 40

MMg

−40

80

−1

40

Mg (kA m−1)

M (kA m−1)

80

120

Mg (kA m )

14

0

0.5 1.0 1.5 2.0

1

20

0 0 10 20 30 40 50 60 70 80

0

Temperature (K)

0

m0H(T)

50 100 150 200 250 300 350 400 Temperature (K)

c

d

5 nm

3 2

0.2

−120 −2.0 −1.5 −1.0 −0.5 0

4

4%

thick

5 nm

Fig. 7 Phase segregation in co-deposited Ge1xMnx films. (a, b) Magnetization of clustered Mn-doped Ge films displaying high Curie temperatures (a, b Reprinted by permission from Macmillan Publishers Ltd [71], Copyright (2006)). (c) Pulsed laser atom probe tomography map of Mn-rich clusters in films grown at 60  C along with (d) high-resolution TEM images of a similar sample (c, d Reprinted with permission from Bougeard et al. [72]. Copyright (2009) American Chemical Society)

investigation of the structure and magnetic properties of co-deposited Ge1xMnx films and found that for substrate temperatures below about 130  C Mn-rich regions, having a nominal composition of MnGe2, naturally segregate from the Ge matrix into nano-columns of 3 nm diameter and a spacing of 10 nm (see Fig. 7a, b) [72]. These Ge1xMnx regions are thought to have a structure similar to that of the Ge substrate, an elongated diamond structure, with dislocations at the interfaces. Since no MnGe2 equilibrium phase is known to form, and the structure resembled that of Ge, it appeared as though these regions are the long sought after heavily doped semiconductor. In addition, the magnetization measurements displayed Curie temperatures above 400 K with extraordinarily large positive magnetoresistance and an anomalous Hall effect. They also demonstrated that annealing at slightly higher temperatures leads to the nucleation of Mn5Ge3 crystallites and a lower Curie temperature. Bougeard et al. confirmed many of these findings but

536

J.F. DiTusa

b

a 2

200

MnGe on n-type Ge substrate Field-Effect

-2

−30V −12V −6V −3V 0V 30V

−3000 −2000 −1000

0

1

2

100 50 0

50 K

150 Current (μA)

0

Current (μA)

Moment (10-5emu)

1

−2

Voltage (V) 0

10K 180

150

−1

-1

120

B=0

90 60

B=0

30 0 -30

B=300 mT -2.0

-1.0

0.0 1.0 Voltage (V)

2.0

B=300mT

1000 2000 3000

Field (Oe)

Fig. 8 Demonstration devices fabricated from Ge1xMnx films. (a) Gating of a Ge1xMnx film on a n-type Ge substrate yields a dramatic change in magnetization. This electric field control of the magnetic properties of thin films may prove useful (Reprinted from Wang et al. [74], Copyright (2008), with permission from Elsevier). (b) Germanium-based magnetic diode that acts as a spin valve below the Curie temperature. The structure consists of a Ge1xMnx film deposited on an ntype Ge substrate (Reprinted with permission from Majumdar et al. [75]. Copyright (2009), American Institute of Physics)

report that the core of the columns is amorphous and magnetically inactive (see Fig. 7c, d) [73]. This contrasts with the findings of the Grenoble group who report both crystalline nano-columns for the lower temperature growths and amorphous nano-columns for growth temperatures above 150  C [74]. In addition, Bougeard et al. find that their nano-columns consist of roughly spherical regions strung together “like a string of pearls” rather than in a regular a more regular columnar structure. The conclusion is that the interfaces between the columns and the Ge matrix are important for both the magnetic properties and the transport properties of these systems. All of these papers stress the idea that self-assembled nano-columns could be used as nanowires for the injection of polarized currents. Whether this idea is feasible at a reliable technology remains to be seen. Despite these difficulties, there have been a few demonstrations of interesting, perhaps useful, phenomena in Ge1xMnx thin films. Wang et al. grew Ge0.96Mn0.04 films on Ge substrates via MBE at 70  C followed by a 400  C anneal that creates nanoclustered films with no clear sign of either Mn5Ge3 or Mn11Ge8 formation in XRD measurements (see Fig. 8a) [75]. These films displayed spin glass-like magnetization curves with a transition temperature well above 300 K and a small-ordered moment (0.4 μB/Mn). However, changing the substrate to Si (001) surprisingly increased the ordered magnetic moment to a respectable 1.2 μB/Mn. In addition, gating these films via an Al gate over an aluminum oxide dielectric allowed an impressive control over the size of the ordered magnetic moment. The authors claim that the additional holes, provided by the gate electric field, amplify the hole-mediated magnetism of their films, allowing an electric field control over the ferromagnetic properties of their devices. Equally as interesting are the results of Majumdar et al. who have created a diode making use of PLD grown p-type

14

Si Based Magnetic Semiconductors

537

Ge0.96Mn0.04 to form a pn-junction with an As-doped Ge film on a Si substrate (Fig. 8b) [76]. They show that at temperatures below the Curie temperature of their film, 50 K, this device acts as an effective spin valve with a sharp turnoff of conduction for forward-biased currents with variation of an applied magnetic field. Further, they claim that the magnetic field creates a Zeeman barrier at the interface creating the effect. It is not clear what role Mn clustering or phase segregation play in these devices as little microscopic characterization was performed in this study. Thus, there are two main pathways for discovery being pursued: searching for new methods for the production of uniform Mn-doped Ge magnetic semiconductors and the creative use of the natural tendency for segregation of Mn-poor and rich regions which may have very high Curie temperatures. That the inhomogeneous films already demonstrate interesting magnetic properties that can be controlled via electric fields, or visa-versa, lends optimism that Ge-based magnetic semiconductors may have a future as a possible silicon-compatible material for spintronics technologies.

Transition Metal Doping of Amorphous Silicon and Germanium Because the low solubility of transition metals in group IV semiconductors was recognized as a major hurdle to producing magnetic semiconducting materials that are compatible with silicon, there have been a number of attempts to grow transition metal-doped amorphous Si and Ge. This is an intriguing idea as this approach has the promise to create more homogeneously distributed dopants which may lead to higher Curie temperatures. On the other hand, there are several possible negative aspects to the use of highly disordered materials as magnetic semiconductors, including the likelihood of producing spin glasses instead of ferromagnets and an increased scattering rate for the polarized charge carriers. The results of the few investigations of Mn-, Cr-, and Fe-doped amorphous Si and Ge have produced somewhat contradictory results, and a clear picture of the cause of the variations observed has not yet evolved. Thus, I present a paraphrasing of the results of a few investigations highlighting the differences and emphasizing the need for continued systematic investigation of the local microscopic structure, dopant homogeneity, and the degree of oxidation of the dopants in these films. In references [77–79], electron-beam co-evaporation of a transition metal or rare earth elements and Si or Ge produced homogeneously doped amorphous silicon (α-Si) or amorphous Ge (α-Ge) thin films. The growth process of these films included a capping layer to help avoid oxidation of the impurities. These produced interesting low-temperature properties, such as the field-induced insulator-to-metal transition in Gd-doped α-Si [77, 78]. However, only Mn-doped α-Ge yielded a magnetic ground state where a spin glass transition was observed below 10 K [79]. There were distinct differences in the magnetic moment produced when Mn doping α-Si compared to α-Ge. A very small magnetic moment was found in the Si case (0.1 μB/Mn), while a much larger one was apparent in the Ge samples

538

J.F. DiTusa

(3 μB/Mn). The authors explain these differences based upon the local structure, the likely coordination of the Mn ions with the surrounding group IV elements, and the carrier type (n-type for α-Si and p-type for α-Ge). These experiments stand in stark contrast to several more recent results for transition metals in amorphous Si or Ge fabricated by ion implantation or sputtering that show much higher transition temperatures. For example, Soo et al. [80] used magnetron sputtering techniques to produce highly disordered Mn- and Cr-doped silicon thin films on glass substrates held at room temperature. These films were sputtered using a 20 % H2 in Ar as a sputtering gas in order to hydrogenate the films, thus reducing the dangling bonds in the amorphous semiconductor. The result was magnetization curves displaying hysteresis to 250 K for Mn and above 300 K for the Cr doping. The size of the ordered magnetic moment was not reported, and no comparison of field-cooled (FC) and zero-field-cooled (ZFC) magnetic susceptibilities was reported, leaving a few questions about the magnetic state of this system. X-ray absorption fine structure spectroscopy measurements were performed on these films which demonstrated no MnSi or CrSi intermetallic phase formation in their films. In addition, these measurements revealed a five- to sixfold coordination of the transition metal ions with Si. Similar results for co-sputtering of Fe and Ge to form 30–50 % Fe-doped α-Ge films on glass substrates were produced by Qin et al. [81]. Here the substrates were kept at 20  C and X-ray diffraction and TEM showed no sign of crystalline structures. These disordered metallic films displayed hysteretic magnetization curves at temperatures exceeding 300 K with a saturated magnetic moment of a respectable 1.7 μB/Fe. The temperature-dependent susceptibility revealed a FC-ZFC separation near 330 K, suggesting a disordered ferromagnetism or spin glass-like state. Hall effect and ferromagnetic resonance measurements confirmed the magnetic behavior and suggested a uniform magnetic state. Amorphous germanium thin films can also be produced with heavy Mn ion implantation. Ottaviano et al. [82] found that a 155 nm thick completely amorphized Ge layer was created at the surface of Ge (001) wafers held at 77 K during implantation. X-ray absorption spectroscopy revealed the absence of MnGe phase precipitation, the lack of Mn dimers for Mn concentrations of 4 % or less, and demonstrated that the material was amorphous with Mn substitutions with fourfold coordination rather than interstitials. SQUID measurements showed hysteretic behavior at room temperature with little difference between the FC and ZFC magnetic susceptibility. Interestingly, the magnetic moment measured was very small compared to the sputtered films; only 0.08 μB/Mn was apparent in the magnetization measurements, meaning that most of the Mn did not contribute to the ordered magnetic state. The broad variation of results found in these studies leaves many questions. Why, for example, do the electron-beam evaporated films show a large Mn-related magnetic moment and a low-ordering temperature while the ion-implanted films display a much smaller magnetic moment and a high-ordering temperature? In addition, the question of how the amorphous Ge1xMnx films compare to the Mn-rich clusters in MBE-grown crystalline Ge should be answered. Finally, it is

14

Si Based Magnetic Semiconductors

539

not clear that these disordered materials can produce highly spin-polarized currents that are necessary for use in technologies. The large scattering rates for charge carriers and spin glass or disordered ferromagnetic states may degrade any useful polarization of currents. Still, this remains an interesting avenue for research as intriguing results, but an incomplete picture, leave plenty of room for discovery.

Magnetic Silicides and Germanides The review of efforts to create magnetic semiconductors by doping silicon or germanium with transition metals in the previous sections presents a field where there is some progress and where there are certainly avenues for exploration that may lead to discovery. However, the search for magnetic semiconductors that are compatible with silicon is far broader as there are a number of directions for investigation into magnetic and/or semiconducting materials that may be productive. The existence of both magnetic and semiconducting phases among the binary transition metal silicides and germanides makes these materials interesting candidates for the injection of spin currents in silicon. The attractiveness of silicides is clearly a reflection of the fact that many are already in use in the semiconductor industry. In addition, several are known to readily form epitaxially on silicon substrates, leading to expectations that interfacial scattering between silicides and silicon may be minimized. Thus, these materials may be useful to consider for spintronics. A review of the binary and quasi-binary silicide and germanide materials reveals that there are a number of transition metal, Rare Earth, and actinide compounds that are magnetic. These materials generally fall into three main stoichiometries and crystal structures, although there are several that fall outside of this description. The first is the Heusler-type, or body-centered cubic, Tm3Si series with Tm = Fe or Mn and where the Mn compound is antiferromagnetic (AFM) (Neel temperature, TN = 23K) and the Fe compound is ferromagnetic (FM) (TC = 808 K) [83]. There are also variations of these materials with different transition metal substitutions at the various Tm sites of these silicide Heusler-structured materials. Cobalt substitution for Fe or Mn produces ferromagnets with large carrier polarizations such as in Co2MnSi (TC = 985 K) and Co2FeSi (TC = 1,100 K) [83, 84]. The germanide Fe3Ge also forms in this crystal structure and is FM having TC of 638 K, while Mn3Ge instead forms in either a hexagonal crystal structure with an AFM ordering temperature of TN = 395 K [83, 85] or, with high pressure synthesis, in the Cu3Au structure with a FM ordering at 400 K [86]. However, FeMn2Ge (TC = 233 K) and Fe2MnGe (TC = 433 K) both form in the Heusler structure type and are ferromagnetic as are Co2MnGe (TC = 905 K) and Rh2MnGe (TC = 450 K) [83]. There are several investigations of these types of materials grown on silicon and germanium substrates to assess their compatibility with silicon technology [87–91]. A few of these materials, Co2MnSi, Co2FeSi [92], and Co2MnGe, are predicted to be half metallic with high Curie Temperatures and are well lattice matched to GaAs [93, 94]. However, these materials have carrier densities typical of good metals and thus

540

J.F. DiTusa

present the same Schottky barrier issues as other metallic ferromagnets. The reader is referred to the discussion of magnetic alloys having the Heusler structure type in section Magnetic Heusler alloys of this handbook. These materials have already proven useful as sources of polarized currents for injection into silicon by tunneling through the resulting Schottky barrier [95]. Here an epitaxial Fe3Si film was grown by low-temperature MBE techniques on a Si (111) substrate with a heavily doped n+-Si layer near the abrupt silicon-Heusler alloy interface [87]. Evidence for spin accumulation in the Si layer was observed by nonlocal voltage measurements. In addition, epitaxial layers of Fe3xMnxSi have been grown on Ge substrates that display Curie temperatures that exceed room temperature for x ~ 0.6 [89]. Band structure calculations of this alloy indicate that for 0.75 < x < 1.5 half metallicity is expected; however, a lower Curie temperature is found in this range of x. A second family of silicide compounds that display interesting magnetism is the hexagonal FexMn5xSi3 compounds which have the Nowotny phase, or D88, structure. These are FM for x  3.5, FM with a transition to helimagnetic, HM, below 60 K for x = 3.5, and AFM for 0  x  3.0 with transition temperatures that rises from 100 to 381 K with x [83, 96]. Mn5Ge3 also forms in the D88 crystal structure, displays ferromagnetism below 295 K [83], and can form epitaxially registered films on Ge (111) surfaces [97]. Fe5Ge3 takes on a slightly different hexagonal lattice structure, the B82 type, and is FM below 485 K [83, 98]. The series Fe5yMnyGe3 can be stabilized for y  2 with the Curie temperature decreasing linearly with y down to 326 K [98]. The transition metal monosilicides and germanides form the third family of compounds that display magnetic ground states. These alloys tend to form in a simple cubic structure, B20 (or P213), which lacks inversion symmetry. The resulting spin-orbit interaction causes a long wavelength twist (wavelengths of between 3 and 70 nm have been reported) of the magnetic moments, helimagnetism, which is often described in terms of the Dzyaloshinskii-Moriya interaction. Small magnetic fields tend to collapse the spirals into a FM state. This grouping includes helimagnets (HM) MnSi (TC = 30 K), Fe1xCoxSi (0 < TC < 65 K), the B20 form of FeGe (TC = 285 K), and MnGe which can be synthesized only at high pressure (TC = 170 K) [83, 99–105]. Other FM silicides include Cr9Pd71Si20 (TC = 60 K) [83] and the rare earth compounds Er5Si4 (TC = 25 K), Gd5Si4 (TC = 336 K), Ho5Si4 (TC = 76 K), and Tb5Si4 (TC = 225 K), as well as USi (TC = 120 K) [83]. There are also several AFM rare earth silicides such as GdSi2 (TN = 27 K), HoSi2 (TN = 18 K), TbSi (TN = 57 K), and TbSi2 (TN = 17 K) [83]. FM germanides that do not fall into the above categories include Mn3Ge2 (TC = 283 K), Mn2Ge2Cu (TC = 612 K), Cr11Ge19 (TC = 98 K) [106], Fe2Ge (TC = 470 K), and FeMnGe (TC = 245 K). Antiferromagnetic transition metal germanides include FeGe (TN = 412 K), FeGe2 (TN = 190 K), and Mn3.25Ge (TN = 139 K) [83]. There are a small number of FM rare earth and actinide germanides such as PrGe (TC = 39 K), PrGe2 (TC = 19 K),

14

Si Based Magnetic Semiconductors

541

UGe2 (TC = 52 K) and PuGe2 (TC = 34.2 K) [83]. Finally there is a long list of AFM rare earth and actinide germanide compounds that include the monogermanides of Sm (TN = 40 K), Gd (TN = 62 K), Tb (TN = 42 K), Dy (TN = 36 K), Ho (TN = 18 K), and Er (TN = 7 K), REGe1.67 with RE = Gd (TN = 450 K) and Dy (TN = 12 K); the digermanides of Gd (TN = 450 K), Tb (TN = 42 K), Dy (TN = 28 K), and Ho (TN = 11 K); Re5Ge3 compounds of Pr (TN = 12 K), Gd (TN = 48 K), Tb (TN = 85 K), Dy (TN = 40 K), Ho (TN = 10 K), and Er (TN = 31 K); and RE5Ge4 compounds Gd (TN = 15 K), Tb (TN = 30 K), Dy (TN = 40 K), Ho (TN = 21 K), and Er (TN = 7 K) [83]. There are some clear trends apparent in these lists. The most obvious is that most of the magnetic states occur when the transition metal concentration is larger than that of the Si or Ge. The exceptions are the monosilicides and monogermanides, the compound Cr11Ge19, and the rare earth and actinide disilicides and germanides. For the FM materials, Curie temperatures approaching or exceeding room temperature generally occur in the compounds with higher transition metal content. Exceptions to this trend include the B20 phase of FeGe, Gd5Si4, and Mn3Ge2. The second important feature in the search for designing magnetic semiconducting phases is the presence of an isostructural, or closely related, small bandgapinsulating phase. This would allow the ability to tune the carrier density and Fermi energy to match that of the host semiconducting substrate, Si or Ge. However, insulating states most commonly occur in compounds where the Si or Ge content is at least as large as the TM content. The insulating silicides, with the bandgap energies in parentheses, include the B20 monosilicides FeSi (0.06 eV), RuSi (0.26 eV), and OsSi (0.34 eV); the disilicides CrSi2 (0.35 eV), β-FeSi2 (1.0 eV), ReSi2 (0.13 eV), OsSi2 (1.4 eV); the Nowotny chimney ladder phases MnSi1.7 (0.45 eV), Mn11Si19 (0.66 eV), and Mn15Si26 (0.7 eV); and the 5d silicides Ru2Si3 (0.7 eV), ReSi1.75 (0.36 eV), Os2Si3 (2.3 eV), and Ir3Si5 (1.2 eV) [107–109]. Insulating germanides appear to be more rare, although Ru2Ge3 has a bandgap of 0.52 eV [109] and RuGe has a small bandgap measured to be 0.15 eV [108]. When considering materials for use as magnetic semiconductors, the features that are desirable include highly polarizable ferromagnetic states with small carrier densities to reduce any Schottky barriers for polarized current injection into semiconductors. The point of collecting the lists of compounds above is that it may be productive to search for families of compounds with both magnetic and insulating materials having the same crystal structure. It is unusual to find ferromagnetic materials with low carrier densities. However, chemical substitutions between a FM compound and an isostructural insulator may yield a magnetic semiconducting series by design. Since only compounds with the Si or Ge concentration at least as large as the transition metal concentration are semiconducting, and with the rare earth materials not showing insulating behavior, the most productive place to search appears to be the monosilicides and germanides. The review of materials presented here indicates several insulating phases having the B20 crystal structure along with a number of isostructural FM phases.

542

J.F. DiTusa

Monosilicides and Monogermanides As discussed above, many of the 3d, 4d, and 5d transition metal silicides form in the same simple cubic structure in space group P213 also known as B20 [99]. The electronic structure of these materials varies from simple metallic and paramagnetic, such as in CrSi and CoSi, to insulating with a small bandgap, as in FeSi, RuSi, and OsSi, to helimagnetic, as is well known to occur in MnSi and in Fe1xCoxSi [99–105]. Because these materials all form with the same equilibrium crystal structure, chemical substitution at the transition metal site is easily accomplished. In addition, these materials display little proclivity toward the formation of second phases. The occurrence of magnetic and semiconducting phases, along with the flexibility offered by the wide range of substitutions available, led Manyala et al. to suggest that these materials ought to be considered for spintronics applications [5]. They demonstrated that significant control over the magnetic and electronic properties can be achieved in these materials through chemical substitutions in insulating FeSi [25, 100–103] (see Fig. 9). Either hole, Mn or Al, or electron, Co, doping yields insulator-to-metal transitions with unique properties. Substitutions at the transition metal site leads to the formation of magnetic states; for Mn doping, Fe1xMnxSi, a helimagnetic state forms for x > 0.8, while for Co doping, Fe1yCoySi, helimagnetism is apparent between 0.05 < y < 0.8 [101]. These magnetic semiconductors display many interesting properties, particularly in their magnetoresistance and Hall effect [25, 100, 101, 110–112]. The monoslicides are also well known for their fascinating magnetic properties. MnSi has long been thought of as a prototypical weak itinerant ferromagnet [104, 105, 113]. More recently, MnSi has been of interest because its Curie temperature can be easily suppressed with pressure so that it was thought a quantum critical point could be accessed [114, 115]. However, the sought after quantum criticality is avoided in a most interesting fashion [114, 115]. Unlike the rare earth heavy fermion metals which most often avoid quantum critical points by entering into an unconventional superconducting state, MnSi is thought to enter a state referred to as a partial magnetic order, similar to the state of liquid crystals. There followed several proposals for the magnetic state of the system under pressure at low temperature that suggest unconventional spin textures [116–119]. These speculations inspired a closer investigation of the so-called A phase of MnSi which exists in a small magnetic field range near Tc = 30 K. Muhlbauer et al. discovered through careful neutron scattering studies that this phase is most likely comprised of a skyrmion lattice in a chiral magnet [120]. This experiment was soon followed by Lorentz force microscopy images of thin samples of Fe1xCoxSi and FeGe (see below), showing real space images revealing these interesting spin textures [121, 122]. Ideas for stimulating the motion of the skyrmion lattice with small currents have also been demonstrated [123]. However, the monosilicides have the important drawback that the Curie temperatures have not exceeded 65 K. Thus, it may be productive to extend the

14

Si Based Magnetic Semiconductors

543

Fig. 9 Transition metal monosilicides having the B20 structure: (a) conductivity map (b) magnetization at 1 kOe, and (c) saturated magnetization as a function of composition from helimagnetic MnSi, through the nonmagnetic insulating phase FeSi, to the diamagetic metal CoSi. Green line in (c) represents 1 Bohr magneton per Co dopant in FeSi (Reprinted by permission from Macmillan Publishers Ltd: [99], Copyright (2000))

consideration to other isostructural compounds, such as the monogermanides. Although only CrGe forms an equilibrium B20 phase [124], FeGe can be easily grown with in this structure [125], and CoGe and MnGe will form in the B20 lattice under pressure [57, 61]. The important point is that MnGe and FeGe are both helimagnetic metals with elevated Curie temperatures as compared to their silicide equivalents, 285 K for FeGe and 170 K for MnGe [61, 126–128]. These also have interesting transport properties including very large anomalous Hall effects [61, 129]. The monogermanides appear to be compatible with the monosilicides as substitution series, such as FeSi1xGex, are easily synthesized [128]. In this case, there is a first-order transition between the insulating state of the end member FeSi to the HM metallic state of FeGe at x ~ 0.25 [128]. Thus, these materials represent a very flexible Si-based magnetic semiconducting

544

J.F. DiTusa

system that has not been fully explored both in terms of fundamental properties and for suitability for future technologies.

Thin Films of Monosilicides and Monogermanides The demonstrated ability to grow high-quality thin films and nanostructures of this class of materials is necessary for demonstration experiments and to assess their possible technological relevance. There has been some progress over the past few years toward this goal, and we highlight a few of the reports of successful synthesis. The growth of silicide films on silicon substrates has a long and successful history. Much effort has been focused on the growth of the transition metal disilicides for metallic interconnects and gates for the microelectronics industry because of the ability to make reliable Ohmic contacts or high-Barrier Schottky contacts as needed. These films were generally found to have a small lattice mismatch with silicon, to be thermally stable, and corrosion resistant [107, 130, 131]. The standard method for silicide formation is to thermally evaporate or sputter the metal onto silicon and then to anneal at temperatures between 200  C and 600  C to form the silicide phase [132]. High-quality films with epitaxial registration to the silicon substrates have been achieved for a number of these disilicide phases, as well as several others, by various methods as reviewed in [133]. The most common methods include solid-phase epitaxy (SPE), reactive deposition epitaxy (RDE), and MBE techniques, although ion-beam, or mesotaxy, synthesis has also been demonstrated for some materials [133]. There have also been several successful demonstrations of monosilicide thin film synthesis, revealing an epitaxial registration with silicon substrates. This includes RDE growth of the bulk phase of FeSi with substrate temperatures between 300  C and 350  C [134, 135]. MBE growth on Si (111) at room temperature yields epitaxial films of FeSi in the metastable CsCl crystal structure, while annealing at 350  C transforms these films into polycrystalline films in the bulk (ε-FeSi) structure [136, 137]. Preparation of films using SPE techniques on Si (111) allows the formation of ε-FeSi, CsCl-type FeSi, β-FeSi2, and metastable CaF2-type FeSi2 phases [138]. The quality of the FeSi and β-FeSi2 phases can be increased by the use of Sb as a surfactant during RDE growth as demonstrated in Ref. [139]. In the same way, high-quality MnSi RDE-synthesized films have been demonstrated with the use of Sb as a surfactant [140, 141]. However, a Sb-Mn-Sb layer is observed at the Si/MnSi interface which acts both as surfactant and as a compliant substrate for stress relief [141], but which may act as a scattering center for the injection of currents from the silicide to the Si. More recently MnSi film growth on Si has been demonstrated using SPE and MBE techniques, and the MBE films were demonstrated to be HM via neutron reflectometry and magnetometry (see Fig. 10). The wave vector for the HM phase was found to be larger than for bulk samples but similar in that it was along the (111) crystal axis in zero applied magnetic field [142, 143]. Using density functional calculations, Hortamani et al. predicted that ultrathin films of MnSi on Si(111) would have large spin polarizations (50 %) at the Fermi level and may be an efficient source of spin-polarized carriers for injection into

14

Si Based Magnetic Semiconductors

545

a

b

MnSi

500 nm

c

Si 500 nm

2 nm

d 50

40 Tin (K)

30

magnetization M (kA/m)

40 50 mT

20 10 0 0

30

200 400 m0H (mT)

10 mT 20 2 mT 10 0.2 mT 0 0

10

20

30 40 temperature T (K)

50

60

Fig. 10 Epitaxial MnSi thin films. (a) High-resolution TEM micrograph of an 11.5 nm thick MnSi thin film grown on a Si (111) substrate via solid-phase epitaxy techniques. The inset displays a HRTEM image simulation. (b, c) display plane view TEM dark field images of 17.6 nm thick film. Complimentary (0–12) and (102) reflections were used in (b, c) which have opposite contrast for the two different crystalline chiralities of the B20 crystal structure and which correspond to the chirality of the helimagnetic state. (d) Zero-field-cooled and field-cooled magnetization of an 11.5 nm thick MnSi film showing a substantially higher Curie temperature for these films as compared to bulk samples. The increased Tc is a result of the increased lattice constant due to the substrate induced strain (Reprinted figures (a–d) with permission from Karhu et al. [141]. Copyright (2010) by the American Physical Society. Reprinted figures (b, c) with permission from Karhu et al. [142]. Copyright (2011) by the American Physical Society)

546

J.F. DiTusa

silicon [144, 145]. Motivated by this work, Higashi et al. explored the growth of few monolayer MnSi films grown on Si(111) surfaces produced by the deposition of three monolayers of Mn at room temperature followed by a 250  C anneal for more than 5 min [146, 147]. They carried out a detailed study of the structure of their films including atomically resolved STM measurements. The results of these in pffiffiffi pffiffiffi measurements showed that the MnSi (111) plane coincides with the 3 3 R30 lattice of the Si (111) plane with a 3.2 % mismatch stabilizing the B20 structure so that these films were found to be atomically smooth. The flatness of the surfaces is important because it indicates a very flat interface between the MnSi and the Si so that interface scattering-related reductions of the spin polarization of injected currents may be minimized. In addition, they found that when depositing five monolayers of Mn on the Si substrates, the supply of usable Si at the surface becomes limited causing deep holes and a roughened surface. This conclusion led them to attempt film growth via co-deposition of Mn and Si where they found anomalously flat MnSi films. Magnano et al. have also explored the co-deposition of Mn and Si to create both ultrathin magnetic films (as thin as two monolayers), and surprisingly these films displayed a hint of magnetism appearing at temperatures exceeding room temperature [148, 149]. This additional magnetic signal is thought to be due to Mn atoms with reduced coordination at surfaces or near the film-substrate interface as predicted in [145]. Other investigations of RDE films include [150–152] where thicker films were formed. A different method for the formation of silicide films, using pulsed laser deposition, PLD, was demonstrated for the B20 Fe1xCoxSi system by Manyala et al. [153]. Here, arc-melted Fe1xCoxSi targets were employed to grow relatively thick films, 35–500 nm, on Si (111) surfaces held at at 450  C. The resulting polycrystalline films displayed sharp continuous interfaces with the Si substrates, although there was some evidence for diffusion of Fe into the Si lattice. These films featured smooth surfaces with 0) below TC. In addition, the saturation magnetization is enhanced after annealing, and the coercivity is decreased from 110 to 14 Oe, as shown in Fig. 20c. These improved characteristics of (Ga,Mn)As film by post-annealing can be attributed to the out-diffusion of Mn interstitials which compensate a large fraction of free holes and couple antiferromagnetically with the substitutional Mn atoms [69]. The decrease of coercivity is due to the elimination of pinning centers introduced by the Mn interstitials [85]. Figure 20d shows the magnetic field dependence of magnetization at 5 and 300 K for unpatterned MTJ multilayers, with magnetic field applied along the

16

Magneto-Transport Behaviors of (Ga,Mn)As Based Nano-structures and Devices

607

Fig. 20 Temperature dependence of (a) magnetization under 500 Oe in-plane external field, (b) resistivity, and (c) magnetic field dependence of magnetization at 5 K for as-grown (open square) and annealed (open circle) 300 nm (Ga,Mn)As. (d) Magnetic field dependence of magnetization at 5 and 300 K for 5  5 mm2 unpatterned MTJ multilayers, with magnetic field applied along the [110] direction of GaAs (Reprinted with permission from Yu et al. [23]. Copyright (2011), AIP Publishing LLC)

[110] direction of GaAs. The separated magnetic reversals of (Ga,Mn)As and CoFeB layer are observed at low temperature. The TMR curves measured at 2, 5, 10, and 20 K are shown in Fig. 21. The TMR ratio is defined as (RAP-RP)/RP  100 %, where RAP and RP are the resistances for the antiparallel (AP) and parallel (P) alignments of magnetic electrodes, respectively. Magnetic field is applied along the [110] direction of GaAs, which is corresponding to the easy axis of (Ga,Mn)As and CoFeB. A high TMR ratio of 101 % was observed at 2 K, which is much higher than the results reported in previous S/I/F type MTJs. In the previous work, the TMR ratio was only 1.6 % for the same structure of MTJ stack, which was in the absence of annealing and plasma cleaning [82, 83]. The improved TMR ratio can be attributed to the improvement of magnetism of (Ga,Mn)As by annealing. On the other side, it has been pointed that high surface recombination velocities at the interface between AlOx and GaAs

608

L. Chen and J. Zhao

Fig. 21 Magnetic field dependence of TMR ratio at temperatures of 2, 5, 10, and 20 K. The closed symbols and open symbols represent the field from 7 to 7 kOe and from 7 to 7 kOe, respectively (Reprinted with permission from Yu et al. [23]. Copyright (2011), AIP Publishing LLC)

semiconductor would suppress TMR ratio [84]. In this sample, a clean interface between (Ga,Mn)As and AlOx with low recombination velocity may be achieved by plasma cleaning. For the MTJs with amorphous AlOx barrier, TMR ratio can be expressed by Jullie`re’s formula [21]: TMR = 2PGPM/(1PGPM), where PG and PM are the spin polarizations of (Ga,Mn)As and CoFeB, respectively. The spin polarization of CoFeB can be described by Bloch’s law, P(T ) = P0(1αT3/2), where α is spin-wave parameter and P0 the spin polarization at 0 K [86]. Using the parameters in Ref. [88], with P0 = 59.1 % and α = 1.4  105, the value of spin polarization of (Ga,Mn)As at 2 K, P = 56.8 %, can be deduced from Jullie`re’s formula. It corresponds well to the recent value of 58.7 % obtained by Piano et al. using point contact Andreev reflection (PCAR) technique [87] and smaller than that determined in all ferromagnetic MTJs [75] and by early PCAR measurements [88].

Summary (Ga,Mn)As has served as a model material in the family of ferromagnetic semiconductors to test the basic concepts in semiconductor spintronics, and many kinds of extraordinary spintronic functionalities have been realized which are not gettable in conventional semiconductors or ferromagnetic metals. In this chapter, it has been presented that TC of (Ga,Mn)As can be enhanced up to 191 K by careful MBE growth and post-growth annealing and further to 200 K by patterning a heavily Mn-doped film into nanostructures. The reason for this substantial enhancement is that patterning the film into nanostructures allows the unwanted MnI out-diffusion at sidewalls, thus enhancing the effect of annealing. On the other hand, by measuring the magnetotransport properties, obvious quantum localization effect was observed in the heavily Mn-doped sample. Moreover, an especial way to enhance the TMR in ferromagnetic semiconductor/insulator/ferromagnetic metal MTJs has been introduced. The highest TMR ratio up to 101 % is obtained at 2 K.

16

Magneto-Transport Behaviors of (Ga,Mn)As Based Nano-structures and Devices

609

The improved TMR ratio results from the improved magnetism of (Ga,Mn)As layer by low-temperature annealing and the clean interface between (Ga,Mn)As and AlOx by subsequent plasma cleaning. Although increasing TC of (Ga,Mn)As to room temperature is a necessity in both fundamental science and practical applications, it is still a real challenge for experimental scientists. As mentioned above, TC of heavily Mn-doped (Ga,Mn) As can be enhanced to 200 K via top-down nanostructure engineering. Theoretically, there is still a space to further enhance TC by optimizing growth parameters, nano-fabrication technique, and post-growth annealing conditions. However, the lithographic patterning also inevitably brings about strain relaxation and degrades the crystalline quality with a decrease in the size of (Ga,Mn)As nanostructure, which hinders the further increase of TC. Recently, another way to fabricate highquality (Ga,Mn)As nanowires by bottom-up self-assembly growth has attracted special attention [89–91]. However, compared with (Ga,Mn)As films, Tc of these nanowires obtained from bottom-up growth method seems too low, only around 20 K. The low Mn concentration is the key problem to cope with. On the other hand, ferromagnetic proximity effect existing in ferromagnetic metal/(Ga,Mn)As bilayers seems to be a promising way to increase TC of (Ga,Mn)As to room temperature [92–98]. This kind of ferromagnetic proximity effect can make the Mn ions in about 2 nm thick (Ga,Mn)As layer remain spin polarized above room temperature. If this thickness was further increased beyond 5 nm, (Ga,Mn)As-based devices operated at room temperature would not be a dream, which would open up a new era of semiconductor spintronics. Acknowledgments We would like to thank Profs. F. H. Yang, P. Xiong, S. von Molnár, X. F. Han, and H. J. von Bardeleben and their group members for collaboration. This work is supported partly by MOST of China (Grant No. 2013CB922303) and NSFC (Grant Nos. 11127406, 11204293).

References 1. Ohno H, Shen A, Matsukura F, Oiwa A, Endo A, Katsumoto S, Iye Y (1996) (Ga, Mn)As: a new diluted magnetic semiconductor based on GaAs. Appl Phys Lett 69:363–365 2. Ohno H (1998) Making nonmagnetic semiconductors ferromagnetic. Science 281:951–956 3. Dietl T, Ohno H, Matsukura F, Cibert J, Ferrand D (2000) Zener model description of ferromagnetism in zinc-blende magnetic semiconductors. Science 287:1019–1022 4. Dietl T, Ohno H, Matsukura F (2007) Ferromagnetic semiconductor heterostructures for spintronics. IEEE Trans Electron Devices 54:945–954 5. Gould C, Schmidt G, Molenkamp LW (2007) Tunneling anisotropic magnetoresistance-based devices. IEEE Trans Electron Devices 54:977–983 6. Chiba D, Matsukura F, Ohno H (2006) Electric-field control of ferromagnetism in (Ga, Mn)As. Appl Phys Lett 89:162505 7. Sawicki M, Chiba D, Korbecka A, Nishitani Y, Majewski JA, Matsukura F, Dietl T, Ohno H (2009) Experimental probing of the interplay between ferromagnetism and localization in (Ga, Mn)As. Nat Phys 6:22–25 8. Chiba D, Sawicki M, Nishitani Y, Nakatani Y, Matsukura F, Ohno H (2008) Magnetization vector manipulation by electric fields. Nature 455:515–518

610

L. Chen and J. Zhao

9. Ohno Y, Young DK, Beschoten B, Matsukura F, Ohno H, Awschalom DD (1999) Electrical spin injection in a ferromagnetic semiconductor heterostructure. Nature 402:790–792 10. Chiba D, Akiba N, Matsukura F, Ohno Y, Ohno H (2000) Magnetoresistance effect and interlayer coupling of (Ga, Mn)As trilayer structure. Appl Phys Lett 77:1873–1875 11. Tanaka M, Higo Y (2001) Large tunneling magnetoresistance in GaMnAs/AlAs/GaMnAs ferromagnetic semiconductor tunnel junction. Phys Rev Lett 87:026602 12. Gould C, R€uster C, Jungwirth T, Girgis E, Schott GM, Giraud R, Brunner K, Schmidt G, Molenkamp LW (2004) Tunneling anisotropic magnetoresistance: a spin-valve-like tunnel magnetoresistance using a single magnetic layer. Phys Rev Lett 93:117203 13. Giddings AD, Khalid MN, Jungwirth T, Wunderlich J, Yasin S, Campion RP, Edmonds KW, Sinova J, Ito K, Wang KY, Williams D, Gallagher BL, Foxon CT (2005) Large tunneling anisotropic magnetoresistance in (Ga, Mn)As nanoconstrictions. Phys Rev Lett 94:127202 14. R€uster C, Gould C, Jungwirth T, Sinova J, Schott GM, Giraud R, Brunner K, Schmidt G, Molenkamp LW (2005) Very large tunneling anisotropic magnetoresistance of a (Ga, Mn)As/ GaAs/(Ga, Mn)As stack. Phys Rev Lett 94:027203 15. Yamanouchi M, Chiba D, Matsukura F, Ohno H (2004) Current-induced domain-wall switching in a ferromagnetic semiconductor structure. Nature 428:539–542 16. Yamanouchi M, Chiba D, Matsukura F, Dietl T, Ohno H (2006) Velocity of domain-wall motion induced by electrical current in the ferromagnetic semiconductor (Ga, Mn)As. Phys Rev Lett 96:096601 17. Chen L, Yan S, Xu PF, Lu J, Wang WZ, Deng JJ, Qian X, Ji Y, Zhao JH (2009) Low-temperature magnetotransport behaviors of heavily Mn-doped (Ga, Mn)As films with high ferromagnetic transition temperature. Appl Phys Lett 95:182505 18. Chen L, Yang X, Yang FH, Zhao JH, Misuraca J, Xiong P, von Molnár S (2011) Enhancing the Curie temperature of ferromagnetic semiconductor (Ga, Mn)As to 200 K via nanostructure engineering. Nano Lett 11:2584–2589 19. Dietl T (2010) A ten-year perspective on dilute magnetic semiconductors and oxides. Nat Mater 9:965–974 20. Dietl T (2008) Interplay between carrier localization and magnetism in diluted magnetic and ferromagnetic semiconductors. J Phys Soc Jpn 77:031005 21. Julliere M (1975) Tunneling between ferromagnetic films. Phys Lett 54A:225 22. Dietl T, Ohno H, Matsukura F (2001) Hole-mediated ferromagnetism in tetrahedrally coordinated semiconductors. Phys Rev B 63:195205 23. Yu GQ, Chen L, Rizwan R, Zhao JH, Xu K, Han XF (2011) Improved tunneling magnetoresistance in (Ga, Mn)As/AlOx/CoFeB magnetic tunnel junctions. Appl Phys Lett 98:262501 24. Yu KM, Walukiewicz W, Wojtowicz T, Kuryliszyn I, Liu X, Sasaki Y, Furdyna JK (2002) Effect of the location of Mn sites in ferromagnetic Ga1xMnxAs on its Curie temperature. Phys Rev B 65:201303(R) 25. Potashnik SJ, Ku KC, Chun SH, Berry JJ, Samarth N, Schiffer P (2001) Effect of annealing time on defect-controlled ferromagnetism in Ga1xMnxAs. Appl Phys Lett 79:1495–1497 26. Edmonds KW, Boguslawski P, Wang KY, Campion RP, Novikov SN, Farley NRS, Gallagher BL, Foxon CT, Sawicki M, Dietl T, Buongiorno NM, Bernholc J (2004) Mn interstitial diffusion in (Ga, Mn)As. Phys Rev Lett 92:037201 27. Edmonds KW, Wang KY, Campion RP, Neumann AC, Farley NRS, Gallagher BL, Foxon CT (2002) High-Curie-temperature Ga1xMnxAs obtained by resistance-monitored annealing. Appl Phys Lett 81:4991–4993 28. Wang KY, Edmonds KW, Zhao LX, Sawicki M, Campion RP, Gallagher BL, Foxon CT (2005) (Ga, Mn)As grown on (311) GaAs substrates: modified Mn incorporation and magnetic anisotropies. Phys Rev B 72:115207 29. Cho YJ, Yu KM, Liu X, Walukiewicz W, Furdyna JK (2008) Effects of donor doping on Ga1xMnxAs. Appl Phys Lett 93:262505 30. Wang WZ, Deng JJ, Lu J, Chen L, Ji Y, Zhao JH (2008) Influence of Si doping on magnetic properties of (Ga, Mn)As. Physica E 41:84–87

16

Magneto-Transport Behaviors of (Ga,Mn)As Based Nano-structures and Devices

611

31. Sato K, Katayama-Yoshida H (2007) Design of colossal solubility of magnetic impurities for semiconductor spintronics. Jpn J Appl Phys 46:L1120–L1122 32. Fujii H, Sato K, Bergqvis L, Dederichs PH, Katayama-Yoshida H (2011) Interstitial donor codoping method in (Ga, Mn)As to increase solubility of Mn and Curie temperature. Appl Phys Express 4:043003 33. Bergqvist L, Sato K, Katayama-Yoshida H, Dederichs PH (2011) Computational materials design for high-TC (Ga, Mn)As with Li codoping. Phys Rev B 83:165201 34. Ohya S, Ohno K, Tanaka M (2007) Magneto-optical and magnetotransport properties of heavily Mn-doped GaMnAs. Appl Phys Lett 90:112503 35. Chiba D, Nishitani Y, Matsukur F, Ohno H (2007) Properties of Ga1xMnxAs with high Mn composition. Appl Phys Lett 90:122503 36. Mack S, Myers RC, Heron JT, Gossard AC, Awschalom DD (2008) Stoichiometric growth of high Curie temperature heavily alloyed GaMnAs. Appl Phys Lett 92:192502 37. Chiba D, Yu KM, Walukiewicz W, Nishitani Y, Matsukura F, Ohno H (2008) Properties of Ga1xMnxAs with high x (>0.1). J Appl Phys 103:07D136 38. Ohno K, Ohya S, Tanaka M (2007) Properties of heavily Mn-doped GaMnAs with Curie temperature of 172.5 K. J Supercond Nov Magn 20:417–420 39. Eid KF, Sheu BL, Maksimov O, Stone MB, Schiffer P, Samarth N (2005) Nanoengineered Curie temperature in laterally patterned ferromagnetic semiconductor heterostructures. Appl Phys Lett 86:152505 40. Sheu BL, Eid KF, Maksimov O, Samarth N, Schiffer P (2006) Width dependence of annealing effects in (Ga,Mn)As nanowires. J Appl Phys 99:08D501 41. Khazen KH, von Bardeleben HJ, Cantin JL, Mauger A, Chen L, Zhao JH (2010) Intrinsically limited critical temperature of highly doped Ga1xMnxAs thin films. Phys Rev B 81:235201 42. Khazen KH, von Bardeleben HJ, Cubukcu M, Cantin JL, Novak V, Olejnik K, Cukr M, Thevenard L, Lemaıˆtre A (2008) Anisotropic magnetization relaxation in ferromagnetic Ga1xMnxAs thin film. Phys Rev B 78:195210 43. Liu X, Furdyna JK (2006) Ferromagnetic resonance in Ga1xMnxAs dilute magnetic semiconductors. J Phys Condens Matter 18:R245–R279 44. Stanciu V, Wilhelmsson O, Bexell U, Adell M, Sadowski J, Kanski J, Warnicke P, Svedlindh P (2005) Influence of annealing parameters on the ferromagnetic properties of optimally passivated (Ga, Mn)As epilayers. Phys Rev B 72:125324 45. Xu JL, van Schilfgaarde M (2005) Role of disorder in Mn:GaAs, Cr:GaAs, and Cr:GaN. Phys Rev Lett 94:097201 46. Raebiger H, Ayuela A, von Boehm J (2005) Electronic and magnetic properties of substitutional Mn clusters in (Ga, Mn)As. Phys Rev B 72:014465 47. Hynninen T, Ganchenkova M, Raebiger H, von Boehm J (2006) Ferromagnetism and its evolution during long-term annealing in (Ga, Mn)As. Phys Rev B 74:195337 48. Raebiger H, Ganchenkova M, von Boehm J (2006) Diffusion and clustering of substitutional Mn in (Ga, Mn)As. Appl Phys Lett 89:012505 49. MacDonald AH, Schiffer P, Samarth N (2006) Ferromagnetic semiconductors: moving beyond (Ga, Mn)As. Nat Mater 4:195–202 50. NováK N, Olejnı´k K, Wunderlich J, Cukr M, Vy´borny´ K, Rushforth AW, Edmonds KW, Campion RP, Gallagher BL, Sinova J, Jungwirth T (2008) Curie point singularity in the temperature derivative of resistivity in (Ga, Mn)As. Phys Rev Lett 101:077201 51. Fisher ME, Langer JS (1968) Resistive anomalies at magnetic critical points. Phys Rev Lett 20:665–668 52. Arrott A (1957) Criterion for ferromagnetism from observations of magnetic isotherms. Phys Rev 108:1394–1396 53. Neumaier D, Schlapps M, Wurstbauer U, Sadowski J, Reinwald M, Wegscheider W, Weiss D (2008) Electron–electron interaction in one- and two-dimensional ferromagnetic (Ga,Mn)As. Phys Rev B 77:041306(R)

612

L. Chen and J. Zhao

54. Neumaier D, Turek M, Wurstbauer U, Vogl A, Utz M, Wegscheider W, Weiss D (2009) All-electrical measurement of the density of states in (Ga, Mn)As. Phys Rev Lett 103:087203 55. Wenisch J, Gould C, Ebel L, Storz J, Pappert K, Schmidt MJ, Kumpf C, Schmidt G, Brunner K, Molenkamp LW (2007) Control of magnetic anisotropy in (Ga, Mn)As by lithography-induced strain relaxation. Phys Rev Lett 99:077201 56. King CS, Zemen J, Olejnı´k K, HoráK L, Haigh JA, Novák V, Irvine A, Kucˇera J, Holy´ V, Campion RP, Gallager BL, Jungwirth T (2011) Strain control of magnetic anisotropy in (Ga, Mn)As microbars. Phys Rev B 83:115312 57. Inoue J, Ohno H (2005) Taking the Hall effect for a spin. Science 309:2004 58. Jungwirth T, Niu Q, MacDonald AH (2002) Anomalous Hall effect in ferromagnetic semiconductors. Phys Rev Lett 88:207208 59. Chun SH, Kim YS, Choi HK, Jeong IT, Lee WO, Suh KS, Oh YS, Kim KH, Khim ZG, Woo JC, Park YD (2007) Interplay between carrier and impurity concentrations in annealed Ga1xMnxAs: intrinsic anomalous Hall effect. Phys Rev Lett 98:026601 60. Pu Y, Chiba D, Matsukura F, Ohno H, Shi J (2008) Mott relation for anomalous Hall and Nernst effects in Ga1xMnxAs ferromagnetic semiconductors. Phys Rev Lett 101:117208 61. Matsukura F, Ohno H, Shen A, Sugawara Y (1998) Transport properties and origin of ferromagnetism in (Ga, Mn)As. Phys Rev B 57:R2037–R2040 62. Sheu BL, Myers RC, Tang JM, Samarth N, Awschalom DD, Schiffer P, Flatte´ ME (2007) Onset of ferromagnetism in low-doped Ga1xMnxAs. Phys Rev Lett 99:227205 63. Van Esch A, Van Bockstal L, De Boeck J, Verbanck G, van Steenbergen AS, Wellmann PJ, Grietens B, Bogaerts R, Herlach F, Borghs G (1997) Interplay between the magnetic and transport properties in the III-V diluted magnetic semiconductor Ga1xMnxAs. Phys Rev B 56:13103–13112 64. He HT, Yang CL, Ge WK, Wang JN, Dai X, Wang YQ (2005) Resistivity minima and Kondo effect in ferromagnetic GaMnAs films. Appl Phys Lett 87:165205 65. Honolka J, Masmanidis S, Tang HX, Awschalom DD, Roukes ML (2007) Magnetotransport properties of strained Ga0.95Mn0.05As epilayers close to the metal-insulator transition: description using Aronov-Altshuler three-dimensional scaling theory. Phys Rev B 75:245310 66. Mitra P, Kumar N, Samarth N (2010) Localization and anomalous Hall effect in a dirty metallic ferromagnetic. Phys Rev B 82:035205 67. Moca CP, Sheu BL, Samarth N, Schiffer P, Janko B, Zarand G (2009) Scaling theory of magnetoresistance and carrier localization in Ga1xMnxAs. Phys Rev Lett 102:137203 68. Thevenard L, Largeau L, Mauguin O, Lemaıˆtre A, Theys B (2005) Tuning the ferromagnetic properties of hydrogenated GaMnAs. Appl Phys Lett 87:182506 69. Blinowski J, Kacman P (2003) Spin interactions of interstitial Mn ion in ferromagnetic GaMnAs. Phys Rev B 67:121204(R) 70. Mannari I (1959) Electrical resistance of ferromagnetic metal. Prog Theor Phys 22:335 71. Lee PA, Ramakrishnan TV (1985) Disordered electronic system. Rev Mod Phys 57:287–337 72. Matsukura F, Sawicki M, Dietl T, Chiba D, Ohno H (2004) Magnetotransport properties of metallic (Ga, Mn)As films with compressive and tensile strain. Physica E 21:1032–1036 73. Omiya T, Matsukura F, Dietl T, Ohno Y, Sakon T, Motokawa M, Ohno H (2000) Magnetotransport properties of (Ga, Mn)As investigated at low temperature and high magnetic field. Physica E 7:976–980 74. Richardella A, Roushan P, Mack S, Zhou B, Huse DA, Awschalom DD, Yazdani A (2010) Visualizing critical correlations near the metal-insulator transition in Ga1xMnxAs. Science 327:665–669 75. Chiba D, Matsukura F, Ohno H (2004) Tunneling magnetoresistance in (Ga, Mn)As-based heterostructures with a GaAs barrier. Physica E 21:966–969 76. Mattana R, George JM, Jaffre`s H, Nguyen Van Dau F, Fert A, Le´pine B, Guivarc’h A, Je´ze´quel G (2003) Electrical detection of spin accumulation in a p-type GaAs quantum well. Phys Rev Lett 90:166601

16

Magneto-Transport Behaviors of (Ga,Mn)As Based Nano-structures and Devices

613

77. Ohya S, Hai PM, Tanaka M (2005) Tunneling magnetoresistance in GaMnAs/AlAs/InGaAs/ AlAs/GaMnAs double-barrier magnetic tunnel junctions. Appl Phys Lett 87:012105 78. Saito H, Yuasa S, Ando K (2005) Origin of the tunnel anisotropic magnetoresistance in Ga1xMnxAs/ZnSe/Ga1xMnxAs magnetic tunnel junctions of II-VI/III-V heterostructures. Phys Rev Lett 95:086604 79. Ohya S, Muneta I, Hai PM, Tanaka M (2009) GaMnAs-based magnetic tunnel junctions with an AlMnAs barrier. Appl Phys Lett 95:242503 80. Chun SH, Potashnik SJ, Ku KC, Schiffer P, Samarth N (2002) Spin-polarized tunneling in hybrid metal–semiconductor magnetic tunnel junctions. Phys Rev B 66:100408(R) 81. Saito H, Yuasa S, Ando K, Hamada Y, Suzuki Y (2006) Spin-polarized tunneling in metalinsulator-semiconductor Fe/ZnSe/Ga1xMnxAs magnetic tunnel diodes. Appl Phys Lett 89:232502 82. Du GX, Han XF, Deng JJ, Wang WZ, Zhao JH (2008) Tunneling magnetoresistance in CoFeB/ GaAs/(Ga,Mn)As hybrid magnetic tunnel junctions. J Appl Phys 103:07D105 83. Du GX, Ramesh Babu M, Han XF, Deng JJ, Wang WZ, Zhao JH, Wang WD, Tang J (2009) Tunneling magnetoresistance in (Ga,Mn)As/Al-O/CoFeB hybrid structures. J Appl Phys 105:07C707 84. Saito H, Yamamoto A, Yuasa S, Ando K (2008) High tunneling magnetoresistance in Fe/GaOx/Ga1xMnxAs with metal/insulator/semiconductor structure. Appl Phys Lett 93:172515 85. Potashnik SJ, Ku KC, Wang RF, Stone MB, Samarth N, Schiffer P, Chun SH (2003) Coercive field and magnetization deficit in Ga1xMnxAs epilayers. J Appl Phys 93:6784–6786 86. Yuan L, Liou SH, Wang D (2006) Temperature dependence of magnetoresistance in magnetic tunnel junctions with different free layer structures. Phys Rev B 73:134403 87. Piano S, Grein R, Mellor CJ, Vy´borny´ K, Campion R, Wang M, Eschrig M, Gallagher BL (2011) Spin polarization of (Ga,Mn)As measured by Andreev spectroscopy: the role of spinactive scattering. Phys Rev B 83:081305(R) 88. Braden JG, Parker JS, Xiong P, Chun SH, Samarth N (2003) Direct measurement of the spin polarization of the magnetic semiconductor (Ga, Mn)As. Phys Rev Lett 91:056602 89. Rudolph A, Soda M, Kiessling M, Wojtowicz T, Schuh D, Wegscheider W, Zweck J, Back C, Reiger E (2009) Ferromagnetic GaAs/GaMnAs core-shell nanowires grown by molecular beam epitaxy. Nano Lett 9:3860 90. Butschkow C, Reiger E, Rudolph A, Geißler S, Neumaier D, Soda M, Schuh D, Woltersdorf G, Wegscheider W, Weiss D (2013) Origin of negative magnetoresistance of GaAs/(Ga, Mn)As core-shell nanowires. Phys Rev B 87:245303 91. Yu XZ, Wang HL, Pan D, Zhao JH, Misuraca J, von Molnár S, Xiong P (2013) All zinc-blende GaAs/(Ga, Mn)As core-shell nanowires with ferromagnetic ordering. Nano Lett 13:1572–1577 92. Mark S, Gould C, Pappert K, Wenisch J, Brunner K, Schmidt G, Molenkamp LW (2009) Independent magnetization behavior of a ferromagnetic metal–semiconductor hybrid system. Phys Rev Lett 103:017204 93. Zhu M, Wilson MJ, Sheu BL, Mitra P, Schiffer P, Samarth N (2007) Spin valve effect in selfexchange biased ferromagnetic metal/semiconductor bilayers. Appl Phys Lett 91:192503 94. Maccherozzi F, Sperl M, Panaccione G, Minar J, Polesya S, Ebert H, Wurstbauer U, Hochstrasser M, Rossi G, Woltersdorf G, Wegscheider W, Back CH (2008) Evidence for a magnetic proximity effect up to room temperature at Fe/(Ga, Mn)As interfaces. Phys Rev Lett 101:267201 95. Sperl M, Maccherozzi F, Borgatti F, Verna A, Rossi G, Soda M, Schuh D, Bayreuther G, Wegscheider W, Cezar JC, Yakhou F, Brookes NB, Back CH, Panaccione G (2010) Identifying the character of ferromagnetic Mn in epitaxial Fe/(Ga, Mn)As heterostructures. Phys Rev B 81:035211 96. Olejnik K, Wadley P, Haigh JA, Edmonds KW, Campion RP, Rushforth AW, Gallagher BL, Foxon CT, Jungwirth T, Wunderlich J, Dhesi SS, Cavill SA, van der Laan G, Arenholz E

614

L. Chen and J. Zhao

(2010) Exchange bias in a ferromagnetic semiconductor induced by a ferromagnetic metal: Fe/(Ga, Mn)As bilayer films studied by XMCD measurements and SQUID magnetometry. Phys Rev B 81:104402 97. Song C, Sperl M, Utz M, Ciorga M, Woltersdorf G, Schuh D, Bougeard D, Back CH, Weiss D (2011) Proximity induced enhancement of the Curie temperature in hybrid spin injection devices. Phys Rev Lett 107:056601 98. Nie SH, Chin YY, Liu WQ, Tung CJ, Lu J, Lin HJ, Guo GY, Meng KK, Chen L, Zhu LJ, Pan D, Chen CT, Xu YB, Yan WS, Zhao JH (2013) Ferromagnetic interfacial interaction and the proximity effect in a Co2FeAl/(Ga, Mn)As bilayer. Phys Rev Lett 111:027203

Part VI Materials: Molecular Spintronic Materials

Low Dimensional Molecular Magnets and Spintronics

17

Li-Min Zheng, Jinkui Tang, Hao-Ling Sun, and Min Ren

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Single-Molecule Magnets (SMMs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SMMs Based on Mn–O Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SMMs Based on FeIII–O and Other M–O Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SMMs Based on Single Ions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Single-Chain Magnets (SCMs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spintronics Based on Molecule-Based Magnets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Organizing the Molecular Magnets on Surface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Molecular Spin Transistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Molecular Spin Valve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Conclusions and Perspective . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

618 618 620 633 641 654 660 660 662 663 665 665

Abstract

Molecular spintronics, which combines spintronics and molecular electronics, is an emerging field of research. Low-dimensional molecular magnets such as single molecule magnets (SMMs) and single chain magnets (SCMs) can potentially be used as spin carriers in spintronic devices, and hence have attracted considerable interest. By using different bridging ligands, a number of L.-M. Zheng (*) • M. Ren School of Chemistry and Chemical Engineering, Nanjing University, Nanjing, People’s Republic of China e-mail: [email protected] J. Tang State Key Laboratory of Rare Earth Resource Utilization, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, People’s Republic of China e-mail: [email protected] H.-L. Sun Department of Chemistry, Beijing Normal University, Beijing, People’s Republic of China # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_26

617

618

L.-M. Zheng et al.

compounds showing SMM or SCM behaviors have been reported. This review article covers the following aspects: (1) SMMs based on the oxo-bridged transition metal clusters with the main focus on the Mn-O and Fe-O clusters; (2) SMMs based on single metal (lanthanide, actinide, transition metal) ions as well as polynuclear lanthanide clusters; (3) single chain magnets; and (4) recent development of spintronics based on SMMs such as molecular spin transistors and spin valves.

Introduction Molecular spintronics, which combines spintronics and molecular electronics, is an emerging field of research. The advantage of using molecules is that the electronic and magnetic properties of molecules can be manipulated under specific conditions. In the field of molecule-based magnets, low-dimensional magnets such as the single-molecule magnets (SMMs) and single-chain magnets (SCMs) have attracted considerable interest since the discovery of the first SMM of [Mn12O12(O2CCH3)16(H2O)4]  4H2O  2CH3CO2H (Mn12) in 1993 [1] and the first SCM of Co(hfac)2(NITPhOMe) in 2001 [2]. These molecular magnets show the coexistence of quantum and classic effects, such as hysteresis and quantum tunneling of the magnetization (QTM) [3], and hence provide unique opportunities to the study of molecular spintronics and quantum computing. However, the development of the spintronic devices based on the molecular magnets is still in its infancy. Considering that the limited work appearing in the literature is mainly focused on the archetype Mn12 and Fe4 SMMs systems, herein we shall concentrate on the following aspects: (1) SMMs based on the oxo-bridged transition metal cluster, (2) SMMs based on single ions and lanthanide clusters, (3) single-chain magnets, and (4) recent development of spintronics based on SMMs. There are certainly other interesting systems such as the magnetic semiconductors, spin-crossover systems, valence tautomerism systems, and SMMs systems containing cyanide and other bridging ligands which are also of potential interest in spintronics. Those who are interested in these topics can find excellent review papers [4–10].

Single-Molecule Magnets (SMMs) The explosive development of SMMs has been ignited by the discovery of the first SMM of Mn12 [1, 11, 12], which display slow magnetization relaxation below a characteristic blocking temperature (TB) and a stepped magnetic hysteresis. The slow relaxation is induced by the combined effect of a high-spin ground-state ST and negative uniaxial anisotropy (D < 0), which leads to a significant energy barrier to magnetization reversal (Fig. 1). The upper limit (U ) of the barrier is S2|D| or (S2  1/4)|D| for integer and half-integer spins, respectively. The

17

Low Dimensional Molecular Magnets and Spintronics

619 ms = 0

1 2 3

−1 −2 −3 −4

4

−5

5

−6

6

−7

Energy

7

100 D

8

9

ms = 10

−8 −9 ms = −10

 16þ III Fig. 1 Left: the MnIV core of [Mn12O12(O2CCH3)16(H2O)4]  4H2O  4 Mn8 ðμ  OÞ12 2CH3CO2H, MnIV ions are shown as shaded circles and MnIII ions as solid circles. Right: plot of the potential energy versus the magnetization direction for a SMM with an S = 10 ground state

intermolecular interactions must be minimal to avoid the long-range magnetic ordering. When a magnetic field is applied to saturate the magnetization of these complexes and then removed, the magnetization decays with a relaxation time τ. This relaxation obeys two processes depending on the temperature. At hightemperature region, the relaxation time τ is thermally activated following the Arrhenius law with an activation energy equal to U. At very low temperatures, quantum tunneling of magnetization (QTM) [13], which is governed by the transverse anisotropy (E), becomes the fastest pathway of relaxation. A crossover occurs experimentally between these two regimes called thermally assisted QTM. In this intermediate range of temperature, the thermal barrier is shortcut by quantum tunneling, and an effective barrier, Ueff, is found smaller than U. In many SMM systems, this regime is the only one seen experimentally before that τ becomes temperature independent [14]. Hence, SMM complexes appear to be unique systems for studying fundamental phenomena, such as quantum spin tunneling and quantum phase interference [15], which may be used for future applications in molecular electronics. In order to be considered for real applications, great efforts have been devoted to raise the blocking temperature of SMMs. To increase the blocking temperature of the SMMs, two requisites are necessary. One is to build molecules which have the largest possible value of the total spin S in the ground state. The other is to have a high magnetic anisotropy of the easy-axis (Ising) type. Therefore, many efforts have been devoted to (i) increase the size of the clusters with different bridging ligands, (ii) increase the spin in the ground state, and (iii) increase the blocking temperature (TB) below which SMM behavior is observed. In principle, it is possible to increase the number of magnetic centers to form well-defined large

620

L.-M. Zheng et al.

clusters with high-spin ground state. The magnitude of the zero-field splitting (ZFS) is, however, much more difficult to control. A number of compounds have been reported which exhibit features for SMMs behaviors. Here, we just describe a few families of SMMs that have been well investigated.

SMMs Based on Mn--O Clusters Manganese clusters are attractive candidates because they often display relatively large spin ground states, and large negative ZFS (D) values may arise from the presence of Jahn–Teller (JT) distorted MnIII ions [16]. Using only a limited assortment of ligands and starting materials, cluster products with a wide range of Mnx nuclearities can be obtained, with x taking almost all values up to 30, plus Mn32, Mn40, Mn70, and Mn84, the latter being the largest to date [17]. The largest Mn–O cluster Mn84 has an outer diameter of 4.2 nm, a thickness of 1.2 nm, and an inner hole of 1.9 nm, which behaves as SMM below 1.5 K with the energy barrier of 18 K [18]. Another compound Mn19 shows a record S = 83/2 spin ground state, but it is not a SMM [19]. Apparently the larger cluster does not guarantee the higher energy barrier of the SMM. In fact, the increase of the anisotropy could be more important in raising the energy barrier [20]. So herein, we shall focus on SMMs based on relatively small clusters plus the well-known Mn12O12 systems.

Mn12O12 Clusters The first and most thoroughly studied SMMs to date are members of the dodecanuclear complexes of [Mn12O12(O2CR)16(H2O)4] ([Mn12]) family [21]. A number of such [Mn12] derivatives have been prepared in their neutral, one-, two-, or three-electron-reduced versions with general formula [Mn12O12(O2CR)16(H2O)x]n (n = 0, 1, 2, 3; x = 3, 4). These complexes contain a variety of carboxylate, mixed carboxylate,  III IV and mixedcarboxylate/non-carboxylate ligands. In all modifications, the Mn8 Mn4 ðμ3  OÞ12 core remains essentially the same (Fig. 1). This class of compounds retains the record for the largest energy barrier for the reorientation of the magnetization for a long time before the successful synthesis of a hexanuclear    O ð Et  sao Þ O CPh ð Me Þ ð EtOH Þ compound MnIII [22]. This property 2 2 6 6 2 2 6 together with the ease of preparation and crystallinity has led to numerous papers describing their chemistry and physics, including the first examples of quantum tunneling of the magnetization [23, 24] and quantum phase interference [25]. Table 1 gives a list of the [Mn12]n complexes, together with their ground-state spin, anisotropic D values, and energy barriers. Compound [Mn12O12(O2CCH3)16(H2O)4] (Mn12Ac) has a spin ground state of S = 10, arising from antiferromagnetic interactions between the S = 3/2 spins of MnIV ions and the S = 2 spins of MnIII ions with a negative axial zero-field splitting (D = 0.50 cm1). This leads to the first molecule with an energy barrier of 61 K to magnetization reversal [1, 26]. The origin of the cluster anisotropy arises from the near-parallel alignment of the Jahn–Teller (JT) axes on the eight MnIII ions. In some

I41/a I-4 Pca21 P21/c I-4 P21/n I-4 P21/n P-1 P-1 P-1 P-1

[Mn12O12 (O2CC4H3S)16(H2O)4]  6CH2Cl2  2H2O [Mn12O12 (O2CC4H3S)16 (HO2CC4H3S)(H2O)2]  5CH2Cl2

C2/c I2/a

P-1 P-1 Ibca

Space group

[Mn12O12(O2CCH2Br)16(H2O)4]  4CH2Cl2 [Mn12O12(O2CCH2But)16(CH3OH)4]  CH3OH [Mn12O12(O2CCH2But)16(ButOH)(H2O)3]  2ButOH [Mn12O12(O2CCH2But)16(C5H11OH)4] (C5H11OH: 1-pentanol) [Mn12O12(O2CPhSCH3)16(H2O)4]  8CHCl3 [Mn12O12(O2CMe)16(dpp)4]  6.1CH2Cl2  0.4H2O [Mn12O12(O2CCF3)16(H2O)4]  2CF3COOH  4H2O [Mn12O12(O2CCF3)16(H2O)4]  CF3COOH  7H2O [Mn12O12(O2CC6F5)16(H2O)4] . 3CH2Cl2 [Mn12O12(O2CPet)16(MeOH)4]

Mn12O12 [Mn12O12(O2CMe)16(H2O)4]  2MeCOOH  4H2O [Mn12O12(O2CEt)16(H2O)3]  H2O [Mn12O12(O2CPh)16(H2O)4] [Mn12O12(O2CCHCHCH3)16(H2O)4]  H2O [Mn12O12(O2CC6H4C6H5)16(H2O)4]  2C6H5C6H4COOH [Mn12O12(O2CC6H4-p-Me)16(H2O)4]  (HO2CC6H4-p-Me) [Mn12O12(O2CC6H4-p-Me)16(H2O)4]  3H2O [Mn12O12(O2CC6H4F-2)16(H2O)4]

Table 1 SMMs based on Mn12O12 clusters

10 10

10 10 10 10 10 10 10 10 10 10

ST 10 9 10 10 10 9 10 10

0.65 K 0.61 K

0.42 0.65 0.34 0.4 0.39

0.38 0.46 0.43 0.49

D (cm1) 0.50 0.4 0.50 0.44 0.33 n.a n.a 2.4  109 1.5  109 2.0  1010 7.7  109 2.3  109 3.0  1010 3.3  109 9.1  109 1.1  108 1.6  109 n.a. 1.4  1010 6.3  1011 2.9  109 7.8  109 3.3  1011 7.6  109 5.3  109 6.7  109 62.6 71.2 64.4 62.5 69.5 21.7 64 62 35 67.09 51.81 66.44

τ0(s) 2.1  107

65.4 64.4 38 64 65.2 31.9 74.4

Ueff(K) 61

Low Dimensional Molecular Magnets and Spintronics (continued)

[41] [41]

[39] [40]

[36] [37] [38]

[31] [32, 33] [35]

[30]

[29]

References [1, 26] [27] [26] [28]

17 621

19/2 19/2

P2/c

[NMe4][Mn12O12(O2CC6F5)16(H2O)4]  4.5CH2Cl2  1/2H2O (NPrn4)[Mn12O12(O2CCHCl2)16(H2O)4]

19/2 19/2 19/2 19/2

10 10 10 10 10 10 10 10

ST 10 10 10

19/2

P21/c P-1

Pbca P-1

Space group P-1 P-1 I41/am d C2/c P-1

(m-MPYNN+)[Mn12O12(O2CPh)16(H2O)4]

[Mn12O12(NO3)4(O2CCH2But)12(H2O)4]  MeNO2 [Mn12O12(O2CMe)8(O3SPh)8(H2O)4] [Mn12O12(Z)16(H2O)4][PF6]16 [Mn12O12(Z)16(H2O)4][W6O19]8 [Mn12O12(Z)16(H2O)4][PW12O40]16/3 [Mn12O12(Z)16(H2O)4][(H3O)PW11O39Nl]4 [Mn12O12(Z)16(H2O)4][(H3O)PW11O39Co]4 [NBun4]2[Mn12O12(OMe)2(O2CPh)16(H2O)2]  2H2O  4CH2Cl2 [NBun4]2[Mn12O12(OMe)2(O2CPh)16(H2O)2]  2H2O  CH2Cl2 (NBun4)2[Mn12O12(OMe)2(O2CPh)16(H2O)2]  6CH2Cl2 (PPh4)[Mn12O12(O2CEt)16(H2O)4] (PPh4)[Mn12O12(O2CPh)16(H2O)4]  8CH2Cl2 (PPh4)[Mn12O12(O2CPh)16(H2O)4]

Mn12O12 [Mn12O12(O2CCHCl2)8(O2CCH2But)8(H2O)3]  CH2Cl2  H2O [Mn12O12(O2CCHCl2)8(O2CEt)8(H2O)3]  CH2Cl2 [Mn12O12(O2CC6H5)8La4(H2O)4]  8CH2Cl2

Table 1 (continued)

0.34 0.35

0.40 0.62 0.44 n.a.

0.46 0.34 0.44 0.40 0.40

D (cm1) 0.45 0.42

55.1 57 57.5 55 28 50 25 53 45

Ueff(K) 72 71 65.2 41.8 72 67 53 51 51 52 53 50.1 7.7  1010 1.4  108 3.2  1011 1.0  108 3.4  109 3.0  1010 5.0  1010 4.9  109 n.a.

τ0(s) 7.7  109 6.3  109 2.6  109 1.6  1010 5.3  109 6.6  109 7.4  109 5.7  109 6.0  109 3.8  109 1.7  109 2.8  109

[39] [51]

[48] [27, 49] [49] [50]

[47]

[44] [45] [46]

[43]

References [42]

622 L.-M. Zheng et al.

10 10 11 11 17/2 17/2

C2/c P2/c P-4

P-1

P21/c

21/2 21/2 21/2 21/2 10 10 10

Aba2

0.29 0.28 0.22 0.31 0.25 0.23

0.36 0.09 0.14 0.27

0.38

28 27 28 40 34.7 33.8 26 24

54 50 53 51 18.5 30.3 1.6  108 9.0  109 3.3  108 n.a. 2.1  1010 4.7  109 n.a. n.a.

2.1  109 3.0  109 2.3  109 3.1  109

[39] [51] [55, 56] [56] [51] [51]

[54] [52]

[53]

[52]

a

n.a. = not available dppH: diphenyl phosphonate; PetCOOH: 2,2-dimethylbutyric acid; H2La: 10-(4-acetylsulfanylmethyl-phenyl)-anthracene-1,8-dicarboxylicacid; Z: O2C-Ph-pCH2N(CH2CH2CH2CH3)3; m-MPYNN+: m-N-methylpyridiniumnitronylnitroxide; bet: CH3)3 N+-CH2-COO

[Fe(C5Me5)2][Mn12O12(O2CC6F5)16(H2O)4]  2H2O [Fe(C5H5)2][Mn12O12(O2CC6F5)16(H2O)4] [Co(C5Me5)2][Mn12O12(O2CC6F5)16(H2O)4]  2CH2Cl2  C6H14 [Co(C5H5)2][Mn12O12(O2CC6F5)16(H2O)4] (PPh4)2[Mn12O12(O2CCHCl2)16(H2O)4]  4CH2Cl2  H2O (PPh4)2[Mn12O12(O2CCHCl2)16(H2O)4]  6CH2Cl2 (PPh4)2[Mn12O12(O2CCHCl2)16(H2O)4]  4CH2Cl2  H2O [Fe(C5Me5)2]2[Mn12O12(O2CC6F5)16(H2O)4] [Fe(C5H5)2]2[Mn12O12(O2CC6F5)16(H2O)4] [NMe4]2[Mn12O12(O2CC6F5)16(H2O)4]  6C7H8 (NPrn4)2[Mn12O12(O2CCHCl2)16(H2O)4] [Mn12O12(bet)16(EtOH)4](PF6)14  4CH3CN  H2O [Mn12O12(bet)16(EtOH)3(H2O)](PF6)13(OH)  6CH3CN  EtOH  H2O [NPr4]3[Mn12O12(O2CCHCl2)16(H2O)4] [NMe4]3[Mn12O12(O2CCHCl2)16(H2O)4]

17 Low Dimensional Molecular Magnets and Spintronics 623

624

L.-M. Zheng et al.

Fig. 2 [Mn12O12] cores of neutral Mn12, and one-, two-, and three-electron-reduced Mn12 complexes. Color scheme: MnIV green, MnIII bright green, MnII yellow, O orange. (Reprinted with permission from Bagai and Christou [51]. Copyright (2007) American Chemical Society)

of the [Mn12] derivatives [27], the appearance of two separate out-of-phase ac susceptibility signals is ascribed to the presence of Jahn–Teller isomers in which some of the JT axes are orientated differently [29, 30]. In such cases, there are slower and faster relaxing species within the same crystal that have different energy barriers to the reorientation of the magnetization. Single-environment and highsymmetry Mn12 complexes [Mn12O12(O2CCH2Br)16(H2O)4]  4CH2Cl2 (space group I41/a) [31] and [Mn12O12(O2CCH2But)16(MeOH)4] MeOH (space group I-4) [32, 33] have finally allowed the ideal properties of the Mn12 SMMs in truly high symmetry to be observed. They show only one out-of-phase ac susceptibility signal and a “cleaner” hysteresis loop, which is invaluable for detailed QTM and high field electron paramagnetic resonance (HFEPR) studies [34]. The energy barrier of the former increases to 74.4 K [31]. Electrochemistry on various [Mn12] clusters revealed the presence of quasireversible one-electron oxidation and reduction processes. The one-electron, two-electron, and even three-electron-reduced species have been isolated successfully. The added electrons go to the peripheral MnIII atoms converting III IV III II II  2 them to MnII, giving a MnIV 4 Mn7 Mn ([Mn12] ), Mn4 Mn6 Mn2 ([Mn12] ), 3 IV III II and Mn4 Mn5 Mn3 ([Mn12] ) oxidation state description, respectively. The greater the extent of reduction, the fewer the remaining MnIII ions and the lower the molecular anisotropy [47–51] (Fig. 2).

17

Low Dimensional Molecular Magnets and Spintronics

625

For example, by reducing [Mn12O12(O2CCHCl2)16(H  2O)4] ([Mn12]), Christou and coworkers obtained a series of compounds NPrn4 z ½Mn12 O12 ðO2 CCHCl2 Þ16 ðH2 OÞ4 z with identical core structures [51]. The ground states and D values are 10 and 0.45 cm1 for the neutral [Mn12]. They become 19/2 and 0.35 cm1 for [Mn12], 10 and 0.28 cm1 for [Mn12]2, and 17/2 and 0.25 cm1 for [Mn12]3, respectively. Consequently, the energy barriers decrease monotonously from 65 K for [Mn12], 45 K for [Mn12], 40 K for [Mn12]2, to 26 K for [Mn12]3.

Mn2 Dimers The dinuclear SMMs provide one of the simplest model systems with a small number of quantum energy levels. The first dinuclear SMM is [Mn(saltmen) (ReO4)]2, where N,N0 -(1,1,2,2-tetramethylethylene)bis(salicylideneiminate) (saltmenH2) is a N2O2 Schiff base ligand (Fig. 3, left) [57]. This out-of-plane MnIII dimer transmits ferromagnetic interaction between the MnIII centers (2 J/kB] = +5.30 K), leading to an ST = 4 spin ground state with a uniaxial anisotropy (DMn = 4.0 K). Slow relaxation of magnetization is observed at low temperature. The relaxation between 4 K and 1.9 K follows the Arrhenius law with an activation energy Ueff of 16 K. Hysteresis appears below 2 K (for field sweep rates of 1.4 T/s) and becomes temperature independent below 0.6 K but is strongly time dependent, proving the QTM effect. Very small antiferromagnetic coupling of ca. 0.2 K was found to be present between the dimers. By varying the apical ligands and the chemical features of the Schiff base, it is possible to modulate the MnIII. . .MnIII magnetic interactions and the overall magnetic behavior of the MnIII 2 dimer. As shown in Table 2, several out-of-plane MnIII–salen complexes have been prepared which show SMM behaviors with the energy barriers in the range of 12.9–28.7 K [58–62].

Fig. 3 The molecular structures of compounds [Mn(saltmen) (ReO4)]2 (left) [57] and [MnIII 3 O (O2CMe)3(mpko)3]ClO4 (right). (Reprinted with permission from Stamatatos et al. [66]. Copyright (2005) American Chemical Society)

3 3 3 3 4

[Mn2(5-MeOsaltmen)2(DCNNQI)2]  MeOH [Mn2(5-Clsaltmen)2Ni(pao)2(phen)](ClO4)2 [Mn2(5-Brsaltmen)2Ni(pao)2(phen)](ClO4)2 [MnIII2(5-MeOsaltmen)2CuII2(Lb)2](CF3SO3)2  2H2O [MnIII2(5-MeOsaltmen)2NiII2(Lb)2](CF3SO3)2  2H2O

1.46 1.67 1.67 1.36 0.96

D(cm1) 1.13 (DMn2) 1.03 (DMn2) 0.99 (DMn2) 0.30 (DMn2) 0.80(DMn2) 1.15 (DMn2)

1.2  107 1.5  107 2.5  107

12.9

τ0(s) 8  109 5.1  108 1.0  108 2.3  108 4.6  108 6.5  107 2  108 s (1,500 Oe)

Ueff (K) 16 27.8 28.7 23.5 22.5 15.5 31.0 19.0 18.3 18.1

[62]

[60] [61]

[59]

References [57] [58]

H2saltmen: N,N0 -(1,1,2,2-tetramethylethylene)bis(salicylideneimine); H2salen: N,N0 -bis(2-hydroxybenzylidene)ethylenediamine; 3,5-BrsalenH2: N,N0 -ethylenebis(3,5-dibromosalicylideneimine); 5-BrsalenH2: N,N0 -ethylenebis(5-bromosalicylideneamine); salpnH2: N,N0 -(propane)bis(salicylideneimine); N,N0 -(1,1,2,2-tetramethylethylene)bis(5-methoxysalicylideneimine); DCNNQI: N0 -dicyano-1,4-naphthoquinonediiminate; 5-MeOsaltmenH2: 0 0 5-ClsaltmenH2: N,N -(1,1,2,2-tetramethylethylene)bis(5-Cl-sacylideneiminate); 5-BrsaltmenH2: N,N -(1,1,2,2-tetramethylethylene)bis(5-Br-sacylideneiminate); pao2: pyridine-2-aldoximate; phen: 1,10-phenanthroline; H2Lb: 3-{2-[(2-hydroxybenzylidene)amino]-2-methyl-propylimino}-butan-2-one oxime

ST 4 4 4 4 4 4

Mn2 dimer [Mn(saltmen)(ReO4)]2 [Mn(saltmen)(O2CCH3)]2  2CH3CO2H [Mn(saltmen)(N3)]2 [Mn(salen)(NCO)]2 [Mn(3,5-Brsalen)(3,5-Brsalicylaldehyde)]2 [Mn2(salpn)2(H2O)2](ClO4)2

Table 2 SMMs based on Mn2 dimers

626 L.-M. Zheng et al.

17

Low Dimensional Molecular Magnets and Spintronics

627

Mn3 Trimers The first Mn3 SMM, [MnIIIMnII2 (Hcht)2(bpy)4](ClO4)3 (H3cht = cis,cis-1,3,5cyclohexanetriol) was reported in 2005 [63]. It contains a linear  III II 3þ Mn Mn2 O4 core in which the central Mn ion is the sole MnIII ion. The neighboring MnO6 and MnO2N4 octahedra are edge-shared with the Mn–O–Mn angle of 102 . Dominant ferromagnetic exchange is propagated between the metal centers resulting in an S = 7 ground state with a small axial anisotropy (D = 0.17 cm1). Frequency-dependent out-of-phase ac signals are seen but without any peaks appearing above 1.8 K. Single crystal hysteresis loop is observed below 0.6 K which also show the steplike features indicative of quantum tunneling of magnetization (QTM) between the energy states of the molecule. The oxide-centered triangular [M3O(O2CR)6 L3]n+ (n = 0, 1) complexes are well known for many transition metals, but antiferromagnetic exchange interactions within the [M3O] core lead to small S values, and they are therefore not SMMs [64, 65]. Recently, it was found that relatively small, ligand-imposed structural distortions can alter the sign of the exchange interactions and “switch on” the property. The first SMM with a triangular topology is SMM O ð O2 CMeÞ3 ðmpkoÞ3 ClO4  3CH2 Cl2 (mpkoH = methyl-2-pyridyl ketone MnIII 3 oxime) (Fig. 3, right) [66]. It shows ferromagnetic interactions between the metal centers (J = +14.1 cm1, J0 = +3.8 cm1), thus resulting in an S = 6 ground state. The switch of the antiferromagnetic to ferromagnetic interaction can be related to the increase angles (α) [67–73], which is 11.2 in average  IIIof the Mn–N–O–Mn torsion  for Mn3 OðO2 CMeÞ3 ðmpkoÞ3 ClO4  3CH2 Cl2 . As a result, the angle (θ) between the JT axis of each MnIII and the Mn3 plane is increased to 60.7 compared with those in the [Mn3O(O2CR)6 L3]+ complexes (46–48 ) [67]. A negative axial anisotropy is achieved with D value of 0.34 cm1. The effective relaxation barrier is Ueff = 10.9 K. Below 0.3 K, the relaxation is temperature-independent, consistent with relaxation by ground-state QTM. Hysteresis ca. 1.0 K. The  IIIis also observed below  effective 0 barrier is much higher for Mn3 OðMe  saoÞ3 ð2, 4  bpyÞ3 ðClO4 Þ  0:5MeCN (Me-sao = 2-hydroxyphenylethanone oxime) with a similar triangular topology (37.5 K) which possesses a larger Mn–N–O–Mn torsion angle of 44.15 [68]. A systematic study was carried out by Brechin et al. using derivatized R-saoH2 ligands to control the puckering or twisting of their central cores of the MnIII 3 O triangles [69]. The effect is reinforced by employing small “pincer”-type tripodal  ligands such as ClO 4 and ReO4 to sit on the “upper” triangular face and by employing large sterically bulky ligands to occupy the “lower” triangular face. The more puckered triangles are found to display larger (more positive) exchange constants, and the more planar triangles display more negative exchange constants. Those exhibiting SMM behaviors are listed in Table 3. The spin ground state of the   Zn ð sao Þ O ð N Þ X related triangular complexes ðcationÞ3 MnIII ð X ¼ Cl, BrÞ is 2 3 2 3 3 6 found to be dependent on the cocrystallizing cation besides the terminal ligand. When the cation is NEtþ 4 , the complexes are SMMs (Ueff = 44 K). When the cation þ is AsPh4 , the complex (X = Cl) is not a SMM [72, 73].

6

ST 7 6 6 6 6 6 6 6 6 6 6 6 6

D (cm1) 0.17 0.34 0.34 0.35 1.3 0.59 0.77 0.92 0.82 0.52 0.48 0.75 0.83 0.77 0.76 Ueff (K) SMM 10.9 K SMM SMM 37.5 43.7 57.0 25.7 42.5 42.7 48.0 48.3 44.0 43.7 45.6 1.0  107 1.27  109 1.98  109 8.40  109 7.40  109 2.97  108 1.46  108 5.55  109 3.8  108 5.8  108 2.1  107

5.7  108

τ0(s)

[73]

[69, 71] [72]

[68] [69, 70] [69, 71] [69] [69, 70] [69]

References [63] [66, 67] [67]

H3cht: cis,cis-1,3,5-cyclohexanetriol; bpy: 2,2-bipyridine; mpkoH: methyl-2-pyridyl ketone oxime; saoH2: salicylaldoxime; Me-sao: 2-hydroxyphenylethanone oxime; 2,40 -bpy: 2,40 -bipyridine; Et-saoH2: 2-hydroxyphenylpropanone oxime; teaH3: triethanolamine; β-pic: 3-picoline; Et-py: 4-Ethylpyridine

Mn3 trimer [MnIIIMnII2(Hcht)2(bpy)4](ClO4)3 linear [MnIII3O(O2CMe)3(mpko)3]ClO4  3CH2Cl2 [MnIII3O(O2CEt)3(mpko)3]ClO4  H2O [MnIII3O(O2CPh)3(mpko)3]ClO4  2.5H2O [MnIII3O(Me-sao)3(2,4’-bpy)3(ClO4)]  0.5MeCN [MnIII3O(Et-sao)3(O2CPh(Cl)2)(H2O)(MeOH)3] [MnIII3O(Et-sao)3(MeOH)3(ClO4)] [MnIII3O(Et-sao)3(O2CPh)2(teaH4)(H2O)2] [MnIII3O(Et-sao)3(O2CPh(CF3)2)(EtOH)(H2O)3]  EtOH [MnIII3O(Et-sao)3(β-pic)3(ClO4)] [MnIII3O(Et-sao)3(Et-py)3(ClO4)] [MnIII3O(Et-sao)3(EtOH)(H2O)2(ReO4)]  3EtOH (NEt4)3[MnIII3Zn2(sao)3O(N3)6Cl2] (NEt4)3[MnIII3Zn2(sao)3O(N3)6Br2] (NEt4)3[MnIII3Zn2(Me-sao)3O(N3)6Cl2]

Table 3 SMMs based on Mn3 trimers

628 L.-M. Zheng et al.

17

Low Dimensional Molecular Magnets and Spintronics

629

Mn4 Tetramers III IV [MnIVMnIII 3 O3X] cubane. Complexes [Mn Mn3 O3X(O2CMe)3L3] (L = pyri IV III 6þ dine, dibenzoylmethane) contain a central Mn Mn3 ðμ3  OÞ3 ðμ3  XÞ core which can be described as a distorted cubane [74, 75]. In these complexes, the MnIV. . .MnIII antiferromagnetic exchange interactions dominate, and this “frustrates” the spins of three MnIII ions to be aligned parallel, resulting in an S = 9/2 ground state. For compound [MnIVMnIII 3 O3Cl(O2CMe)3(dbm)3], high-field EPR data show that it experiences axial zero-field splitting with D = 0.53 cm1 and a quartic zero-field splitting with B04 ¼ 7:3  105 cm1 . Steps are seen on the magnetization hysteresis loop below 0.9 K due to resonant magnetization quantum mechanical tunneling. The ac susceptibility data reveal a frequency-dependent behavior with the effective relaxation barrier of Ueff = 11.8 K. It is interesting that the magnetization tunneling is observed in [MnIVMnIII 3 O3Cl(O2CMe)3(dbm)3], because Kramers’s theorem predicts that mixing of the ground MS levels by E is forbidden for a non-integer spin system [76]. The origin of this tunneling is explained by internal magnetic field within the Mn4 complex due to the nuclear spins (IMn = 5/2, IH = 1/2). The presence of inter-dimer antiferromagnetic interaction may result in quantum behavior different from that of the individual SMMs. Such a behavior was first observed by Wernsdorfer et al. in a dimer of [Mn4O3Cl4(O2CEt)3(py)3]2 ([Mn4]2)  6þ [77]. The Mn4 compound possesses a MnIV MnIII core with 3 ðμ3  OÞ3 ðμ3  ClÞ a ground-state spin of S = 9/2 (Fig. 4a). It crystallizes in the hexagonal space group R-3 with pairs of Mn4 molecules lying “head to head” on a crystallographic S6 symmetry axis. Thus, each Mn4 has C3 symmetry, and the [Mn4]2 dimer has an S6 symmetry. This supramolecular arrangement is held together by six C  H  Cl hydrogen bonds between the pyridine rings on one [Mn4] and Cl ions on the other (Fig. 4b). The antiferromagnetic coupling between the Mn4 units in [Mn4]2 makes this dimer an excellent candidate for studying quantum tunneling in a system of truly identical, antiferromagnetically coupled particles with S = 0 ground states. Hysteresis loops display steplike features separated by plateaus (Fig. 4c). Compared with that of SMMs, the QTM is now the collective behavior of the complete S = 0 dimer of exchange-coupled S = 9/2 Mn4 quantum systems. This coupling is manifested as an exchange bias of all tunneling transitions, and the hysteresis loops consequently display unique features, such as the absence of a QTM step at zero field which is important if SMMs are to be used for information storage. The above results demonstrate that even weak exchange interactions can have a large influence of the quantum properties of SMMs. III [MnII 2 Mn2 O2] rhombus. Another important family of Mn4 SMMs is mixed valent clusters containing a planar MnII2 MnIII 2 rhombus core (Fig. 5a). Ferromagnetic interactions between MnIII–MnII and MnIII–MnIII pairs result in parallel spin alignments of the S = 5/2 MnII and MnIII ions, leading to an S = 9 ground state.  SII= 2 III  The first example of this type is Mn2 Mn2 ðO2 CMeÞ2 ðHpdmÞ6 ðClO4 Þ2  2:5H2 O , where pdmH2 is pyridine-2,6-dimethanol [78, 79]. EPR measurement confirms a negative

630

L.-M. Zheng et al.

a

b

Mn

O

O

O

Mn

Mn

Mn

Mn4+ O O Mn3+

X

c

1

N

0.14 T

Mn3+

Mn3+ Cl

Cl

s−1

N

N

Cl

Cl C

0.5 M/Ms

O

C

C C

C

C Cl

0

−0.5 −1 −1.2

Cl

0.04 K 0.3 K 0.4 K 0.5 K 0.6 K 0.7 K 0.8 K 1.0 K

−0.8

−0.4

0 0.4 m 0H (T)

0.8

Cl

N N Mn3+ O

Cl

Mn3+

N

Mn3+

O O Mn4+

1.2

Fig. 4 (a) Core structure of the [MnIVMnIII 3 O3Cl] cubane. (b) The structure of the [Mn4O3Cl4(O2CEt)3(py)3]2 dimer, denoted [Mn4]2. The dashed lines are C  H  Cl hydrogen bonds and the dotted line is the close Cl  Cl approach. (c) The magnetization hysteresis loops of [Mn4]2 shown at different temperatures. (Reprinted by permission from Macmillan Publishers Ltd: Nature [77], copyright 2002)

axial ZFS with D = 0.31 cm1 and B04 ¼ 2:03  105 cm1 . It behaves as a SMM with the effective energy barrier of 16.7 K. While the dehydrate sample of the same compound shows a ground state of 8, with Ueff = 17.3 K and τ0 = 2.54  107 s. By using monoanion 2-hydroxymethylpyridine (hmp), a series of mixed valence tetranuclear manganese complexes have been obtained [14, 80–85]. They contain similar MnII2 MnIII 2 rhombus core and show SMM behaviors. The D, Ueff, and τ0 values of these compounds are given in Table 4. The highest energy barrier is 23.3 K, found  in compound MnII2 MnIII 2 ðhmpÞ6 ðCH3 CNÞ2 ðH2 OÞ4 ðClO4 Þ4  2CH3 CN [14]. Very weak antiferromagnetic inter-SMM interactions are present, and quantum phase interference is also observed in this case. Other Mn4 clusters with similar MnII2 MnIII 2 rhombus core showing SMM behaviors are given in Table 4 [86–92]. [MnIII 4 X4] square. Boskovic et al. reported a third family of tetranuclear Mn complexes [Mn4X4L4] (H2L = salicylidene-2-ethanolamine, X = Cl, Br) that behave as SMMs. These complexes possess a square-shaped core with ferromagnetic exchange interactions between the four MnIII centers (Fig. 5b), thus resulting in an S = 8 ground state. Axial magnetic anisotropy is found in both cases with D values in the range 0.10 to 0.20 cm1. Slow relaxation of magnetization is observed for ½Mn4 Cl4 L4   2:25MeCN at low temperature [93]. The relaxation becomes temperature independent below ca. 0.2 K, consistent with pure quantum

17

Low Dimensional Molecular Magnets and Spintronics

631

b a J wb

Mn2 S=5/2

N4

S=2

Mn3

C14

J bb

Mn1

C13

O7 Mn4

Mn4 S=5/2

O5 Mn3

O8 O2

N1

O6 O4

Mn1 O1 C11

N3 C12 Mn2 O3

N2

S=2

Fig. 5 (a) The core structure of the [MnII2 MnIII 2 O2] rhombus. (b) The structure of [Mn4Cl4L4] square. (Reprinted with permission from Boskovic et al. [93]. Copyright (2003) American Chemical Society)

tunneling of the magnetization. The energy barrier to thermal relaxation of the magnetization (Ueff) is 7.7 K, with τ0 value of 4.8  109 s. The weak antiferromagnetic interactions between the tetramers have a significant effect on the manifestation of slow relaxation of the magnetization, and hence, these complexes represent another family of “exchange-biased SMMs.”

Mn6 Hexamers   The first SMMs of Mn 6 family, MnIII O2 ðsaoÞ6 ðO2 CMeÞ2 ðEtOHÞ4  4EtOH 6   Þ , were and MnIII 6 O2 ðsaoÞ6 ðO2 CPhÞ2 ðEtOHÞ4  EtOH ðsaoH2 ¼ salicylaldoxime  reported by the group of Perlepes [94]. They have a nonplanar MnIII ð μ 3  O Þ2 6  III 7þ 12þ ðμ2  ORÞ2  core, made up of two off-set, stacked Mn3 ðμ3  OÞ triangular subunits bridged by two central oximato oxygen atoms, with the remaining four sao2 ligands bridging in a near-planar η1: η1: η1:μ-fashion along the edges of the [MnIII3(μ3–O)]7+ triangles. The four “central” metals (Mn1 and Mn3) are six-coordinated and display Jahn–Teller elongation. While the outmost Mn ions (Mn2) has a distorted square-pyramidal geometry with an additional axial contact of ˚ to a phenolato oxygen (Fig. 6a). The ferromagnetic interaction between the ~3.5 A antiferromagnetically coupled Mn3O triangles leads to an S = 4 ground state for the hexanuclear cluster. The complexes display SMM behaviors. The energy barrier and τ0 values obtained from the Arrhenius fit of the ac data are 28.0 K and 3.6  109 s for the former and 27.9 K and 2.3  108 s for the latter, respectively. Brechin and coworkers carried out a systematic work to investigate whether the additional steric bulk of the derivatized oximates would enforce structural distortions similar to those seen in the ferromagnetic Mn3 triangle and thus switch the magnetic behavior from antiferromagnetic to ferromagnetic within the triangle [95–100]. The twisting of the Mn–N–O–Mn moiety is of vital importance in such a switching. The larger the Mn–N–O–Mn torsion angle (α), the more ferromagnetic the pairwise (Mn2 within Mn3O triangle) interaction; the smaller the torsion angle,

9

[MnII2MnIII2(hmp)6(C6H5COO)2(H2O)2](ClO4)2  4CH3CN 2H2O [Mn4(hmp)6(NO3)2(dcn)2]  2MeCN [{MnII2MnIII2(hmp)6(MeCN)2}{Pt(mnt)2}4][Pt(mnt)2]2 [MnII2MnIII2(hmp)6(dae-o)2(ClO4)2]  6H2O (open-ring form) [MnII2MnIII2(hmp)6(dae-c)2(H2O)2](ClO4)2  CH3CN  4H2O (closed-ring form) [MnII2MnIII2(teaH2)2(teaH)2(H2O)2(CH3CO2)2](CH3CO2)2 2H2O [MnII2MnIII2(teaH2)2(teaH)2(PhCO2)2](PhCO2)2 CH3CN [Mn4(O2CPh)4(mdea)2(mdeaH)2]  CH2Cl2  Et2O [MnII2MnIII2(teaH)2(teaH2)2(O2CPh)2](O2CPh)2  0.7MeCN  0.3Et OH [MnII2MnIII2(bdea)2(bdeaH)2(O2CPh)4] [MnII2MnIII2(O2CFc)4(Bdea)2(BdeaH)2]  2MeCN [MnII2MnIII2(Bet)4(mdea)2(mdeaH)2](BPh4)4 [MnIII2MnII2(OH)2(TBC4)2(dmf)6]  2MeOH [MnII2MnIII2(hmp)6(N(CN)2)4(H2O)2] [MnIII4Cl4Lc4] [MnIII4Cl4Ld4], cubane

0.26 0.24 0.21 0.22 0.23 0.30 0.23 0.15 0.23 0.24 0.24 0.18 1.38 0.19 0.14 0.1

0.24 0.22

0.53 0.24 0.31 0.35 0.24 0.23

D (cm1)

13.8 20.9 18.7 9.2 12.1 22.4 20.3 15.3 22.6 26.7 22.7 20.5 16.5(dc) 16.2 K 7.7 7.9

11.8 17.3 16.7 15.8 20.9 or 12.3? 23.3 23.2 19.6 11.9

Ueff (K)

6.0  1010 (dc) 2.0  109 4.8  109 9.1  107

3.2  107 1.7  107 5.12  108 1.56  107 3.0  107 1.3  108 1.5  108

2.9  108 6.7  109 9.7  1010 1.2  109 4.4  1010 6  108 QTM 5  108

3.6  107 2.5  107

τ0(s)

[82] [83] [84] [85] [85] [86] [86] [87] [88] [88] [89] [90] [91] [92] [93] [93]

[82]

[74, 75] [78] [79] [80] [81] [14]

References

Hdbm: dibenzoylmethane; Hpdm: pyridine-2,6-dimethanol; hmpH: 2-hydroxymethylpyridine; dcn: dicyanamide; mnt2: maleonitriledithiolate; H2dae-o: open-ringisomers of 1,2-bis (5-caxboxyl-2-methyl-3-thienyl)perfluorocyclopentene; H2dae-c: closed-ringisomers of 1,2-bis(5-caxboxyl-2-methyl-3-thienyl)perfluorocyclopentene; teaH3: triethanolamine; H2mdea: N-methyldiethanolamine; H2bdea: N-butyldiethanolamine; bet: CH3)3 N+-CH2-COO; TBC4: calixarenep-tBu-calix[4]arene; H2Lc: salicylidene-2-ethanolamine; H2Ld: 4-tert-butylsalicylidene-2-ethanolamine

9 9 9 9 9 9 9 9 8 9 6 9 8 8

9/2 8 9 9 9 9 9 9 9

ST

[Mn MnIII3O3Cl(O2CMe)3(dbm)3], cubane [MnII2MnIII2(O2CMe)2(Hpdm)6][ClO4]2 [MnII2MnIII2(O2CMe)2(Hpdm)6][ClO4]2  2.5H2O [MnII2MnIII2(hmp)6Br2(H2O)2]Br2  4H2O [MnII2MnIII2(hmp)6(H2O)2(NO3)2](NO3)2  2.5H2O (QTM) [MnII2MnIII2(hmp)6(CH3CN)2(H2O)4](ClO4)4 2CH3CN [MnII2MnIII2(hmp)6(H2O)4](ClO4)4  2H2O [MnII2MnIII2(hmp)6(NO3)2(H2O)2](ClO4)2 4H2O [MnII2MnIII2(hmp)6(CH3COO)2(H2O)2](ClO4)2  4H2O

IV

Mn4

Table 4 SMMs based on Mn4 clusters

632 L.-M. Zheng et al.

17

Low Dimensional Molecular Magnets and Spintronics

633

Fig. 6 (a) The structure of [MnIII6(mu3-O)2(mu2-OR)2]12+ core. (b) The molecular structure of (Reprinted with permission from Milios [MnIII 6 O2(Et-sao)6(O2CPh)2(EtOH)4(H2O)2]. et al. [95]. Copyright (2007) American Chemical Society)

the more antiferromagnetic the pairwise interaction. A “magic area” (30.4–31.3 ) exists for the torsion angles to predict pairwise exchange. If α > 31.3 , then J > 0 (F). If α < 30.4 , then J < 0 (AF). When a Mn3O triangle contains torsion angles that are both above and below the magic area, both F and AF exchanges would be present within the triangle. Thus the ground state of the Mn6 cluster can vary from 4 to 12 simply by controlling the structural distortion. For the S = 12 species where each Mn2 exchange is ferromagnetic, the larger the Mn–N–O–Mn torsion angle, the larger the barrier to magnetization relaxation. The highest   barrier is achieved in the case of MnIII O ð Et  sao Þ O CPh ð Me Þ ð EtOH Þ 2 2 6 6 2 2 6 (average torsion angle of 39.1 ) with Ueff of 86.4 K and blocking temperature (TB) of ca. 4.5 K [22]. The values are much higher than those of the Mn12 family (Ueff up to 74 K, TB ~ 3.5 K). The related compounds are listed in Table 5.

SMMs Based on FeIII–O and Other M–O Clusters FeIII–O clusters. The iron–oxygen clusters are another important family of SMMs. High-spin FeIII with S = 5/2 is a promising building block to achieve large S in the ground state. However, its 6S nature determines that large anisotropy cannot be realized for the single FeIII species. So far, very few FeIII complexes are found to show SMMs behaviors. The first FeIII-based SMMs is [Fe8O2(OH)12(tacn)6]Br8  9H2O (Fe8, tacn = 1,4,7-triazacyclononane) (Fig. 7, left) [102]. Like that of Mn12Ac, it also has an S = 10 ground state and an Ising-type magnetic anisotropy of about 1/3 that of Mn12Ac. But Fe8 has a lower symmetry than Mn12Ac (triclinic vs. tetragonal), which results in a sizeable transverse magnetic anisotropy [103].

[Mn6O2(Et-sao)6(O2CPh2OPh)2(EtOH)4] [Mn6O2(Et-sao)6(O2CPh4OPh)2(EtOH)4(H2O)2] [Mn6O2(Me-sao)6(O2CPhBr)2(EtOH)6] [Mn6O2(Me-sao)6(O2CC(CH3)3)2(MeOH)6] [MnIII6O2(Et-sao)6(O2CPh)2(EtOH)4(H2O)2  2EtOH

[Mn6O2(sao)6(O2C-tpc)2(EtOH)4] [Mn6O2(Et-sao)6(O2C12H17)2(EtOH)4(H2O)2] [Mn6O2(Et-sao)6(O2CC(CH3)3)2(MeOH)6] [Mn6O2(Et-sao)6(O2CCMe3)2(EtOH)5]

Compound [MnIII6O2(sao)6(O2CMe)2(EtOH)4]  4EtOH [MnIII6O2(sao)6(O2CPh)2(EtOH)4  EtOH [Mn6O2(sao)6(O2CH)2(MeOH)4] [Mn6O2(Me-sao)6(O2CCPh3)2(EtOH)4] [Mn6O2(sao)6(O2CPh)2(MeCN)2(H2O)2] [Mn6O2(sao)6(1-Me-cyclohex)2(MeOH)4]

Table 5 SMMs based on Mn6 clusters

27.83, 40.07, 41.46 26.93, 34.45, 40.70 36.92, 23.27, 42.12 36.92, 23.27, 42.12 47.56, 31.76, 23.75 30.36, 38.38, 43.71 30.43, 42.94, 31.91 29.64, 38.51, 44.47 31.26, 38.20, 39.92

4 4 4 4

25.57, 10.42, 18.01 25.50, 42.44, 29.74 28.18, 16.18, 8.36 9.66, 29.83, 15.60 13.53, 23.80, 37.33

71 91 11  1 11  1 12

4 51 5 6

S 4

α

0.43

0.39 0.37 0.50

0.75

1.76 K

1.59

1.21 1.39

D/cm1

43.2 56.9 50.2 57.6 53.1

28 31.2 59.2 30.0

Ueff/(K) 28.0 28.1 28.0 31.7 23.8 28.8

[95] [95] [96] [96] [97] [95] [96] [95]

[96] [98]

2.04  108 9.3  1010 4.5  1011 3.0  108 1.5  1010 1.2  1010 1.7  1010 3.6  10  10 8.0  1010

References [94]

τ0(s) 3.6  108 2.3  108 2  108 6.8  1010 6.6  1010 1.7  1010

634 L.-M. Zheng et al.

12 12 12 12 12

38.90, 38.70, 32.10 43.61, 33.72, 29.53 43.24, 27.61, 30.94 33.40, 43.89, 33.00

12

12 12 12 12 12

39.10, 43.04, 34.86 42.61, 36.73, 34.07 47.16, 38.19, 30.37 41.10, 33.30, 40.50 42.30, 39.30, 25.60

0.39 0.36 0.6 K

0.43 0.43 0.44

60.3 48.5 54 55 67 50

66.79

86.4 79.9 69.9 66.12 66.10

4.37  1010 1.55  1010 5.45  1010 1.17  1010 1.46  1010 2.2  1010

6.23  1011

2  1010 2.5  1010 7.5  1010 4.33  1010 3.99  1010

[101]

[100] [97]

[96]

[22] [95] [95] [99]

saoH2: salicylaldoxime; Me-saoH2: 2-hydroxyethanone oxime; Et-saoH2: 2-hydroxypropiophenone oxime; 1-Me-cyclohex: 1-Methylcyclohexane carboxylic acid; HO2C-tpc: 3-thiophene carboxylic acid; HO2CPh2OPh: 2-phenoxybenzoic acid; HO2CPh4OPh: 4-phenoxybenzoic acid; HO2CPhBr: 4-bromobenzoic acid; HO2C11H15: adamantane carboxylic acid; HO2CPhMe: 4-methylbenzoic acid; HO2C-Naphth: 1-naphthoic acid; HO2C-Anthra: anthracene-9-carboxylic acid

[Mn6O2(Et-Sao)6(EtOH)4(H2O)2(C10H4O4S2)  2EtOH]n

[MnIII6O2(Et-sao)6(O2CPh(Me)2)2(EtOH)6] [Mn6O2(Et-sao)6(O2C11H15)2(EtOH)6] [Mn6O2(Et-sao)6(O2CPhMe)2(EtOH)4(H2O)2] [Mn6O2(Et-sao)6(O2C-Naphth)2(EtOH)4(H2O)2] [Mn6O2(Et-sao)6(O2C-Anthra)2(EtOH)4(H2O)2]  0.66EtOH  0.33H2O [Mn6O2(Et-sao)6(O2CPhC  CH)2(EtOH)4(H2O)2] 1.7EtOH 0.3H2O [Mn6O2(Me-sao)6(O2CPh(C  CH))2(EtOH)6] [Mn6O2(Me-sao)6(O2CPh(Cl)2)2(MeOH)6] [Mn6O2(Et-sao)6(Br)2(EtOH)6] [Mn6O2(Et-sao)6(O2C-tpc)2(EtOH)4(H2O)2]

17 Low Dimensional Molecular Magnets and Spintronics 635

636

L.-M. Zheng et al.

Fig. 7 The structures of [Fe8O2(OH)12(tacn)6]Br8  9H2O (left). (Reprinted with permission from Delfs et al. [102]. Copyright (1993) American Chemical Society) and [FeIII 4 (OMe)6(dpm)6] (right). (Reprinted with permission from Delfs et al. [105]. Copyright (1993) American Chemical Society)

Consequently, the ac blocking temperature is only 3 K. However, the relaxation time of the magnetization becomes independent of temperature below 0.36 K [104]. Apparently, the Fe8 complex is better suited to investigating the quantum effects on the dynamics of the magnetization. Unfortunately, it has been proven difficult to chemically modify this complex. Another representative family is propeller-like FeIII 4 clusters, which are among the simplest inorganic systems showing SMM behavior. The first one of this family has a molecular formula of [FeIII 4 (OMe)6(dpm)6], where Hdpm is dipivaloylmethane [105]. This compound crystallizes in the monoclinic space group C2/c. As shown in Fig. 7 (right), the molecule has twofold symmetry due to the presence of a crystallographic C2 axis passing through Fe1 and Fe2. The four Fe atoms lie exactly on a plane, the inner Fe atom being in the center of an isosceles triangle. The ˚ . The edges take the intramolecular Fe. . .Fe distances are 3.133(1)–3.146(2) A ˚ , while Fe2. . .Fe1. . .Fe3 and Fe3. . .Fe1. . .Fe30 values of 5.372(2)–5.550(2) A angles are 117.65(3) and 124.70(6) , respectively. Antiferromagnetic interactions are found between the central and peripheral Fe ions (J = 21.1 cm1), while that between the neighboring peripheral ones is ferromagnetic (J0 = 1.1 cm1). This leads to a spin ground state of S = 5. The uniaxial magnetic anisotropy of the system is confirmed by the high-field EPR spectra, corresponding to a zero-field splitting parameters of D = 0.20 cm1 and E = 0.01 cm1. Such anisotropy below 1 K gives rise to the slow relaxation of the magnetization, with the energy barrier of Ueff = 3.5 K and τ0 = 1.1  106 s. The Ueff is significantly smaller than the energy gap between the Ms = 0 and Ms = 5 states (U = 7.1 K), attributed to the quantum tunneling due to the transverse anisotropies. Through site-specific ligand replacement of the methoxide bridges with a tripo1 dal ligand 1,1,1-tris(hydroxymethyl)ethane (H3thme), complex [FeIII 4 (L )2(dpm)6] [H3L = CH3C(CH2OH)3] was obtained [106]. This compound crystallizes in a highly ordered trigonal lattice with space group R-3c, which leads to a slight change

17

Low Dimensional Molecular Magnets and Spintronics

637

in the structural parameters. As a result, the absolute value of J1 (16.5 cm1) is 1 significantly smaller than that in [FeIII 4 (OMe)6(dpm)6] (21.1 cm ), consistent with the smaller Fe–O–Fe angle subtended by the alkoxide bridges (102.92(5) vs. 104.38 ). The J2 value is 0.62 cm1. The raise of the symmetry of FeIII 4 clusters from C2 to D3 results in a dramatic increase of magnetic anisotropy (D = 0.45 cm1) and energy barrier (Ueff = 15.6 K). The τ0 is 3.4  108 s. In fact, the magnetic anisotropy and energy barriers of the FeIII 4 SMMs may be tuned by changing the organic groups in the tripodal ligands R-C(CH2OH)3 (Table 6) [107–112]. The new derivatives exhibit in general enhanced magnetic properties with respect to the parent cluster. Their static and dynamic magnetic parameters correlate strongly with the helical pitch (γ) of the Fe(O2Fe)3 core. The axial anisotropy |D| (evaluated from EPR spectra) and the effective anisotropy barrier Ueff (extracted from relaxation measurements) both increase with increasing III helical pitch. These together with a related FeIII [113] and a chiral FeIII 3 Cr 4 [114] compounds are listed in Table 6. A few other FeIII-based SMMs with increased nuclearities have been obtained III III III including FeIII 9 [115, 116], Fe11 [117, 118], Fe13 [119], and Fe19 [120]. But their energy barriers are either very small or not available. Other M–O systems. Metal ions with dn (n > 5) typically have D > 0. However, for molecules with two or more metal ions, the overall magnetic anisotropy is the tensor projection of the single-ion contributions. Therefore, it is possible for a molecule to have D < 0 even though the constituent metal ions have single-ion zero-field interactions with D > 0. Nevertheless, there are still very few SMMs reported based on polynuclear FeII, CoII, or NiII complexes, which are summarized in Table 7 [121–130]. Most of these complexes have a cubane structure of M4O4, in which the four metal ions are bridged by hydroxo and/or alkoxo groups. For FeII4 O4 cube, the intracluster ferromagnetic interaction results in an S = 8 ground state. zero-field splitting (D < 0) is found for complexes [Fe4(sae)4(MeOH)4], Negative II and [FeII4 (3, 5–Cl2–sae)4(MeOH)4] Fe4 ð5  Br  saeÞ4 ðMeOHÞ4  MeOH, (H2sae = 2-salicylideneamino-1-ethanol), which show SMM behaviors with the energy barrier of 26.2–30.5 K [121]. For CoII4 O4 cube such as [Co4(hmp)4(MeOH)4Cl4] (hmpH = 2-hydroxymethylpyridine), the ferromagnetic interaction between the cobalt ions gives an S = 6 ground state. Hysteresis loops are observed for this complex below 1.2 K [123]. Other CoII-based SMMs also contain CoII4 O4 cubane or dicubane units in most cases. Compound [(NMe4)4{Co4(cit)4[Co(H2O)5]2}]  6H2O (H4cit = citric acid) [124] shows the highest energy barrier (32 K) of this type. Another interesting example is a dodecanuclear cluster [Co12(bm)12(NO3)(O2CMe)6(EtOH)6](NO3)5 [Hbm = (1H-benzimidazol-2-yl) methanol] [127], which contains three CoII4 O4 cubanes bridged by a μ6-nitrate ligand (Fig. 8). The energy barrier is found to be  15 K. The displacement of NO 3 by ClO4 could raise the energy barrier [128]. Nevertheless, a few exceptions are observed. For example, compound Co4L4  0.5H2O (H2L = N,N0 -di[1-(2-hydroxyphenyl)ethlidene]hydrazone) shows a square structure [129]. The dominant intramolecular ferromagnetic coupling at low temperature

5 5

R-3 P21

0.43, 0.27, 0.22a 0.34

0.421 0.414 0.179

0.449 0.442

0.435, 0.018 0.412 0.411 0.409 0.417, 0.435

0.42 0.445 0.43 0.42 0.431, 0.442, 0.420a

D (cm1) 0.191 0.21,

4

1  10

0.021 0.019

0.006 0.01 0.008 0.0150.0 09 0.030 0.031

0.01, 0.0240.0 21

0.0

E (cm1) E/D = 0.169 0.01

5.95 4.1

11.9 12.9 9.5 11.1 11.1 7.0

15.1 16.1 – 13.7 15.9

15.6 17.0 16.6 15.6 15.9

Ueff (K) 22.2 3.5

For species A, B, and C in the crystal tacn: 1,4,7-triazacyclononane; Hdpm: dipivaloylmethane; H2Le: R-2-(2-hydroxy-1-phenylethyliminomethyl)phenol

a

5 5 6

P2/n C2/c C2/c

R = CH3(CH2)3C(O)O R = CH3(CH2)3C(O)NH [Fe3Cr{PhC(CH2OH)3}2(dpm)6]  Et2O (Fe3Cr:Fe4 = 84:16) [Fe4(OEt)3({tBuC(CH2OH)3})(dpm)6] [Fe4(Le)6]  5DMFH2O

5 5 5 5 5

5 5 5 5 5

5 5

C2/c

C2/c C2/c

R-3c R-3c

C2/c

S 10 5

R = (R,S)-2-methyl-1-butanol R = (S)-2-methyl-1-butanol

R = CH3C(O)S-(CH2)9 (phase 1) R = CH3C(O)S-(CH2)9 (phase 2) R = 4-Cl-Ph R = CH2O(CH2)4(C16H9) R = CH2 = CHCH2OCH2

[Fe8O2(OH)12(tacn)6]Br8  9H2O [FeIII4(OMe)6(dpm)6] [Fe4{RC(CH2OH)3}2(dpm)6] R = CH3 R = CH3 R = CH2Br R = Ph R = CH2 = CH(CH2)7

Space group

Table 6 SMMs based on FeIII8 and FeIII4 clusters

[113]

[107] [114]

4.6  107 4.0  107

[112]

[109] [110] [111] [109]

[108]

[106] [107]

References [102–104] [105]

6.0  108 1.5  107 9.0  108 6.8  108 1.2  107 2.5  107

4.0  108

4.3  108 7.5  108

3.4  108 2.1  108 1.0  108 1.9  108 3.4  108

τ0(s) 1.9  107 TB = 1.1 K 1.1  106

638 L.-M. Zheng et al.

[Co(NCS)2(TPPNOpy)4] [Co(NCO)2(TBPNOpy)4]

[Co(hfpip)2(D2py2(TBA))]2 after irradiation

[Fe 4(sae)4(MeOH)4] [FeII4(5-Br-sae)4(MeOH)4]  MeOH [FeII4(3,5-Cl2-sae)4(MeOH)4] [FeII9(N3)2(O2CMe)8{(2-py)2CO2}4] [Co4(hmp)4(MeOH)4Cl4] [(NMe4)3Na{Co4(cit)4[Co(H2O)5]2}]  7H2O [(NMe4)4{Co4(cit)4[Co(H2O)5]2}]  6H2O [C(NH2)3]8{Co4(cit)4}  4H2O [C(NH2)3]8[CoII4(cit)4]  8H2O [Co8(cit)4(H2O)12]  24H2O [Co12(bm)12(NO3)(O2CMe)6(EtOH)6](NO3)5 [Co12(bm)12(NO3)(O2CMe)6(EtOH)6](ClO4)5 Co4Lg4  0.5H2O square Na22Rb6[{Co4(OH)3PO4}4(A-α-PW9O34)4]  76H2O [Co5(μ4-N3)(tbdea)2(μ-piv)4(piv)(CH3CN)2]  CH3CN [CoII7(bhqe)3(OH)2(H2O)6]  2C2H5OH  H2O dicubane

II

Table 7 SMMs based on MII-O clusters (M = Fe, Co)

P1

Fd-3 P21/n P-3c1

R-3 P-1

Space group P-1 P-1 I41/a P3221 I-42b

5

8

6 n.a. 6

ST 8 8 8 14 6 3 ~2.78

D(cm1) 0.64 0.66 0.67 Ueff(K) 28.4 30.5 26.2 41.7 n.a. 26.0 32 21 13.1 20.5 15 24 38.8 26.1 14.3 21 13 69 96 30 28(35) 7.7  109 3.4  1012 n.a 8.2  109 2.1  109 8.0  107 8.4  107 1.0  107 1.9  107 3.1  107 5.4  109 3.5  108 1.7  107 2.7  107 3.9  106 1.2  108 1.4  109 6.9  109 1.8  107 (5.1  108)

τ0(s) 3.6  109

(continued)

[134] [134]

[133]

References [121] [121] [121] [122] [123] [124] [124] [125] [126] [126] [127] [128] [129] [130] [131] [132]

17 Low Dimensional Molecular Magnets and Spintronics 639

Space group

5/2

ST

D(cm1) Ueff(K) 29 28 50 89.0 72.0

τ0(s) 6.1  109 3.5  108 1.8  107 2.3  1010 2.0  109

References [135] [135] [136] [137] [138]

H2sae: 2-salicylideneamino-1-ethanol; [(2-py)2CO2]H2: di-2-pyridylketone; hmpH: 2-hydroxymethylpyridine; H4cit: citric acid; Hbm: (1H-benzimidazol-2yl)methanol; H2Lg: N,N0 -di[1-(2-hydroxyphenyl)ethlidene]hydrazone; tbdeaH2: N-tert-butyldiethanolamine; piv: pivalic acid; H4bhqe : 1,2-bis (8-hydroxyquinolin-2-yl)ethane-1,2-diol; D2py2(TBA): Didiazo-dipyridine; hfpip: 1,1,5,5,5-hexafluoro-4-(phenylimino)-2-pentanone; TPPNOHpy: 4-Pyridinamine, N-hydroxy-N-(50 -phenyl[1,10 :30 ,100 -terphenyl]-20 -yl); TBPNOHpy: Pyridinamine, N-hydroxy-N-[2,4,6-tris(1,1-dimethylethyl)phenyl]; 4NOpy: N-tert-butyl-N-4-pyridylaminoxyl; D1py: diazopyridine; EBAMP: 2,6-bis(N,N-dimethylaminomethylene)pyridine; p-tolsal: p-tolylsalicylideniminato; cD5py: cyclic pentacarbene-pyridine

[Co(hfpip)2(4NOpy)2], [Co(EBAMP)(NCO)2(D1py)], after irradiation [Co(NCO)2(4NOPy)4] [Co(NCS)2(D1py)4] [Co( p-tolsal)2(cD5py)2]

Table 7 (continued)

640 L.-M. Zheng et al.

17

Low Dimensional Molecular Magnets and Spintronics

641

Fig. 8 Structures of the cation (left) and Co12 core (right) of [Co12(bm)12(NO3) (O2CMe)6(EtOH)6](NO3)5. CoII turquoise, O red, N blue, C gray [127].

results in a large ground state and a slow relaxation process at static zero field with the energy barrier of 38.8 K. It should be noted that there are also SMMs based on mononuclear CoII–organic radical systems, some of which exhibit energy barriers significantly higher than those of the polynuclear CoII systems after irradiation (Table 7) [133–138]. A few NiII complexes have been reported showing SMMs behaviors. However, the energy barriers of these systems are either rather small ( 2) and NITPhOMe radical (S = 1/2 and g 2) gives the non-compensative magnetic moments, resulting in a ferrimagnetic chain. The helical arrangement of the cobalt local anisotropy tensors leads to a complex magnetic behavior involving step features in the field dependence of the magnetization. At low temperature, slow relaxation of the magnetization is proved by strong frequency dependence of the ac data. The relaxation time of 3.0(2)  1011 s was deduced from an Arrhenius law with a gap Δ/kB = 153(2) K. Weak ferromagnetic SCMs. Another AF spin state that meets the requirement of GD is the weak FO one, in which the local easy axes of spin carrier are not

17

Low Dimensional Molecular Magnets and Spintronics

659

collinear to form spin-canted structure with uncompensated moment. A useful strategy to get weak FO spin state is to employ asymmetric bridging ligands, such as phosphonate [217, 218], tetrazolate [219], azide [220], etc. that favor noncollinear magnetic interaction [217–224]. Furthermore, it is usual in molecular systems that the symmetry on the metal site is lower than that of the crystal space group and the 1D structure is generated by either a glide plane or a screw axis, thus inducing noncollinearity of the anisotropy axes. However, WF SCM is relatively rare, and only a few examples have been reported [217–223]. The first example of weak FO SCMs, Co(H2L5)(H2O) (H4L5 = 4-Me-C6H4CH2N(CPO3H2)2), was reported by Mao and Dunbar in 2005, in which the CoII ions adopt a tetragonal coordination environment [217]. The diphosphonate ligand bridges CoII ions to form a 1D zigzag chain (Fig. 17b). The neighboring chains are well separated by both hydrogen bonds between phosphonate oxygen atoms and the Me–C6H4–CH2 substituents on the phosphonate groups. The overall shape of χMT versus T plots is quite similar to that observed in AF spin chains. However, further magnetic analysis reveals that although the chain contains only antiferromagnetically (J = 10.5 cm1) coupled CoII ions, due to spin canting, there is a resulting moment that is Ising in behavior. The strong uniaxial magnetic anisotropy arising from the tetragonal ligand fields acting on the CoII ions, the spin–orbit interaction, the AF exchange, and the topology of the chain are the main factors governing the spin-canting structure and subsequently to an uncompensated magnetic moment [217c]. Frequency scan and temperature scan measurements of the ac susceptibility reveal the presence of slow relaxation of the magnetization. The relaxation time extracted from these data follows an Arrhenius law with Δ/kB = 31.4 cm1 = 45.2 K; τ0 = 1.13  1013 s; and Δ/kB 18.6–20.2 cm1 = 26.8–29.1 K and τ0 8.4–34  1010 s for temperature and frequency data, respectively. The influence of interchain interaction on SCMs. As mentioned in the introduction part, the interchain magnetic interaction affects the magnetic behavior of SCMs. In 2008 and 2009, Ishida and Gao et al. reported two analogous derivatives of Co(hfac)2(NITPhOMe) and Co(bt)(N3)2 SCMs that exhibit SCM-like behavior, giving us a choice to explore the important role that interchain interaction plays in the construction of SCMs [198b, 207]. In both compounds, the change of radical or the magnetic separator makes the easy axis of the chain nearly or completely parallel to each other and almost perpendicular to the chain. This situation favors ferromagnetic dipolar interchain interaction, resulting in the magnetic ordering state. However, the Glauber dynamics (GD) in low temperature region is still maintained, suggesting that Glauber dynamics is a quite robust regime that can affect the dynamics even in magnetically order state [224]. Although SCM have potential applications as memory devices and record media, their blocking temperatures are still too low at the moment. The first prospective goal in the synthesis of SCM is to raise the blocking temperature. This may get improved by choosing stronger magnetic mediators, using spin carriers such as rare earth ions or 4d and 5d metal ions with stronger spin–orbit

660

L.-M. Zheng et al.

coupling or controlling the alignment of the easy axis of the spin carriers within the chain. The organization of SCMs on metallic substrates could open the way to develop spintronics based on SCMs. Unfortunately, no such work has been reported thus far.

Spintronics Based on Molecule-Based Magnets Molecular spintronics, which combines spintronics and molecular electronics, is an emerging field of research [225, 226]. The advantage of using molecules is that the electronic and magnetic properties of molecules can be manipulated under specific conditions. However, to realize molecular spintronics, it is essential to control the spin and electric conductance of the systems. Molecular magnets provide great potential for such purpose. The SMMs are particularly attractive because they combine the classical macroscale properties of a magnet to the quantum properties of a nanoscale entity and thus are promising for high-density information storage and also for quantum computing [227, 228]. The rich physics behind the magnetic behavior could produce interesting effects. Moreover, specific functions such as switchability with light and electric field, etc. could be directly integrated into the molecule. Therefore these systems remain a step ahead of nonmolecular nanoparticles. Nevertheless, little research has been done on the physicochemical properties or on the fabrication of devices by using SMMs. Many problems need to be solved, which range from the deposition of the SMM monolayers, the stability of the SMMs on metallic surface, the detecting tools of the SMM behavior of the monolayers, the understanding of organic/inorganic interfaces, to the realization of the spintronic devices.

Organizing the Molecular Magnets on Surface Molecular magnets such as SMMs are often intrinsically fragile and redoxsensitive. Small changes in the chemical environment could cause their partial or complete disruption. Therefore, it is essential to deposit the SMMs or other molecular magnets on surfaces with their intrinsic properties that remained. There are several approaches to the deposition of monolayers of magnetic molecules reported: (i) direct deposition of molecules on native surfaces via unspecific molecule–surface interactions, (ii) direct deposition of derivatized molecules on native surfaces through specific molecule–surface interactions, (iii) surface prefunctionalization with chemical groups to dock the molecules, (iv) both molecules and surfaces are functionalized to allow an efficient grafting via either covalent or noncovalent interactions, (v) deposition through thermal evaporation techniques or other evaporation techniques such as pulsed laser deposition, matrixassisted pulsed laser evaporation, and vacuum spraying. Excellent review articles are available that deal with various aspects of the organization of SMMs on surfaces [229–233]. Many of these studies have been devoted to the Mn12 family of SMMs.

17

Low Dimensional Molecular Magnets and Spintronics

661

However, surfaces are reactive species and may undergo chemical interaction with deposited molecules. The anchoring ligands could also provide perturbation when they contain reactive moieties in addition to coordinating groups [233]. It is thus crucial to demonstrate that magnetic molecules remain intact on the surface. The development of efficient characteristic tools to detect magnetic properties of the monolayers of magnetic molecules has been a great challenge. Very recently, the X-ray absorption spectroscopy (XAS) with synchrotron light has been applied to the characterization of SMM monolayers. This technique provides direct information on the oxidation state of the transition metal ion. The XMCD spectra of SMM monolayers could also give the information of the oxidation state of the metal ions. Moreover, XMCD can be used for detecting the magnetic signals arising from layers of a magnetic molecule [233, 234]. Although simple paramagnetic molecules can show surface-induced magnetic ordering and hysteresis when deposited on ferromagnetic surfaces [235], information storage at the molecular level requires molecules exhibiting an intrinsic remnant magnetization like SMMs. Hence, it is necessary to develop molecular memory arrays with the observation of magnetic hysteresis on individual molecules organized on surfaces. The control of the nanoscale organization of these complex systems is required for SMMs to be integrated into molecular spintronic devices [227, 228, 236]. Unfortunately, there are two drawbacks in constructing spin devices based on molecular magnets. The first concern is the poor structural stability of such molecules on the surface. The second is about the magnetic anisotropy. By using XAS and XMCD techniques, it was found that the bound Mn12 molecules are not stable on metallic surfaces with the presence of Mn(II) ions [237]. The magnetic properties of the Mn12 monolayers are thus largely changed compared with their bulk samples. The intrinsic fragility of Mn12 prevents a significant advance in their organization on surfaces [238], although the structural instability could be kept under control by engineering the end groups binding to the substrate. The stability problem has been overcome in a class of propeller-shaped tetranuclear Fe(III) clusters (Fe4). Sessoli and coworkers found that the SMM behavior is indeed observable for the monolayers of Fe4, although not in the case of the widely investigated Mn12 clusters [239]. A major advantage of Fe4 clusters is in the possibility to reinforce and functionalize their molecular structure using tripodal ligands, allowing thermal evaporation processing [240] as well as the preparation of single-molecule devices [241]. It is demonstrated that the tailormade Fe4 complexes retain magnetic hysteresis at gold surfaces, thus can be used for information storage [242]. More interestingly, a preferentially orientated monolayer of Fe4 complexes on a gold surface shows a stepped hysteresis loop resulting from resonant quantum tunneling of the magnetization, detected by using synchrotron-based spectroscopic techniques. These findings prove that the quantum spin dynamics can be observed in SMMs chemically grafted to surfaces and offer a tool to reveal the organization of matter at the nanoscale [243]. SMMs based on lanthanide ions are of great interest due to their large magnetic moment and anisotropy. The [Pc2Ln]n+ molecules especially Pc2Tb have been

662

L.-M. Zheng et al.

grafted on metallic surfaces such as Au [244, 245] and Ag [246]. The Pc2Tb complex bearing a pyrenyl group can also be anchored on single-walled carbon nanotubes (SWNTs) using π–π interactions. The SMM behavior is found to be retained and even improved in the hybrid systems, in contrast to many d-metal clusters on surfaces [247]. The SMM behavior is also retained in Pc2Tb molecules which are self-assembled on highly oriented pyrolytic graphite (HOPG) [248] or on graphene [249]. These results propose the Pc2Tb-SWNT(graphite, graphene) conjugate as a promising constituent unit in magnetic single-molecule measurements using molecular spintronic devices.

Molecular Spin Transistor When a single nano-object is connected to two magnetic electrodes, the spindependent transport is predicted to interplay with single-electron physics, i.e., with the Coulomb blockade in the case of weak coupling between the nano-object and the electrodes to give rise to magneto-Coulomb effects, and with the Kondo effect in the case of strong coupling between the nano-object and the electrodes, giving rise to a peak in the conductance at zero bias that splits in the presence of a magnetic field [250]. Very few experiments on single-molecule transport have been reported so far. One example consists of connecting a magnetic molecule, in particular a high-spin SMM, to two nonmagnetic electrodes through a STM tip and a conducting surface. Another possibility uses the break-junction technique to trap the molecule between two electrodes, acting as current/voltage electrodes, while a third electrode is used as a gate. This last procedure has been recently used to study the electron transport through a Mn12 SMM [237a]. A Coulomb blockade staircase has been observed, which is typical for the weak coupling of the molecule with the electrodes, together with some additional features, which have been tentatively associated with the interaction between the tunneling electrons with the spin states of the molecule. A discussion on the recent progress made in this area, with a particular focus on SMMs, can be found in a review given by Bogani and Wernsdorfer [227]. To avoid the instability of SMMs such as Mn12 on conductive surface, an alternative approach is to insert the SMMs into a tubular conductor. This has been realized in a hybrid material in which Mn12 molecules are encapsulated inside carbon nanotubes (CNT) (Fig. 18). In this case, the SMM functional properties are fully retained, and the molecules undergo a large degree of orientational ordering inside the nanotube. As a result, the electrical conductivity of the nanotube could be precisely controlled by the magnetic states of SMMs. This provides a method for generating spin-polarized currents at the nanoscale, which are important for the emerging area of spintronic devices [251]. By using a double-decker bis(phthalocyaninato)terbium(III) SMM, [TbPc2], a clear Kondo resonance was observed in the dI/dV spectra of the tunneling current of the STM [252]. However, the Kondo effect originated from an unpaired spin (S = 1/2) in a p-orbital of the Pc ligands rather than from the local magnetic

17

Low Dimensional Molecular Magnets and Spintronics

663

Fig. 18 Chemical structure of Mn12Ac SMM and schematic representation of its encapsulation in a carbon nanotube [251].

moment of the Tb(III) ion. When a Pc ligand of a single [TbPc2] is rotated with a current from a STM (i.e., manipulated the spin), the Kondo peak disappeared. This manipulation of the Pc ligand was reversible, thereby making it possible to switch the S = 1/2 spin of [TbPc2] on and off as desired. In this way, we could code information into a single molecule by applying an electric current.

Molecular Spin Valve Conventional magnetoresistive devices are composed of two conducting magnetic layers separated by a nonmagnetic spacer in a sandwich architecture. When the magnetizations of the two magnets are parallel to each other, the device resistance is low, but when their alignment is antiparallel, the resistance is high. In most devices, the active layers are classical magnets such as magnetic transition metal alloys and insulators. The spin-transporting spacers are nonmagnetic organic molecules such as Alq3. However, there is a general lack of control over the magnetic response of the devices through the organic spacers. The possibility to use molecule-based ferromagnets as spin-injection electrodes could solve the problem. Recently Epstein and coworkers demonstrated that the V(TCNE)x (x ~ 2; Tc ~ 400 K) functions well as the ferromagnetic layer in a hybrid magnetic tunnel junction device [253]. Spin injection and detection are realized by using an epitaxial ferromagnetic oxide (LSMO) film as the second magnetic layer and the rubrene as

664

L.-M. Zheng et al.

Fig. 19 (a) Top: atomic force micrograph of the supramolecular spin valve. The single-walled nanotube lies on a SiO2 surface supported by a back gate and is connected to palladium source and drain electrodes. Bottom: scheme of the supramolecular spin-valve architecture (hexyl and pyrenyl groups are omitted for reasons of clarity). (b) Top: schematic and molecular representation of the TbPc2 quantum nanomagnet. Bottom: zero-bias conductance measured as a function of the magnetic field. [254].

the nonmagnetic spacer in the device. The device showed polarized spin injection up to 150 K with a bias field of 0.5 V. Maximum magnetoresistance occurs near 100 K, below which the resistance of V(TCNE)x begins to dominate. An alternative experimental setup proposes to use quantum nanomagnets [227]. Recently, Urdampilleta and coworkers demonstrated for the first time that a single-walled carbon nanotube decorated with TbPc2 single-molecule magnets (Pc = phthalocyanine) can act in just the same way as a conventional spin valve (Fig. 19). Their localized magnetic moments lead to a magnetic field dependence of the electrical transport through the single-walled carbon nanotube, resulting in magnetoresistance ratios up to 300 % at temperatures less than 1 K. The key reason is the surface modification by a magnetic molecule through supramolecular interactions which alter the spin polarization of the current flowing in a nonmagnetic material. It is an extreme surface sensitivity that makes this spin valve work. Analysis of the differential conductance of the SWCNT with the switching field angle and temperature reveals fingerprint-like characteristics of the magnetic molecules exhibiting Ising-like uniaxial anisotropy and quantum tunneling phenomena. This approach differs from previous realizations of carbon-based spin valves and does not imply magnetic leads. Indeed, the spin-dependent transport through this supramolecular spin valve is completely determined by the magnetic properties of the molecular species magnetically coupled to the conducting channel of the CNT. Similar results were recently obtained using graphene nanoconstriction decorated with TbPc2 magnetic molecules. In this case, a magnetoconductivity signal as high

17

Low Dimensional Molecular Magnets and Spintronics

665

as 20 % was found for the spin reversal [249]. These results show the behavior of multiple-field-effect nanotransistors with sensitivity at the single-molecule level.

Conclusions and Perspective In this chapter, we have summarized the structures and magnetic behaviors of a series of SMMs and SCMs. These include (1) SMMs based on metal-oxo clusters such as the prototype Mn12O12 clusters, Mn2 dimers, Mn3 trimers, Mn4 tetramers, Mn6 hexamers, Fe4 and Fe8 clusters, as well as a few Co-O, Ni-O, and V-O clusters; (2) single-ion magnets based on lanthanide monomers and clusters, actinide, and 3D transition metal monomers; and (3) SCMs based on ferromagnetic chains, ferrimagnetic chains, and weak ferromagnetic chains. Useful information such as the energy barriers of these materials has been given in tabular form, which should enable the readers to get a good overview of the field. Unfortunately, rather few SMMs have been used in exploring molecular spintronics so far. Although the investigation of the individual magnetic molecules as spin carriers in spintronic devices is still in its infancy, appealing possibilities are coming into reach for the controlled organization of these molecules on different surfaces, for their electric or magnetic addressing, and for their incorporation into the nanodevice. Great challenges remain, however, to ensure the structural and electronic intactness, to control the interface interaction, and to achieve ordered monolayers over large areas. Considering that there are a large number of molecular magnets reported, the library of the molecules could be largely expanded, besides the prototype Mn12, Fe4, and Pc2Ln SMM systems. In fact, other magnetic bistable systems such as spin crossover and valence tautomerism systems, which are not discussed in this chapter, can also be very attractive. Very recently, a first demonstration of light-induced spin crossover in vacuum-deposited thin films of spin crossover compound was reported [255] with the critical temperature in thin films similar to that of the bulk. The possibility to tune the spin transition with an electric field was demonstrated for valence tautomeric compounds [256]. The interplay between the spin crossover transition and the electron transport properties of a device was also studied by Prins et al. [257] and Meded et al. [258]. Furthermore, the deposition of SCM molecules on surfaces has not been explored so far and would be interesting for future research.

References 1. Sessoli R, Gatteschi D, Caneschi A, Novak MA (1993) Magnetic bistability in a metal-ion cluster. Nature 365:141 2. Caneschi A, Gatteschi D, Lalioti N, Sangregorio C, Sessoli R, Venturi G, Vindigni A, Rettori A, Pini MG, Novak MA (2001) Cobalt(II)-Nitronylnitroxide chains as molecular magnetic nanowires. Angew Chem Int Ed 40:1760 3. Gatteschi D, Sessoli R, Villain J (2006) Molecular nanomagnets. Oxford University Press, Oxford, UK

666

L.-M. Zheng et al.

4. Sorai M, Nakano M, Miyazaki Y (2006) Calorimetric investigation of phase transitions occurring in molecule-based magnets. Chem Rev 106:976–1031 5. Miller JS (2011) Magnetically ordered molecule-based materials. Chem Soc Rev 40:3266–3296 6. Wang XY, Avendano C, Dunbar KR (2011) Molecular magnetic materials based on 4d and 5d transition metals. Chem Soc Rev 40:3213–3238 7. G€utlich P, Goodwin HA (2004) In: G€ utlich P, Goodwin HA (eds) Spin crossover in transition metal compounds. Springer, New York 8. Sato O, Tao J, Zhang YZ (2007) Control of magnetic properties through external stimuli. Angew Chem Int Ed 46:2152–2187 9. Hendrickson DN, Pierpont CG (2004) Valence tautomeric transition metal complexes. Top Curr Chem 234:63 10. Wang S, Ding XH, Zuo JL, You XZ, Huang W (2011) Tricyanometalate molecular chemistry: a type of versatile building blocks for the construction of cyano-bridged molecular architectures. Coord Chem Rev 255(15–16):1713–1732 11. Christou G, Gatteschi D, Hendrickson DN, Sessoli R (2000) Single-molecule magnets. MRS Bull 25:66 12. Nakano M, Oshio H (2011) Magnetic anisotropies in paramagnetic polynuclear metal complexes. Chem Soc Rev 40:3239–3248 13. Gatteschi D, Sessoli R (2003) Quantum tunneling of magnetization and related phenomena in molecular materials. Angew Chem Int Ed 42:268–297 14. Lecren L, Roubeau O, Coulon C, Li YG, Le Goff XF, Wernsdorfer W, Miyasaka H, Cle´rac R (2005) Slow relaxation in a one-dimensional rational assembly of antiferromagnetically coupled [Mn4] single-molecule magnets. J Am Chem Soc 127:17353 15. Leuenberger MN, Loss D (2001) Quantum computing in molecular magnets. Nature 410:789–793 16. Aromı´ G, Brechin EK (2006) Synthesis of 3d metallic single-molecule magnets. Struct Bond 122:1–69 17. Kostakis GE, Ako AM, Powell AK (2010) Structural motifs and topological representation of Mn coordination clusters. Chem Soc Rev 39:2238–2271 18. Tasiopoulos AJ, Vinslava A, Wernsdorfer W, Abboud KA, Christou G (2004) Giant singlemolecule magnets: a {Mn84} torus and its supramolecular nanotubes. Angew Chem Int Ed 43:2117–2121 19. Ako AM, Hewitt IJ, Mereacre V, Clerac R, Wernsdorfer W, Anson CE, Powell AK (2006) A ferromagnetically coupled Mn19 aggregate with a record S = 83/2 ground spin state. Angew Chem Int Ed 45:4926–4929 20. Waldmann O (2007) A criterion for the anisotropy barrier in single-molecule magnets. Inorg Chem 46:10035–10037 21. Bagai R, Christou G (2009) The drosophila of single-molecule magnetism: [Mn12O12(O2CR)16(H2O)4]. Chem Soc Rev 38:1011–1026 22. Milios CJ, Vinslava A, Wernsdorfer W, Moggach S, Parsons S, Perlepes SP, Christou G, Brechin EK (2007) A record anisotropy barrier for a single-molecule magnet. J Am Chem Soc 129:2754–2755 23. Thomas L, Lionti F, Ballou R, Gatteschi D, Sessoli R, Barbara B (1996) Macroscopic quantum tunnelling of magnetization in a single crystal of nanomagnets. Nature 383:145 24. Friedman JR, Sarachik MP, Tejada J, Maciejewski J, Ziolo R (1996) Steps in the hysteresis loops of a high-spin molecule. J Appl Phys 79:6031 25. Wernsdorfer W, Sessoli R (1999) Quantum phase interference and parity effects in magnetic molecular clusters. Science 284:133 26. Sessoli R, Tsai HL, Schake AR, Wang SY, Vincent JB, Folting K, Gatteschi D, Christou G, Hendrickson DN (1993) High-spin molecules: [Mn12O12(O2CR)16(H2O)4]. J Am Chem Soc 115:1804

17

Low Dimensional Molecular Magnets and Spintronics

667

27. Eppley HJ, Tsai HL, Devries N, Folting K, Christou G, Hendrickson DN (1995) High-spin molecules: unusual magnetic susceptibility relaxation effects in [Mn12O12(O2CEt)16(H2O)3] (S = 9) and the one-electron reduction product (PPh4)[Mn12O12(O2CEt)16(H2O)4] (S = 19/2). J Am Chem Soc 117:301 28. Ruiz-Molina D, Gerbier P, Rumberger E, Amabilino DB, Guzei IA, Folting K, Huffman JC, Rheingold A, Christou G, Veciana J, Hendrickson DN (2002) Characterisation of nanoscopic [Mn12O12(O2CR)16(H2O)4] single-molecule magnets: physicochemical properties and LDIand MALDI-TOF mass spectrometry. J Mater Chem 12:1152 29. Aubin SMJ, Sun ZM, Eppley HJ, Rumberger EM, Guzei IA, Folting K, Gantzel PK, Rheingold AL, Christou G, Hendrickson DN (2001) Single-molecule magnets: Jahn-Teller isomerism and the origin of two magnetization relaxation processes in Mn12 complexes. Inorg Chem 40:2127 30. Sun Z, Ruiz D, Dilley NR, Soler M, Ribas J, Folting K, Maple MB, Christou G, Hendrickson DN (1999) The origin of the second relaxation process in the [Mn12O12(O2CR)16(H2O)4] single-molecule magnets: ‘Jahn–Teller isomerism’ in the [Mn12O12] core. Chem Commun 1973–1974 31. Chakov NE, Lee SC, Harter AG, Kuhns PL, Reyes AP, Hill SO, Dalal NS, Wernsdorfer W, Abboud KA, Christou G (2006) The properties of the [Mn12O12(O2CR)16(H2O)4] singlemolecule magnets in truly axial symmetry: [Mn12O12(O2CCH2Br)16(H2O)4]  4CH2Cl2. J Am Chem Soc 128:6975–6989 32. Barra AL, Caneschi A, Cornia A, Gatteschi D, Gorini L, Heiniger LP, Sessoli R, Sorace L (2007) The origin of transverse anisotropy in axially symmetric single molecule magnets. J Am Chem Soc 129:10754 33. (a) Hill S, Anderson N, Wilson A, Takahashi S, Chakov NE, Murugesu M, North JM, Dalal NS, Christou G (2005) A spectroscopic comparison between several high-symmetry S = 10 Mn12 single-molecule magnets. J Appl Phys 97:10M510 (b) Hill S, Anderson N, Wilson A, Takahashi S, Petukhov K, Chakov NE, Murugesu M, North JM, del Barco E, Kent AD, Dalal NS, Christou G (2005) A comparison between high-symmetry Mn12 singlemolecule magnets in different ligand/solvent environments. Polyhedron 24:2284–2292 34. (a) Petukhov K, Hill S, Chakov NE, Abboud KA, Christou G (2004) Evidence for the S = 9 excited state in Mn12-bromoacetate measured by electron paramagnetic resonance. Phys Rev B 70:054426 (b) Wernsdorfer W, Murugesu M, Christou G (2006) Resonant tunneling in truly axial symmetry Mn12 single-molecule magnets: sharp crossover between thermally assisted and pure quantum tunneling. Phys Rev Lett 96:057208 35. Lampropoulos C, Redler G, Data S, Abboud KA, Hill S, Christou G (2010) Binding of higher alcohols onto Mn single-molecule magnets (SMMs): access to the highest barrier Mn12 SMM. Inorg Chem 49:1325 36. Zobbi L, Mannini M, Pacchioni M, Chastanet G, Bonacchi D, Zanardi C, Biagi R, Del Pennino U, Gatteschi D, Cornia A, Sessoli R (2005) Isolated single-molecule magnets on native gold. Chem Commun 1640–1642 37. Bian GQ, Kuroda-Sowa T, Konaka H, Hatano M, Maekawa M, Munakata M, Miyasaka H, Yamashita M (2004) A Mn12 single-molecule magnet [Mn12O12(OAc)12(dpp)4] (dppH = diphenyl phosphate) with no coordinating water molecules. Inorg Chem 43:4790 38. Zhao HH, Berlinguette CP, Bacsa J, Prosvirin AV, Bera JK, Tichy SE, Schelter EJ, Dunbar KR (2004) Structural characterization, magnetic properties, and electrospray mass spectrometry of two Jahn-Teller isomers of the single-molecule magnet [Mn12O12(CF3COO)16(H2O)4]. Inorg Chem 43:1359 39. Chakov NE, Soler M, Wernsdorfer W, Abboud KA, Christou G (2005) Single-molecule magnets: structural characterization, magnetic properties, and F-19 NMR spectroscopy of a Mn12 family spanning three oxidation levels. Inorg Chem 44:5304 40. Chakov NE, Zakharov LN, Rheingold AL, Abboud KA, Christou G (2005) New polynuclear manganese clusters from the use of the hydrophobic carboxylate ligand 2,2-dimethylbutyrate. Inorg Chem 44:4555

668

L.-M. Zheng et al.

41. Lim JM, Do Y, Kim J (2006) Molecular Structures and magnetism of Mn12 nanomagnets containing the 3-thiophenecarboxylate ligand. Eur J Inorg Chem 711 42. Soler M, Artus P, Folting K, Huffman JC, Hendrickson DN, Christou G (2001) Singlemolecule magnets: preparation and properties of mixed-carboxylate complexes [Mn12O12(O2CR)8(O2CR0 )8(H2O)4]. Inorg Chem 40:4902 43. Pacchioni M, Cornia A, Fabretti AC, Zobbi L, Bonacchi D, Caneschi A, Chastanet G, Gatteschi D, Sessoli R (2004) Site-specific ligation of anthracene-1,8-dicarboxylates to an Mn12 core: a route to the controlled functionalisation of single-molecule magnets. Chem Commun 2604 44. Artus P, Boskovic C, Yoo J, Streib WE, Brunel LC, Hendrickson DN, Christou G (2001) Single-molecule magnets: site-specific ligand abstraction from [Mn12O12(O2CR)16(H2O)4] and the preparation and properties of [Mn12O12(NO3)4(O2CCH2But)12(H2O)4]. Inorg Chem 40:4199 45. Chakov NE, Wernsdorfer W, Abboud KA, Hendrickson DN, Christou G (2003) Singlemolecule magnets. A Mn12 complex with mixed carboxylate-sulfonate ligation: [Mn12O12(O2CMe)8(O3SPh)8(H2O)4]. Dalton Trans 2243 46. Forment-Aliaga A, Coronado E, Feliz M, Gaita-Arino A, Llusar R, Romero FM (2003) Cationic Mn12 single-molecule magnets and their polyoxometalate hybrid salts. Inorg Chem 42:8019 47. Tasiopoulos AJ, Wernsdorfer W, Abboud K, Christou G (2004) A Reductive-aggregation route to [Mn12O12(OMe)2(O2CPh)16(H2O)2]2: single-molecule magnets related to the [Mn12] family. Angew Chem Int Ed 43:6338 48. Tasiopoulos AJ, Wernsdorfer W, Abboud KA, Christou G (2005) [Mn12O12(OMe)2(O2CPh)16(H2O)2]2 single-molecule magnets and other manganese compounds from a reductive aggregation procedure. Inorg Chem 44:6324 49. Aubin SMJ, Sun ZM, Pardi L, Krzystek J, Folting K, Brunel LC, Rheingold AL, Christou G, Hendrickson DN (1999) Reduced anionic Mn12 molecules with half-integer ground states as single-molecule magnets. Inorg Chem 38:5329 50. Takeda K, Awaga K (1997) Magnetic properties of (m-MPYNN+)  [Mn12O12(O2CPh)16(H2O)4] : enhancement of magnetic relaxation in the Mnl2 cluster caused by the organic radical. Phys Rev B 56:14560 51. Bagai R, Christou G (2007) A fourth isolated oxidation level of the [Mn12O12(O2CR)16(H2O)4] family of single-molecule magnets. Inorg Chem 46:10810 52. Kuroda-Sowa T, Lam M, Rheingold AL, Frommen C, Reiff WM, Nakano M, Yoo J, Maniero AL, Brunel LC, Christou G, Hendrickson DN (2001) Effects of paramagnetic ferrocenium cations on the magnetic properties of the anionic single-molecule magnet [Mn12O12(O2CC6F5)16(H2O)4]. Inorg Chem 40:6469 53. Soler M, Wernsdorfer W, Abboud KA, Huffman JC, Davidson ER, Hendrickson DN, Christou G (2003) Single-molecule magnets: two-electron reduced version of a Mn12 complex and environmental influences on the magnetization relaxation of (PPh4)2[Mn12O12(O2CCHCl2)16(H2O)4]. J Am Chem Soc 125:3576 54. Soler M, Chandra SK, Ruiz D, Davidson ER, Hendrickson DN, Christou G (2000) A third isolated oxidation state for the Mn12 family of single-molecule magnets. Chem Commun 2417 55. Coronado E, Forment-Aliaga A, Gaita-Arino A, Gimenez-Saiz C, Romero FM, Wernsdorfer W (2004) Polycationic Mn12 single-molecule magnets as electron reservoirs with S > 10 ground states. Angew Chem Int Ed 43:6152 56. Clemente-Juan JM, Forment-Aliaga A, Coronado E, Gaita-Arino A, Gimenez-Saiz C, Romero FM, Wernsdorfer W, Biagi R, Corradini V (2010) Electronic and magnetic study of polycationic Mn12 single-molecule magnets with a ground spin state S = 11. Inorg Chem 49:386 57. Miyasaka H, Cle´rac R, Wernsdorfer W, Lecren L, Bonhomme C, Sugiura K, Yamashita M (2004) A dimeric manganese(iii) tetradentate Schiff base complex as a single-molecule magnet. Angew Chem Int Ed 43:2801–2805

17

Low Dimensional Molecular Magnets and Spintronics

669

58. Lu Z-L, Yuan M, Pan F, Gao S, Zhang D-Q, Zhu D-B (2006) Syntheses, crystal structures, and magnetic characterization of five new dimeric manganese(III) tetradentate Schiff base complexes exhibiting single-molecule-magnet behavior. Inorg Chem 45:3538–3548 59. Lecren L, Wernsdorfer W, Li YG, Vindigni A, Miyasaka H, Cle´rac R (2007) One-dimensional supramolecular organization of single-molecule magnets. J Am Chem Soc 129:5045–5051 60. Kachi-Terajima C, Miyasaka H, Sugiura K, Cle´rac R, Nojiri H (2006) From an ST = 3 singlemolecule magnet to diamagnetic ground state depending on the molecular packing of MnIII salen-type dimers decorated by N, N0 -dicyano-1,4-naphthoquinonediiminate radicals. Inorg Chem 45:4381–4390 61. Miyasaka H, Nezu T, Sugimoto K, Sugiura K, Yamashita M, Cle´rac R (2005) [MnIII2 (5-Rsaltmen)2NiII(pao)2(L)]2+: an ST = 3 building block for a single-chain magnet that behaves as a single-molecule magnet. Chem Eur J 11:1592–1602 62. Kachi-Terajima C, Miyasaka H, Saitoh A, Shirakawa N, Yamashita M, Cle´rac R (2007) Single-molecule magnet behavior in heterometallic MII  MnIII2  MII tetramers (MII = Cu, Ni) containing MnIII Salen-type dinuclear core. Inorg Chem 46:5861–5872 63. Scott RTW, Parsons S, Murugesu M, Wernsdorfer W, Christou G, Brechin EK (2005) Synthesis, structure and magnetic properties of a trinuclear [MnIIIMnII2] single-molecule magnet. Chem Commun 2083–2085 64. Vincent JB, Chang HR, Folting K, Huffman JC, Christou G, Hendrickson DN (1987) Preparation and physical properties of trinuclear oxo-centered manganese complexes of the general formulation [Mn3O(O2CR)6 L3]0,+ (R = Me or Ph, L = A neutral donor group) and and [Mn3O the crystal structures of [Mn3O(O2CMe)6(PyR)3](PyR) (O2CPh)6(PyR)2(H2O)].0.5MeCN. J Am Chem Soc 109:5703–5711, and references therein 65. Jones LF, Rajaraman G, Brockman J, Murugesu M, Sanudo CE, Raftery J, Teat SJ, Wernsdorfer W, Christou G, Brechin EK, Collison D (2004) New routes to polymetallic clusters: fluoride-based tri-, deca-, and hexaicosametallic MnIII clusters and their magnetic properties. Chem Eur J 10:5180 66. Stamatatos TC, Foguet-Albiol D, Stoumpos CC, Raptopoulou CP, Terzis A, Wernsdorfer W, Perlepes SP, Christou G (2005) Initial example of a triangular single-molecule magnet from ligand-induced structural distortion of a [MnIII3O]7+ complex. J Am Chem Soc 127:15380–15381 67. Stamatatos TC, Foguet-Albiol D, Lee SC, Stoumpos CC, Raptopoulou CP, Terzis A, Wernsdorfer W, Hill SO, Perlepes SP, Christou G (2007) “Switching On” the properties of single-molecule magnetism in triangular manganese(III) complexes. J Am Chem Soc 129:9484 68. Yang CI, Wernsdorfer W, Cheng KH, Nakano M, Lee GH, Tsai HL (2008) A [MnIII3O]7+ single-molecule magnet: the anisotropy barrier enhanced by structural distortion. Inorg Chem 47:10184–10186 69. Inglis R, Taylor SM, Jones LF, Papaefstathiou GS, Perlepes SP, Datta S, Hill S, Wernsdorfer W, Brechin EK (2009) Twisting, bending, stretching: strategies for making ferromagnetic [MnIII3] triangles. Dalton Trans 9157–9168 70. Inglis R, Jones LF, Mason K, Collins A, Moggach SA, Parsons S, Perlepes SP, Wernsdorfer W, Brechin EK (2008) Ground spin state changes and 3D networks of exchange coupled [MnIII3] single-molecule magnets. Chem Eur J 14:9117–9121 71. Inglis R, Jones LF, Karotsis G, Collins A, Parsons S, Perlepes SP, Wernsdorfer W, Brechin EK (2008) Enhancing SMM properties via axial distortion of [MnIII3] clusters. Chem Commun 5924–5926 72. Feng PL, Koo C, Henderson JJ, Nakano M, Hill S, del Barco E, Hendrickson DN (2008) Single-molecule-magnet behavior and spin changes affected by crystal packing effects. Inorg Chem 47:8610–8612 73. Feng PL, Koo C, Henderson JJ, Manning P, Nakano M, del Barco E, Hill S, Hendrickson DN (2009) Nanomodulation of molecular nanomagnets. Inorg Chem 48:3480–3492

670

L.-M. Zheng et al.

74. Aubin SMJ, Wemple MW, Adams DM, Tsai HL, Christou G, Hendrickson DN (1996) Distorted MnIVMnIII3 cubane complexes as single-molecule magnets. J Am Chem Soc 118:7746 75. Aubin SMJ, Dilley NR, Pardi L, Krzystek J, Wemple MW, Brunel LC, Maple MB, Christou G, Hendrickson DN (1998) Resonant magnetization tunneling in the trigonal pyramidal MnIVMnIII3 complex [Mn4O3Cl(O2CCH3)3(dbm)3]. J Am Chem Soc 120:4991 76. Kramers HA (1930) Proc R Acad Sci Amst 33:959 77. Wernsdorfer W, Aliaga-Alcalde N, Hendrickson DN, Christou G (2002) Exchange-biased quantum tunnelling in a supramolecular dimer of single-molecule magnets. Nature 416:406 78. Brechin EK, Yoo J, Nakano M, Huffman JC, Hendrickson DN, Christou G (1999) A new class of single-molecule magnets: mixed-valent [Mn4(O2CMe)2(Hpdm)6][ClO4]2 with an S = 8 ground state. Chem Commun 783 79. Yoo J, Brechin EK, Yamaguchi A, Nakano M, Huffman JC, Maniero AL, Brunel LC, Awaga K, Ishimoto H, Christou G, Hendrickson DN (2000) Single-molecule magnets: a new class of tetranuclear manganese magnets. Inorg Chem 39:3615 80. Yoo J, Yamaguchi A, Nakano M, Krzystek J, Streib WE, Brunel LC, Ishimoto H, Christou G, Hendrickson DN (2001) Mixed-valence tetranuclear manganese single-molecule magnets. Inorg Chem 40:4604 81. Lecren L, Wernsdorfer W, Li YG, Roubeau O, Miyasaka H, Clerac R (2005) Quantum tunneling and quantum phase interference in a [MnII2MnIII2] single-molecule magnet. J Am Chem Soc 127:11311–11317 82. Lecren L, Roubeau O, Li YG, Le Goff XF, Miyasaka H, Richard F, Wernsdorfer W, Coulon C, Clerac R (2008) One-dimensional coordination polymers of antiferromagnetically-coupled [Mn4] single-molecule magnets. Dalton Trans 755 83. Miyasaka H, Nakata K, Lecren L, Coulon C, Nakazawa Y, Fijisaki T, Sugiura K-I, Yamashita M, Cle´rac R (2006) Two-dimensional networks based on Mn4 complex linked by dicyanamide anion: from single-molecule magnet to classical magnet behavior. J Am Chem Soc 128:3770–3783 84. Hiraga H, Miyasaka H, Nakata K, Kajiwara T, Takaichi S, Oshima Y, Nojiri H, Yamashita M (2007) Hybrid molecular material exhibiting single-molecule magnet behavior and molecular conductivity. Inorg Chem 46:9661–9671 85. Morimoto M, Miyasaka H, Yamashita M, Irie M (2009) Coordination assemblies of [Mn4] single-molecule magnets linked by photochromic ligands: photochemical control of the magnetic properties. J Am Chem Soc 131:9823 86. Wittick LM, Murray KS, Moubaraki B, Batten SR, Spiccia L, Berry KJ (2004) Synthesis, structure and magnetism of new single molecule magnets composed of MnII2MnIII2 alkoxocarboxylate bridged clusters capped by triethanolamine ligands. Dalton Trans 1003 87. Foguet-Albiol D, O’Brien TA, Wernsdorfer W, Moulton B, Zaworotko MJ, Abboud KA, Christou G (2005) DFT computational rationalization of an unusual spin ground state in an Mn12 single-molecule magnet with a low-symmetry loop structure. Angew Chem Int Ed 44:897–901 88. Ako AM, Mereacre V, Hewitt IJ, Clerac R, Lecren L, Anson CE, Powell AK (2006) Enhancing single molecule magnet parameters. Synthesis, crystal structures and magnetic properties of mixed-valent Mn4 SMMs. J Mater Chem 16:2579 89. Heroux KJ, Rheingold AL, Hendrickson DN (2009) Ferrocene-substituted [Mn4] dicubane single-molecule magnets. Eur J Inorg Chem 3541 90. Heroux KJ, Quddusi HM, Liu J, O’Brien JR, Nakano M, del Barco E, Hill S, Hendrickson DN (2011) Cationic Mn4 single-molecule magnet with a sterically isolated core. Inorg Chem 50:7367–7369 91. Taylor SM, Karotsis G, McIntosh RD, Kennedy S, Teat SJ, Beavers CM, Wernsdorfer W, Piligkos S, Dalgarno SJ, Brechin EK (2011) A family of calix[4]arene-supported [MnIII2MnII2] clusters. Chem Eur J 17:7521

17

Low Dimensional Molecular Magnets and Spintronics

671

92. Li D, Wang H, Wang S, Pan Y, Li C, Dou J, Song Y (2010) A linear tetranuclear singlemolecule magnet of MnII2MnIII2 with the anion of 2-(hydroxymethyl)pyridine. Inorg Chem 49:3688 93. Boskovic C, Bircher R, Tregenna-Piggott PLW, Gudel HU, Paulsen C, Wernsdorfer W, Barra AL, Khatsko E, Neels A, Stoeckli-Evans H (2003) Ferromagnetic and antiferromagnetic intermolecular interactions in a new family of Mn4 complexes with an energy barrier to magnetization reversal. J Am Chem Soc 125:14046 94. Milios CJ, Raptopoulou CP, Terzis A, Lloret F, Vicente R, Perlepes SP, Escuer A (2004) Hexanuclear manganese(III) single-molecule magnets. Angew Chem Int Ed 43:210 95. Milios CJ, Inglis R, Vinslava A, Bagai R, Wernsdorfer W, Parsons S, Perlepes SP, Christou G, Brechin EK (2007) Toward a magnetostructural correlation for a family of Mn6 SMMs. J Am Chem Soc 129:12505 96. Inglis R, Jones LF, Milios CJ, Datta S, Collins A, Parsons S, Wernsdorfer W, Hill S, Perlepes SP, Piligkos S, Brechin EK (2009) Attempting to understand (and control) the relationship between structure and magnetism in an extended family of Mn6 single-molecule magnets. Dalton Trans 3403–3412 97. Moro F, Corradini V, Evangelisti M, De Renzi V, Biagi R, del Pennino U, Milios CJ, Jones LF, Brechin EK (2008) Grafting derivatives of Mn6 single-molecule magnets with high anisotropy energy barrier on Au(111) surface. J Phys Chem B 112:9729 98. Milios CJ, Vinslava A, Wood PA, Parsons S, Wernsdorfer W, Christou G, Perlepes SP, Brechin EK (2007) A single-molecule magnet with a “twist”. J Am Chem Soc 129:8 99. Jones LF, Cochrane ME, Koivisto BD, Leigh DA, Perlepes SP, Wernsdorfer W, Brechin EK (2008) Tuning magnetic properties using targeted structural distortion: New additions to a family of Mn6 single-molecule magnets. Inorg Chim Acta 361:3420 100. Jones LF, Inglis R, Cochrane ME, Mason K, Collins A, Parsons S, Perlepes SP, Brechin EK (2008) New structural types and different oxidation levels in the family of Mn6-oxime singlemolecule magnets. Dalton Trans 6205 101. Haryono M, Kalisz M, Sibille R, Lescouezec R, Fave C, Trippe-Allard G, Li Y, Seuleiman M, Rousseliere H, Balkhy AM, Lacroix J-C, Journaux Y (2010) One dimensional assembly of Mn6 single molecule magnets linked by oligothiophene bridges. Dalton Trans 39:4751 102. Delfs C, Gatteschi D, Pardi L, Sessoli R, Wieghardt K, Hade D (1993) Magnetic properties of an octanuclear iron(III) cation. Inorg Chem 32:3099–3103 103. (a) Gatteschi D, Sessoli R, Cornia A (2000) Single-molecule magnets based on iron(III) oxo clusters. Chem Commun 725–732 (b) Wernsdorfer W, Sessoli R, Caneschi A, Gatteschi D, Cornia A (2000) Nonadiabatic Landau-Zener tunneling in Fe8 molecular nanomagnets. Europhys Lett 50:552–558 104. Sangregorio C, Ohm T, Paulsen C, Sessoli R, Gatteschi D (1997) Quantum tunneling of the magnetization in an iron cluster nanomagnet. Phys Rev Lett 78:4645–4648 105. Barra AL, Caneschi A, Cornia A, de Biani FF, Gatteschi D, Sangregorio C, Sessoli R, Sorace L (1999) Single-molecule magnet behavior of a tetranuclear iron(III) complex. The origin of slow magnetic relaxation in iron(III) clusters. J Am Chem Soc 121:5302 106. Cornia A, Fabretti AC, Garrisi P, Mortalo C, Bonacchi D, Gatteschi D, Sessoli R, Sorace L, Wernsdorfer W, Barra A-L (2004) Energy-barrier enhancement by ligand substitution in tetrairon(iii) single-molecule magnets. Angew Chem Int Ed 43:1136–1136 107. Accorsi S, Barra AL, Caneschi A, Chastanet G, Cornia A, Fabretti AC, Gatteschi D, Mortalo C, Olivieri E, Parenti F, Rosa P, Sessoli R, Sorace L, Wernsdorfer W, Zobbi L (2006) Tuning anisotropy barriers in a family of tetrairon(III) single-molecule magnets with an S = 5 ground state. J Am Chem Soc 128:4742–4755 108. Barra AL, Bianchi F, Caneschi A, Cornia A, Gatteschi D, Gorini L, Gregoli L, Maffini M, Parenti F, Sessoli R, Sorace L, Talarico AM (2007) New single-molecule magnets by sitespecific substitution: incorporation of “alligator clips” into Fe4 complexes. Eur J Inorg Chem 4145–4152

672

L.-M. Zheng et al.

109. Gregoli L, Danieli C, Barra AL, Neugebauer P, Pellegrino G, Poneti G, Sessoli R, Cornia A (2009) Magnetostructural correlations in tetrairon(III) single-molecule magnets. Chem Eur J 15:6456–6467 110. Condorelli GG, Motta A, Pellegrino G, Cornia A, Gorini L, Fragala IL, Sangregorio C, Sorace L (2008) Site-specific anchoring of tetrairon(III) single molecule magnets on functionalized Si(100) surfaces. Chem Mater 20:2405–2411 111. Bogani L, Danieli C, Biavardi E, Bendiab N, Barra AL, Dalcanale E, Wernsdorfer W, Cornia A (2009) Single-molecule-magnet carbon-nanotube hybrids. Angew Chem Int Ed 48:746–750 112. Rodriguez-Douton MJ, Cornia A, Sessoli R, Sorace L, Barra AL (2010) Introduction of ester and amido functions in tetrairon(III) single-molecule magnets: synthesis and physical characterization. Dalton Trans 39:5851–5859 113. Tancini E, Rodriguez-Douton MJ, Sorace L, Barra AL, Sessoli R, Cornia A (2010) Slow magnetic relaxation from hard-axis metal ions in tetranuclear single-molecule magnets. Chem Eur J 16:10482–10493 114. Zhu YY, Guo X, Cui C, Wang BW, Wang ZM, Gao S (2011) An enantiopure FeIII4 singlemolecule magnet. Chem Commun 47:8049–8051 115. Powell GW, Lancashire HN, Brechin EK, Collison D, Heath SL, Mallah T, Wernsdorfer W (2004) Building molecular minerals: all ferric pieces of molecular magnetite. Angew Chem Int Ed 43:5772–5775 116. Bagai R, Wernsdorfer W, Abboud KA, Christou G (2007) Exchange-biased dimers of singlemolecule magnets in OFF and ON states. J Am Chem Soc 129:12918–12919 117. Jones LF, Brechin EK, Collison D, Helliwell M, Mallah T, Piligkos S, Rajaraman G, Wernsdorfer W (2003) A novel undecametallic iron(III) cluster with an S = 11/2 spin ground state. Inorg Chem 42:6601–6603 118. Ako AM, Mereacre V, Lan Y, Wernsdorfer W, Clerac R, Anson CE, Powell AK (2010) An undecanuclear FeIII single-molecule magnet. Inorg Chem 49:1–3 119. Murugesu M, Clerac R, Wernsdorfer W, Anson CE, Powell AK (2005) Hierarchical assembly of {Fe13} oxygen-bridged clusters into a close-packed superstructure. Angew Chem Int Ed 44:6678–6682 120. Goodwin JC, Sessoli R, Gatteschi D, Wernsdorfer W, Powell AK, Heath SL (2000) Towards nanostructured arrays of single molecule magnets: new Fe19 oxyhydroxide clusters displaying high ground state spins and hysteresis. J Chem Soc Dalton Trans 1835–1840 121. (a) Oshio H, Hoshino N, Ito T (2000) Superparamagnetic behavior in an alkoxo-bridged iron (II) cube. J Am Chem Soc 122:12602–12603 (b) Oshio H, Hoshino N, Ito T, Nakano M (2004) Single-molecule magnets of ferrous cubes: structurally controlled magnetic anisotropy. J Am Chem Soc 126:8805–8812 122. Boudalis AK, Donnadieu B, Nastopoulos V, Clemente-Juan JM, Mari A, Sanakis Y, Tuchagues JP, Perlepes SP (2004) A nonanuclear iron(ii) single-molecule magnet. Angew Chem Int Ed 43:2266–2270 123. Yang EC, Hendrickson DN, Wernsdorfer W, Nakano M, Zhakarov LN, Sommer RD, Rheingold AL, Ledezma-Gairaud M, Christou G (2002) Cobalt single-molecule magnet. J Appl Phys 91:7382–7384 124. Murrie M, Teat SJ, Stoeckli-Evans H, G€ udel HU (2003) Synthesis and characterization of a cobalt(II) single-molecule magnet. Angew Chem Int Ed 42:4653–4656 125. Galloway KW, Whyte AM, Wernsdorfer W, Sanchez-Benitez J, Kamenev KV, Parkin A, Peacock RD, Murrie M (2008) Cobalt(II) citrate cubane single-molecule magnet. Inorg Chem 47:7438–7442 126. Moubaraki B, Murray KS, Hudson TA, Robson R (2008) Tetranuclear and octanuclear cobalt (II) citrate cluster single molecule magnets. Eur J Inorg Chem 2008:4525–4529 127. Zeng MH, Yao MX, Liang H, Zhang WX, Chen XM (2007) A Single-molecule-magnetic, cubane-based, triangular Co12 supercluster. Angew Chem Int Ed 46:1832–1835

17

Low Dimensional Molecular Magnets and Spintronics

673

128. Zhou YL, Zeng MH, Liu XC, Liang H, Kurmoo M (2011) Exploring the effect of metal ions and counteranions on the structure and magnetic properties of five dodecanuclear CoII and NiII clusters. Chem Eur J 17:14084–14093 129. Wu D, Guo D, Song Y, Huang W, Duan C, Meng Q, Sato O (2009) CoII molecular square with single-molecule magnet properties. Inorg Chem 48:854–860 130. Ibrahim M, Lan Y, Bassil BS, Xiang Y, Suchopar A, Powell AK, Kortz U (2011) Hexadecacobalt(II)-containing polyoxometalate-based single-molecule magnet. Angew Chem Int Ed 50:4708–4711 131. Klower F, Lan Y, Nehrkorn J, Waldmann O, Anson CE, Powell AK (2009) Modelling the magnetic behaviour of square-pyramidal CoII5 aggregates: tuning SMM behaviour through variations in the ligand shell. Chem Eur J 15:7413–7422 132. Chen Q, Zeng MH, Zhou YL, Zou HH, Kurmoo M (2010) Hydrogen-bonded dicubane CoII7 single-molecule-magnet coordinated by in situ solvothermally generated 1,2-bis (8-hydroxyquinolin-2-yl)-ethane-1,2-diol arranged in a trefoil. Chem Mater 22:2114–2119 133. Yoshihara D, Karasawa S, Koga N (2008) Cyclic single-molecule magnet in heterospin system. J Am Chem Soc 130:10460–10461 134. Kanegawa S, Karasawa S, Maeyama M, Nakano M, Koga N (2008) Crystal design of monometallic single-molecule magnets consisting of cobalt-aminoxyl heterospins. J Am Chem Soc 130:3079–3094 135. Karasawa S, Yoshihara D, Watanabe N, Nakano M, Koga N (2008) Formation of monometallic single-molecule magnets with an Stotal value of 3/2 in diluted frozen solution. Dalton Trans 1418–1420 136. Kanegawa S, Karasawa S, Nakano M, Koga N (2004) Magnetic behavior of tetrakis[4-(Ntert-butyl-N-oxylamino)pyridine]bis(isocyanato-N)cobalt(II) in frozen solution. Chem Commun 1750–1751 137. Karasawa S, Zhou GY, Morikawa H, Koga N (2003) Magnetic properties of tetrakis [4-(alpha-diazobenzyl)pyridine]bis(thiocyanato-N)cobalt(II) in frozen solution after irradiation. Formation of a single-molecule magnet in frozen solution. J Am Chem Soc 125:13676–13677 138. Tobinaga H, Suehiro M, Ito T, Zhou G, Karasawa S, Koga N (2007) Magnetic property of 1:2 mixture of Co( p-tolsal)2; p-tolsal = N-p-tolylsalicylideniminato, and cyclic pentacarbenepyridine with S = 10/2 in dilute frozen solution. Polyhedron 26:1905–1911 139. Ferguson A, Lawrence J, Parkin A, Sanchez-Benitez J, Kamenev KV, Brechin EK, Wernsdorfer W, Hill S, Murrie M (2008) Synthesis and characterisation of a Ni4 singlemolecule magnet with S4 symmetry. Dalton Trans 6409–6414 140. Yang EC, Wernsdorfer W, Zakharov LN, Karaki Y, Yamaguchi A, Isidro RM, Lu GD, Wilson SA, Rheingold AL, Ishimoto H, Hendrickson DN (2006) Fast magnetization tunneling in tetranickel(II) single-molecule magnets. Inorg Chem 45:529–546 141. Cadiou C, Murrie M, Paulsen C, Villar V, Wernsdorfer W, Winpenny REP (2001) Studies of a nickel-based single molecule magnet: resonant quantum tunnelling in an S = 12 molecule. Chem Commun 2666–2667 142. Andres H, Basler R, Blake AJ, Cadiou C, Chaboussant G, Grant CM, G€ udel HU, Murrie M, Parsons S, Paulsen C, Semadini F, Villar V, Wernsdorfer W, Winpenny REP (2002) Studies of a nickel-based single-molecule magnet. Chem Eur J 8:4867 143. Bell A, Aromı´G TSJ, Wernsdorfer W, Winpenny REP (2005) Synthesis and characterisation of a {Ni8} single molecule magnet and another octanuclear nickel cage. Chem Commun 2808–2810 144. Aromı´ G, Parsons S, Wernsdorfer W, Brechin EK, McInnes EJL (2005) Synthesis, structure and magnetic properties of a decametallic Ni single-molecule magnet. Chem Commun 40:5038–5040 145. Ochsenbein ST, Murrie M, Rusanov E, Stoeckli-Evans H, Sekine C, G€ udel HU (2002) Synthesis, structure, and magnetic properties of the single-molecule magnet [Ni21(cit)12(OH)10(H2O)10]16. Inorg Chem 41:5133–5140

674

L.-M. Zheng et al.

146. Sun Z, Grant CM, Castro SL, Hendrickson DN, Christou G (1998) Single-molecule magnets: out-of-phase ac susceptibility signals from tetranuclear vanadium(III) complexes with an S = 3 ground state. Chem Commun 6:721 147. Giraud R, Wernsdorfer W et al (2001) Nuclear spin driven quantum relaxation in LiY0.998Ho0.002 F4. Phys Rev Lett 87(5):057203 148. Koike N, Uekusa H et al (1996) Relationship between the skew angle and interplanar distance in four bis(phthalocyaninato)lanthanide(III) tetrabutylammonium salts ([NBun4][LnIIIPc2]; Ln = Nd, Gd, Ho, Lu). Inorg Chem 35(20):5798–5804 149. Ishikawa N, Sugita M, Ishikawa T, Koshihara S-y, Kaizu Y (2003) Lanthanide double-decker complexes functioning as magnets at the single-molecular level. J Am Chem Soc 125:8694–8695 150. Ishikawa N, Sugita M, Wernsdorfer W (2005) Nuclear spin driven quantum tunneling of magnetization in a new lanthanide single-molecule magnet: bis(phthalocyaninato)holmium anion. J Am Chem Soc 127(11):3650–3651 151. Ishikawa N, Sugita M et al (2004) Mononuclear lanthanide complexes with a long magnetization relaxation time at high temperatures: a new category of magnets at the singlemolecular level. J Phys Chem B 108(31):11265–11271 152. Gonidec M, Davies ES et al (2010) Probing the magnetic properties of three interconvertible redox states of a single-molecule magnet with magnetic circular dichroism spectroscopy. J Am Chem Soc 132(6):1756–1757 153. Gonidec M, Luis F, Vı´lchez A, Esquena J, Amabilino DB, Veciana J (2010) A liquidcrystalline single-molecule magnet with variable magnetic properties. Angew Chem Int Ed 49(9):1623–1626 154. Ishikawa N, Sugita M et al (2005) Quantum tunneling of magnetization in lanthanide singlemolecule magnets: bis(phthalocyaninato)terbium and bis(phthalocyaninato)dysprosium anions. Angew Chem Int Ed 44(19):2931–2935 155. Ishikawa N, Sugita M, Tanaka N, Ishikawa T, Koshihara S-y, Kaizu Y (2004) Upward temperature shift of the intrinsic phase lag of the magnetization of bis (phthalocyaninato)terbium by ligand oxidation creating an S = 1/2 spin. Inorg Chem 43:5498–5500 156. Takamatsu S, Ishikawa T, Koshihara S-y, Ishikawa N (2007) Significant increase of the barrier energy for magnetization reversal of a single-4f-ionic single-molecule magnet by a longitudinal contraction of the coordination space. Inorg Chem 46:7250–7252 157. Ishikawa N, Mizuno Y, Takamatsu S, Ishikawa T, Koshihara S-Y (2008) Effects of chemically induced contraction of a coordination polyhedron on the dynamical magnetism of bis (phthalocyaninato)dysprosium, a single-4f-ionic single-molecule magnet with a Kramers ground state. Inorg Chem 47:10217–10219 158. AlDamen MA, Clemente-Juan JM, Coronado E, Martı´-Gastaldo C, Gaita-Arin˜o A (2008) Mononuclear lanthanide single-molecule magnets based on polyoxometalates. J Am Chem Soc 130(28):8874–8875 159. AlDamen MA, Cardona-Serra S, Clemente-Juan JM, Coronado E, Gaita-Arin˜o A, Mart´ı-Gastaldo C, Luis F, Montero O (2009) Mononuclear lanthanide single molecule magnets based on the polyoxometalates [Ln(W5O18)2]9 and [Ln(β2-SiW11O39)2]13 (LnIII = Tb, Dy, Ho, Er, Tm, and Yb). Inorg Chem 48:3467–3479 160. Li DP, Wang TW, Li CH, Liu DS, Li YZ, You XZ (2010) Single-ion magnets based on mononuclear lanthanide complexes with chiral Schiff base ligands [Ln(FTA)3 L] (Ln = Sm, Eu, Gd, Tb and Dy). Chem Commun 46(17):2929–2931 161. Li DP, Zhang XP et al (2011) Distinct magnetic dynamic behavior for two polymorphs of the same Dy(III) complex. Chem Commun 47(24):6867–6869 162. Jiang SD, Wang BW et al (2010) A mononuclear dysprosium complex featuring singlemolecule-magnet behavior. Angew Chem Int Ed 49(41):7448–7451 163. Bi Y, Guo YN et al (2011) Capping ligand perturbed slow magnetic relaxation in dysprosium single-ion magnets. Chem Eur J 17(44):12476–12481

17

Low Dimensional Molecular Magnets and Spintronics

675

164. Watanabe A, Yamashita A et al (2011) Multi-path magnetic relaxation of mono-dysprosium (III) single-molecule magnet with extremely high barrier. Chem Eur J 17(27):7428–7432 165. Feltham HLC, Lan Y, Klo¨wer F, Ungur L, Chibotaru LF, Powell AK, Brooker S (2011) A non-sandwiched macrocyclic monolanthanide single-molecule magnet: the key role of axiality. Chem Eur J 17(16):4362–4365 166. Yamashita A, Watanabe A, Akine S, Nabeshima T, Nakano M, Yamamura T, Kajiwara T (2011) Wheel-shaped ErIIIZnII3 single-molecule magnet: a macrocyclic approach to designing magnetic anisotropy. Angew Chem Int Ed 50(17):4016–4019 167. Jiang SD, Wang BW et al (2011) An organometallic single-ion magnet. J Am Chem Soc 133 (13):4730–4733 168. Jeletic M, Lin PH, Le Roy JJ, Korobkov I, Gorelsky SI, Murugesu M (2011) An organometallic sandwich lanthanide single-ion magnet with an unusual multiple relaxation mechanism. J Am Chem Soc 133:19286–19289 169. Car P-E, Perfetti M, Mannini M, Favre A, Caneschi A, Sessoli R (2011) Giant field dependence of the low temperature relaxation of the magnetization in a dysprosium(III)DOTA complex. Chem Commun 47 (13): 3751–3753 170. (a) Long J, Habib F, Lin PH, Korobkov I, Enright G, Ungur L, Wernsdorfer W, Chibotaru LF, Murugesu M (2011) Single-molecule magnet behavior for an antiferromagnetically superexchange-coupled dinuclear dysprosium(III) complex. J Am Chem Soc 133 (14):5319–5328 (b) Habib F, Lin PH, Long J, Korobkov I, Wernsdorfer W, Murugesu M (2011) The use of magnetic dilution to elucidate the slow magnetic relaxation effects of a Dy2 single-molecule magnet. J Am Chem Soc 133:8830–8833 171. Guo YN, Xu GF, Wernsdorfer W, Ungur L, Guo Y, Tang J, Zhang HJ, Chibotaru LF, Powell AK (2011) Strong axiality and Ising exchange interaction suppress zero-field tunneling of magnetization of an asymmetric Dy2 single-molecule magnet. J Am Chem Soc 133 (31):11948–11951 172. Rinehart JD, Fang M, Evans WJ, Long JR (2011) Strong exchange and magnetic blocking in N32 radical-bridged lanthanide complexes. Nat Chem 3(7):538–542 173. Rinehart JD, Fang M, Evans WJ, Long JR (2011) A N32 radical-bridged terbium complex exhibiting magnetic hysteresis at 14 K. J Am Chem Soc 133(36):14236–14239 174. Tang J, Hewitt I, Madhu NT, Chastanet G, Wernsdorfer W, Anson CE, Benelli C, Sessoli R, Powell AK (2006) Dysprosium triangles showing single-molecule magnet behavior of thermally excited spin states. Angew Chem Int Ed 45(11):1729–1733 175. Luzon J, Bernot K et al (2008) Spin chirality in a molecular dysprosium triangle: the archetype of the noncollinear ising model. Phys Rev Lett 100(24):247205 176. Salman Z, Giblin SR, et al (2010) Probing the magnetic ground state of the molecular dysprosium triangle with muon spin relaxation. Phys Rev B 82(17):174427 177. Chibotaru LF, Ungur L, Soncini A (2008) The origin of nonmagnetic Kramers doublets in the ground state of dysprosium triangles: evidence for a toroidal magnetic moment. Angew Chem Int Ed 47(22):4126–4129 178. Ungur L, Van den Heuvel W, Chibotaru LF (2009) Ab initio investigation of the non-collinear magnetic structure and the lowest magnetic excitations in dysprosium triangles. New J Chem 33(6):1224–1230 179. Hewitt IJ, Tang J, Madhu NT, Anson CE, Lan Y, Luzon J, Etienne M, Sessoli R, Powell AK (2010) Coupling Dy3 triangles enhances their slow magnetic relaxation. Angew Chem Int Ed 49(36):6352–6356 180. Blagg RJ, Muryn CA, McInnes EJL, Tuna F, Winpenny REP (2011) Single pyramid magnets: Dy5 pyramids with slow magnetic relaxation to 40 K. Angew Chem Int Ed 50(29):6530–6533 181. Antunes MA, Pereira LCJ et al (2011) [U(TpMe2)2(bipy)]+: a cationic uranium(III) complex with single-molecule-magnet behavior. Inorg Chem 50(20):9915–9917 182. Mazzanti M (2011) Molecular magnetism: uranium memory. Nat Chem 3(6):426–427 183. Rinehart JD, Long JR (2009) Slow magnetic relaxation in a trigonal prismatic uranium(III) complex. J Am Chem Soc 131(35):12558–12559

676

L.-M. Zheng et al.

184. Magnani N, Apostolidis C et al (2011) Magnetic memory effect in a transuranic mononuclear complex. Angew Chem Int Ed 50(7):1696–1698 185. Rinehart JD, Meihaus KR et al (2010) Observation of a secondary slow relaxation process for the field-induced single-molecule magnet U(H2BPz2)3. J Am Chem Soc 132(22):7572–7573 186. Meihaus KR, Rinehart JD et al (2011) Dilution-induced slow magnetic relaxation and anomalous hysteresis in trigonal prismatic dysprosium(III) and uranium(III) complexes. Inorg Chem 50(17):8484–8489 187. Freedman DE, Harman WH et al (2010) Slow magnetic relaxation in a high-spin iron (II) complex. J Am Chem Soc 132(4):1224–1225 188. Harman WH, Harris TD et al (2010) Slow magnetic relaxation in a family of trigonal pyramidal iron(II) pyrrolide complexes. J Am Chem Soc 132(51):18115–18126 189. Lin PH, Smythe NC et al (2011) Importance of out-of-state spin-orbit coupling for slow magnetic relaxation in mononuclear FeII complexes. J Am Chem Soc 133(40):15806–15809 190. Jurca T, Farghal A et al (2011) Single-molecule magnet behavior with a single metal center enhanced through peripheral ligand modifications. J Am Chem Soc 133(40):15814–15817 191. (a) Coulon C, Miyasaka H, Clerac R (2006) Single-chain magnets: theoretical approach and experimental systems. Struct Bond 122:163–206 (b) Lescouezec R, Toma LM, Vaissermann J, Verdaguer M, Delgado FS, Ruiz-Perez C, Lloret F, Julve M (2005) Design of single chain magnets through cyanide-bearing six-coordinate complexes. Coord Chem Rev 249:2691 192. Bogani L, Vindigni A, Sessolia R, Gatteschi D (2008) Single chain magnets: where to from here? J Mater Chem 18:4750–4758 193. Sun HL, Wang ZM, Gao S (2010) Strategies towards single-chain magnets. Coord Chem Rev 254:1081–1100 194. Morrish AH (1966) The physical principles of magnetism. Wiley, New York 195. Villain J, Hartmann-Boutron F, Sessoli R, Rettori A (1994) Magnetic relaxation in big magnetic molecules. Europhys Lett 27:159 196. Glauber RJ (1963) Time-dependent statistics of Ising model. J Math Phys 4:294–307 197. (a) Kahn O (1993) Molecular magnetism. VCH, New York (b) Carlin RL (1986) Magnetochemistry. Springer-Verlag: New York 198. (a) Liu TF, Fu D, Gao S, Zhang YZ, Sun HL, Su G, Liu YJ (2003) An azide-bridged homospin single-chain magnet: [Co(2,20 -bithiazoline)(N3)2]n. J Am Chem Soc 125:13976–13977 (b) Sun HL, Wang ZM, Gao S (2009) [M(N3)2(H2O)2]  bpeado: unusual antiferromagnetic Heisenberg chain (M = Mn) and ferromagnetic Ising chain (M = Co) with large coercivity and magnetic relaxation (bpeado = 1,2-Bis(4-pyridyl)ethane-N,N0 -dioxide). Chem Eur J 15:1757–1764 (c) Li ZX, Zeng YF, Ma H, Bu XH (2010) Homospin single-chain magnet with 1D ferromagnetic azido-cobalt Ising-type chain. Chem Commun 46:8540–8542 (d) Wang YQ, Sun WW, Wang ZD, Jia QX, Gao EQ, Song Y (2011) Solvent-modulated slow magnetic relaxation in a two-dimensional compound composed of cobalt(II) single-chain magnets. Chem Commun 47:6386–6388 (e) Zhang XM, Wang YQ, Wang K, Gao EQ, Liu CM (2011) Metamagnetism and slow magnetic dynamics in an antiferromagnet composed of cobalt(II) chains with mixed azide-carboxylate bridges. Chem Commun 47:1815–1817 (f) Zhao JP, Hu BW, Zhang XF, Yang Q, Fallah MSE, Ribas J, Bu XH (2010) One pot synthesis of heterometallic 3d-3d azide coordination architectures: effect of the single-ion anisotropy. Inorg Chem 49:11325–11332 199. (a) Chang F, Gao S, Sun HL, Hou YL, Su G, (2002) Proceeding of the ICSM 2002 Conference (June 29–July 5th 2002), Fudan University, Shanghai, p 182 (b) Lescouzec R, Vaissermann J, Ruiz-Perez C, Lloret F, Carrasco R, Julve M, Verdaguer M, Dromzee Y, Gatteschi D, Wernsdorfer W (2003) Cyanide-bridged iron(III)-cobalt(II) double zigzag ferromagnetic chains: two new molecular magnetic nanowires. Angew Chem Int Ed 42:1483–1486 (c) Wang S, Zuo JL, Gao S, Song Y, Zhou HC, Zhang YZ, You XZ (2004) The observation of superparamagnetic behavior in molecular nanowires. J Am Chem Soc 126:8900–8901 (d) Ferbinteanu M, Miyasaka H, Wernsdorfer W, Nakata K, Sugiura K,

17

Low Dimensional Molecular Magnets and Spintronics

677

Yamashita M, Coulon C, Clerac R (2005) Single-chain magnet (NEt4)[Mn2(5-MeOsalen)2Fe (CN)6] made of MnIII–FeIII–MnIII trinuclear single-molecule magnet with an ST = 9/2 spin ground state. J Am Chem Soc 127:3090–3099 (e) Guo JF, Wang XT, Wang BW, Gao S, Szeto L, Wong WT, Wong WY, Lau TC (2010) One-dimensional ferromagnetically coupled bimetallic chains constructed with trans-[Ru(acac)2(CN)2]: syntheses, structures, magnetic properties, and density functional theoretical study. Chem Eur J 16:3524–3535 (f) Hoshino N, Sekine Y, Nihei M, Oshio H (2010) Achiral single molecule magnet and chiral single chain magnet. Chem Commun 46:6117–6119 (g) Zhang D, Zhang LF, Chen Y, Wang H, Ni ZH, Wernsdorfer W, Jiang J (2010) Heterobimetallic porphyrin-based single-chain magnet constructed from manganese(III)-porphyrin and trans-dicyanobis(acetylacetonato) ruthenate (III) containing co-crystallized bulk anions and cations. Chem Commun 46:3550–3552 (h) Liu T, Zhang YJ, Kanegawa S, Sato O (2010) Photoinduced metal-to-metal charge transfer toward single-chain magnet. J Am Chem Soc 132:8250–8251 200. Coronado E, Galan-Mascaros JR, Martı´-Gastaldo C (2008) Single chain magnets based on the oxalate ligand. J Am Chem Soc 130:14987–14989 201. Zheng YZ, Tong ML, Zhang WX, Chen XM (2006) Assembling magnetic nanowires into networks: a layered CoII carboxylate coordination polymer exhibiting single-chain-magnet behavior. Angew Chem Int Ed 45:6310–6314 202. Clerac R, Miyasaka H, Yamashita M, Coulon C (2002) Evidence for single-chain magnet behavior in a MnIII–NiII chain designed with high spin magnetic units: a route to high temperature metastable magnets. J Am Chem Soc 124:12837–12844 203. Shaikh N, Panja A, Goswami S, Banerjee P, Vojtisek P, Zhang YZ, Su G, Gao S (2004) Slow magnetic relaxation in a mixed-valence Mn(II/III) complex: [MnII2(bispicen)2(μ3  Cl)2MnIII(Cl4Cat)2MnIII(Cl4Cat)2(H2O2)]1. Inorg Chem 43:849–851 204. Stamatatos TC, Abboud KA, Wernsdorfer W, Christou G (2009) {Mn6}n single-chain magnet bearing azides and di-2-pyridylketone-derived ligands. Inorg Chem 48:807–809 205. (a) Ruiz E, Cano J, Alvarez S, Alemany P (1998) Magnetic coupling in end-on azido-bridged transition metal complexes: a density functional study. J Am Chem Soc 120:11122–11129 (b) Ribas J, Escuer A, Monfort M, Vicente R, Cortes R, Lezama L, Rojo T (1999) Polynuclear NiII and MnII azido bridging complexes. Structural trends and magnetic behavior. Coord Chem Rev 193–195:1027–1068 206. (a) Cole KS, Cole RHJ (1941) Chem Phys 9:341 (b) Boettcher CJF (1952) Theory of electric polarization. Elsevier, Amsterdam 207. Ishii N, Okamura Y, Chiba S, Nogami T, Ishida T (2008) Giant coercivity in a one-dimensional cobalt-radical coordination magnet. J Am Chem Soc 130:24–25 208. Miyasaka H, Madanbashi T, Sugimoto K, Nakazawa Y, Wernsdorfer W, Sugiura K, Yamashita M, Coulon C, Clerac R (2006) Single-chain magnet behavior in an alternated one-dimensional assembly of a MnIII Schiff-base complex and a TCNQ radical. Chem Eur J 12:7028–7040 209. Pardo E, Ruiz-Garcia R, Lloret F, Faus J, Julve M, Journaux Y, Delgado FS, Ruiz-Perez C (2004) Cobalt(II)-copper(II) bimetallic chains as a new class of single-chain magnets. Adv Mater 16:1597–1600 210. Miyasaka H, Takayama K, Saitoh A, Furukawa S, Yamashita M, Cle´rac R (2010) Threedimensional antiferromagnetic order of single-chain magnets: a new approach to design molecule-based magnets. Chem Eur J 16:3656–3662 211. (a) Choi SW, Kwak HY, Yoon JH, Kim HC, Koh EK, Hong CS (2008) Intermolecular contact-tuned magnetic nature in one-dimensional 3d-5d bimetallic systems: from a metamagnet to a single-chain magnet. Inorg Chem 47:10214–10216 (b) Yoon JH, Lee JW, Ryu DW, Choi SY, Yoon SW, Suh BJ, Koh EK, Kim HC, Hong CS (2011) Cyanide-bridged WVMnIII single-chain magnet with isolated MnIII moieties exhibiting two types of relaxation dynamics. Inorg Chem 50:11306–11308 (c) Mitsumoto K, Ui M, Nihei M, Nishikawa H, Oshio H (2010) Single chain magnet of a cyanide bridged FeII/FeIII complex. CrystEngComm 12:2697–2699

678

L.-M. Zheng et al.

212. Kajiwara T, Nakano M, Kaneko Y, Takaishi S, Ito T, Yamashita M, Igashira-Kamiyama A, Nojiri H, Ono Y, Kojima N (2005) A single-chain magnet formed by a twisted arrangement of ions with easy-plane magnetic anisotropy. J Am Chem Soc 127:10150–10151 213. Li XJ, Wang XY, Gao S, Cao R (2006) Two three-dimensional metal-organic frameworks containing one-dimensional hydroxyl/carboxylate mixed bridged metal chains: Syntheses, crystal structures, and magnetic properties. Inorg Chem 45:1508–1516 214. Yang CI, Hung SP, Lee GH, Nakano M, Tsai HL (2010) Slow magnetic relaxation in an octanuclear manganese chain. Inorg Chem 49:7617–7619 215. Yang CI, Tsai YJ, Hung SP, Tsai HL, Nakano M (2010) A manganese single-chain magnet exhibits a large magnetic coercivity. Chem Commun 46:5716–5718 216. Caneschi A, Gatteschi D, Lalioti N, Sessoli R, Sorace L, Tangoulis V, Vindigni A (2002) Ising-type magnetic anisotropy in a cobalt(II) nitronyl nitroxide compound: a key to understanding the formation of molecular magnetic nanowires. Eur J Chem A 8:286–292 217. (a) Sun ZM, Prosvirin AV, Zhao HH, Mao JG, Dunbar KR (2005) New type of single chain magnet based on spin canting in an antiferromagnetically coupled Co(II) chain. J Appl Phys 97:10B305 (b) Palii AV, Ostrovsky SM, Klokishner SI, Reu OS, Sun ZM, Prosvirin AV, Zhao HH, Mao JG, Dunbar KR (2006) Origin of the single chain magnet behavior of the Co (H2L)(H2O) compound with a 1D structure. J Phys Chem A 110:14003–14012 (c) Palii AV, Reu OS, Ostrovsky SM, Klokishner SI, Tsukerblat BS, Sun ZM, Mao JG, Prosvirin AV, Zhao HH, Dunbar KR (2008) A highly anisotropic cobalt(II)-based single-chain magnet: exploration of spin canting in an antiferromagnetic array. J Am Chem Soc 130:14729–14738 218. Bernot K, Luzon J, Sessoli R, Vindigni A, Thion J, Richeter S, Leclercq D, Larionova J, Lee A (2008) The canted antiferromagnetic approach to single-chain magnets. J Am Chem Soc 130:1619–1627 219. Ouellette W, Prosvirin AV, Whitenack K, Dunbar KR, Zubieta J (2009) A thermally and hydrolytically stable microporous framework exhibiting single-chain magnetism: structure and properties of [Co2(H0.67bdt)3]  20H2O. Angew Chem Int Ed 48:2140–2143 220. (a) Xu HB, Wang BW, Pan F, Wang ZM, Gao S (2007) Stringing oxo-centered trinuclear MnIII3O units into single-chain magnets with formate or azide linkers. Angew Chem Int Ed 46:7388–7392 (b) Bai YL, Tao J, Wernsdorfer W, Sato O, Huang RB, Zheng LS (2006) Coexistence of magnetization relaxation and dielectric relaxation in a single-chain magnet. J Am Chem Soc 128:16428–16429 221. Yoon JH, Lee JW, Ryu DW, Yoon SW, Suh BJ, Kim HC, Hong CS (2011) One-dimensional end-to-end azide-bridged MnIII complexes incorporating alkali metal ions: slow magnetic relaxations and metamagnetism. Chem Eur J 17:3028–3034 222. (a) Bogani L, Sangregorio C, Sessoli R, Gatteschi D (2005) Molecular engineering for singlechain-magnet behavior in a one-dimensional dysprosium-nitronyl nitroxide compound. Angew Chem Int Ed 44:5817–5821 (b) Bogani L, Cavigli L, Bernot K, Sessoli R, Guriolib M, Gatteschi D (2006) Evidence of intermolecular pi-stacking enhancement of second-harmonic generation in a family of single chain magnets. J Mater Chem 16:2587–2592 223. Yang CI, Chuang PH, Lu KL (2011) Slow magnetic relaxation in a cobalt magnetic chain. Chem Commun 47:4445–4447 224. Sessoli R (2008) Record hard magnets: Glauber dynamics are key. Angew Chem Int Ed 47:5508–5510 225. Rocha AR, Garcı´a-Suárez VM, Bailey SW, Lambert CJ (2005) Towards molecular spintronics. Nat Mater 4:335–339 226. Wolf SA, Awschalom DD, Buhrman RA, Daughton JM, von Molnár S, Roukes ML, Chtchelkanova AY, Treger DM (2001) Spintronics: a spin-based electronics vision for the future. Science 294:1488–1495 227. Bogani L, Wernsdorfer W (2008) Molecular spintronics using single-molecule magnets. Nat Mater 7:179–186

17

Low Dimensional Molecular Magnets and Spintronics

679

228. Katoh K, Isshiki H, Komeda T, Yamashita M (2012) Molecular spintronics based on singlemolecule magnets composed of multiple-decker phthalocyaninato terbium(III) complex. Chem Asian J. 7:1154–1169 229. Cavallini M, Facchini M, Albonetti C, Biscarini F (2008) Single molecule magnets: from thin films to nano-patterns. Phys Chem Chem Phys 10:784–793 230. Cornia A, Costantino AF, Zobbi L, Caneschi A, Gatteschi D, Mannini M, Sessoli R (2006) Preparation of novel materials using SMMs. Struct Bond 122:133–161 231. Coronado E, Marti-Gastaldo C, Tatay S (2007) Magnetic molecular nanostructures: design of magnetic molecular materials as monolayers, multilayers and thin films. Appl Surf Sci 254:225–235 232. Gomez-Segura J, Veciana J, Ruiz-Molina D (2007) Advances on the nanostructuration of magnetic molecules on surfaces: the case of single-molecule magnets (SMM). Chem Commun 3699–3707 233. Gatteschi D, Cornia A, Mannini M, Sessoli R (2009) Organizing and addressing magnetic molecules. Inorg Chem 48:3408–3419 234. Cornia A, Mannini M, Sainctavit P, Sessoli R (2011) Chemical strategies and characterization tools for the organization of single molecule magnets on surfaces. Chem Soc Rev 40:3076–3091, and references therein 235. Wende H et al (2007) Substrate-induced magnetic ordering and switching of iron porphyrin molecules. Nat Mater 6:516–520 236. Saywell A, Magnano G, Satterley CJ, Perdiga˜o LMA, Britton AJ, Taleb N, del Carmen Gime´nez-Lo´pez M, Champness NR, O’Shea JN, Beton PH (2010) Self-assembled aggregates formed by single-molecule magnets on a gold surface. Nat Commun 1:75 237. (a) Heersche HB, de Groot Z, Folk JA, van der Zant HSJ, Romeike C, Wegewijs MR, Zobbi L, Barreca D, Tondello E, Cornia A (2006) Electron transport through single Mn12 molecular magnets. Phys Rev Lett 96:206801 (b) Jo MH et al (2006) Signatures of molecular magnetism in single-molecule transport spectroscopy. Nano Lett 6:2014–2020 238. Mannini M et al (2008) XAS and XMCD investigation of Mn12 monolayers on gold. Chem Eur J 14:7530–7535 239. Mannini M, Pineider F, Sainctavit P, Joly L, Fraile-Rodrı´guez A, Arrio M-A, dit Moulin CC, Wernsdorfer W, Cornia A, Gatteschi D, Sessoli R (2009) X-ray magnetic circular dichroism picks out single-molecule magnets suitable for nanodevices. Adv Mater 21:167–171 240. Margheriti L et al (2009) Thermal deposition of intact tetrairon(III) single-molecule magnets in high-vacuum conditions. Small 5:1460–1466 241. Zyazin AS et al (2010) Electric field controlled magnetic anisotropy in a single molecule. Nano Lett 10:3307–3311 242. Mannini M et al (2009) Magnetic memory of a single-molecule quantum magnet wired to a gold surface. Nat Mater 8:194–197 243. Mannini M, Pineider F, Danieli C, Totti F, Sorace L, Sainctavit P, Arrio M-A, Otero E, Joly L, Cezar JC, Cornia A, Sessoli R (2010) Quantum tunnelling of the magnetization in a monolayer of oriented single-molecule magnets. Nature 468:417 244. Katoh K, Yoshida Y, Yamashita M, Miyasaka H, Breedlove BK, Kajiwara T, Takaishi S, Ishikawa N, Isshiki H, Zhang YF, Komeda T, Yamagishi M, Takeya J (2009) Direct observation of lanthanide(III)-phthalocyanine molecules on Au(111) by using scanning tunneling microscopy and scanning tunneling spectroscopy and thin-film field-effect transistor properties of Tb(III)- and Dy(III)-phthalocyanine molecules. J Am Chem Soc 131:9967–9976 245. Katoh K, Komeda T, Yamashita M (2010) Surface morphologies, electronic structures, and Kondo effect of lanthanide(III)-phthalocyanine molecules on Au(111) by using STM, STS and FET properties for next generation devices. Dalton Trans 39:4708–4723 246. Toader M, Knupfer M, Zahn DRT, Hietschold M (2011) Initial growth of lutetium(III) bis-phthalocyanine on Ag(111) surface. J Am Chem Soc 133:5538–5544

680

L.-M. Zheng et al.

247. Kyatskaya S, Mascaro´s JRG, Bogani L, Hennrich F, Kappes M, Wernsdorfer W, Ruben M (2009) Anchoring of rare-earth-based single-molecule magnets on single-walled carbon nanotubes. J Am Chem Soc 131:15143–15151 248. Gonidec M, Biagi R, Corradini V, Moro F, De Renzi V, del Pennino U, Summa D, Muccioli L, Zannoni C, Amabilino DB, Veciana J (2011) Surface supramolecular organization of a terbium(III) double-decker complex on graphite and its single molecule magnet behavior. J Am Chem Soc 133:6603–6612 249. Candini A, Klyatskaya S, Ruben M, Wernsdorfer W, Affronte M (2011) Graphene spintronic devices with molecular nanomagnets. Nano Lett 11:2634–2639 250. Camarero J, Coronado E (2009) Molecular vs. inorganic spintronics: the role of molecular materials and single molecules. J Mater Chem 19:1678–1684 251. del Carmen Gime´nez-Lo´pez M, Moro F, La Torre A, Go´mez-Garcı´a CJ, Brown PD, van Slageren J, Khlobystov AN (2011) Encapsulation of single-molecule magnets in carbon nanotubes. Nat Commun 2:407 252. Komeda T, Isshiki H, Liu J, Zhang YF, Lorente N, Katoh K, Breedlove BK, Yamashita M (2011) Observation and electric current control of a local spin in a single-molecule magnet. Nat Commun 2:217 253. Yoo JW, Chen CY, Jang HW, Bark CW, Prigodin VN, Eom CB, Epstein AJ (2010) Spin injection/detection using an organic-based magnetic semiconductor. Nat Mater 9:638 254. Urdampilleta M, Klyatskaya S, Cleuziou J-P, Ruben M, Wernsdorfer W (2011) Supramolecular spin valves. Nat Mater 10(7):502–506 255. Naggert H, Bannwarth A, Chemnitz S, von Hofe T, Quandt E, Tuczek F (2011) First observation of light-induced spin change in vacuum deposited thin films of iron spin crossover complexes. Dalton Trans 40:6364 256. Droghetti A, Sanvito S (2011) Electric field control of valence tautomeric interconversion in cobalt dioxolene. Phys Rev Lett 107:047201 257. Prins F, Monrabal-Capilla M, Osorio EA, Coronado E, van der Zant HSJ (2011) Roomtemperature electrical addressing of a bistable spin-crossover molecular system. Adv Mater 23:1545 258. Meded V, Bagrets A, Fink K, Chandrasekar R, Ruben M, Evers F, Bernand-Mantel A, Seldenthuis JS, Beukman A, van der Zant HSJ (2011) Electrical control over the Fe(II) spin crossover in a single molecule: theory and experiment. Phys Rev B 83:245415

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

18

A. Anane, B. Dlubak, Hiroshi Idzuchi, H. Jaffres, M.-B. Martin, Y. Otani, P. Seneor, and Albert Fert

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Review of Experimental Results on Spin Transport in Metallic CNT . . . . . . . . . . . . . . . . . . . . . . . . Review of Experimental Results on Spin Transport in Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Analysis of Experimental Results on CNT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Analysis of Experimental Results on Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Dirac Fermions and Spin Transport in Graphene . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary and Perspective . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

682 683 687 694 694 698 699 702 703 704

A. Anane • B. Dlubak • H. Jaffres • M.-B. Martin • P. Seneor • A. Fert (*) Unite´ Mixte de Physique CNRS/Thales, Palaiseau, France Universite´ Paris Sud, Orsay, France e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected] H. Idzuchi Center for Emergent Matter Science, RIKEN, 2-1 Hirosawa, Wako, Japan e-mail: [email protected] Y. Otani Center for Emergent Matter Science, RIKEN, 2-1 Hirosawa, Wako, Japan Institute for Solid State Physics, University of Tokyo, Kashiwa, Japan e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_27

681

682

A. Anane et al.

Abstract

Carbon Nanotubes and graphene are attractive for spintronics as a long spin lifetime can be expected from the small spin-orbit interaction in carbon and the absence of nuclear spins for the main isotope. A second interest comes from their sensitivity to proximity effects that can be used to introduce local magnetic or spin-orbit interactions for the manipulation of spin currents. In this review, written in 2012 and updated in 2015, we have mainly discussed the problems of spin lifetime and spin diffusion length rather than those of magnetism and spin-orbit more recently investigated. For graphene the experimental spin lifetimes and spin diffusion lengths can be relatively long (typically above 1 ns and 10 μm) if the conduction channel is protected from external influences and separated from the electrodes by large contact resistances. List of Abbreviations

AP BLG BN CMOS CNT CVD DP EG EY FLG GMR ITRS LSMO LSV MLEG MLG MR MWCNT NP P RT SO SWNT

Antiparallel Bilayer graphene Boron nitride Complementary metal-oxide-semiconductor Carbon nanotube Chemical vapor deposition Dyakonov-Perel Epitaxial graphene Elliot-Yafet Few-layer graphene GIANT magnetoresistance international technology roadmap for semiconductors La0.7Sr0.3MnO3 Lateral spin valve Multilayer epitaxial graphene Multilayer graphene Magnetoresistance Multiwall carbon nanotube Neutrality point Parallel Room temperature Spin-orbite Singlewall nanotube

Introduction Carbon nanotubes (CNT) and graphene exhibit fascinating electronics properties and are very promising for paradigmatic new types of nanoelectronics devices [1–6]. For spintronics, another general advantage of carbon-based conductors is the long spin lifetime expected from the small spin–orbit coupling of carbon atom

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

683

and the absence of nuclear spins for the main isotope. For CNT and graphene, as we will see, the combination of a long spin lifetime with the large electron velocity related to their linear dispersion relation can lead to very long spin diffusion lengths in the 100 μm range. This is a unique advantage for several concepts of spintronic devices, particularly for the implementation of logic circuits based on the propagation and manipulation of pure spin currents. A large part of the present chapter will be devoted to the presentation of experiments demonstrating the potential of CNTs or graphene for spin transport to long distance without significant spin relaxation. A second important character of CNT and graphene is also the flexibility of their electronic properties. Single layers of carbon, as well as the few carbon layers of a multiwall CNT (MWCNT) or a multilayer graphene (MLG), are very sensitive to interactions with adatoms and adjacent materials, which introduce multiple ways to tune the electronic properties. Similarly, the electronic properties of narrow ribbons of graphene can be modified by edge effects which, in some cases, can induce magnetic states, spindependent electronic transport, and metal-insulator transitions. Although, up to now, these spin effects induced by adatoms, interfaces, or edges have been more frequently studied in theoretical papers than really explored by experimentalists, they open fascinating roads for the development of carbon-based spintronic devices. In sections “Review of Experimental Results on Spin Transport in Metallic CNT” and “Review of Experimental Results on Spin Transport in Graphene” we present a review of experimental results on spin transport in CNT (Section “Review of Experimental Results on Spin Transport in Metallic CNT”) and graphene (Section “Review of Experimental Results on Spin Transport in Graphene”). In section “Dirac Fermions and Spin Transport in Graphene,” we present the general equations governing spin transport in carbon nanotubes and graphene, and we apply these equations to the analysis of experimental results. In section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene,” we describe several types of more specific spin transport effects related to the Dirac fermion character of the carriers in graphene. In section “Summary and Conclusion,” we conclude by presenting the next challenges on the road and the promising prospects of carbon-based spintronic devices in the “beyond-CMOS” perspective.

Review of Experimental Results on Spin Transport in Metallic CNT Spin transport experiments on CNT started at the end of the nineties with measurements of the magnetoresistance ratio (MR) of CNT connected to ferromagnetic electrodes. The magnetoresistance ratio is defined as MR = (RAP – RP)/RP where RAP and RP are the resistances, respectively, for antiparallel (approximately at low field) and parallel orientations of the magnetizations of the two electrodes. In 1999, Tsukagoshi et al. [7] studied the magnetoresistance (MR) of a multiwall CNT (MWCNT) between Co electrodes distant by about 1 μm and found MR ratios up

684

A. Anane et al.

Fig. 1 MR curves at T = 1.85 K for a multiwall nanotube (MWNT) at different gate voltages Vg from Sahoo et al. [10]. The oscillations of the sign and amplitude of the MR are due to quantization effects, as discussed in Ref. [10]. Inset: Electron microscopy image of the MWNT contacted to PdNi electrodes

to 9 % at 4.2 K for one of the samples. Similar results were also obtained by Zhao et al. [8]. The MR ratios of these early experiments are smaller than the maximum values (see section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene”) expected from the spin injection from cobalt, but it is not possible to state whether the reduction comes from spin relaxation in the CNT (length longer than the spin diffusion length) or from spin losses at imperfect interfaces. The next stage included experiments with a gate voltage applied to the CNT. At low temperature, Jensen et al. [9] could observe the oscillations of the conductance as a function of the gate voltage which are the characteristic signature of the level quantization in the CNT. With SWNT between Fe or GaMnAs magnetic electrodes, they find a “large diversity of sign and magnitude of the MR,” large diversity probably due to the difficulty of reproducing the same quality of the interfaces for all the samples. In samples composed of MWNT and SWNT between PdNi magnetic electrodes, Sahoo et al. [10] found changes of the sign of the MR as function of the gate voltage; see Fig. 1. The oscillation period of the MR is much larger than the period of the conductance oscillations expected from the usual spinindependent energy quantization in CNTs. They could explain the oscillations of the MR by the spin splitting of the energy levels induced by the interfaces with the magnetic electrodes. The low temperature experiments exploiting the level

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

a

c

Local measurement + V −

− F2

F1

200 nm

70 nm

90 nm

200 nm

200 nm

d 20

13.4

15

150 nm

70 nm

200 nm 90 nm

200 nm

I=30nA

10 Rnon-loc(Ω)

13.2 Rloc(kΩ)

F4

F3

F2 100 nm

b 13.6

13.0 12.8

5 0 −5

12.6 12.4

Non-local measurement + V

F1

200 nm

150 nm

100 nm

F4

F3

685

−10 −150 −100 −50

0 50 100 150 B(mT)

−15

−150 −100 −50

0 50 100 150 B(mT)

Fig. 2 (a) Geometry of a “local” measurement (conventional MR) on a SWNT contacted to Co electrodes and (b) corresponding spin signal Rloc at T = 4.2 K. (c) Geometry of a “nonlocal” measurement on a SWNT contacted to Co electrodes and (d) corresponding spin signal Rloc from Tombros et al. [11]. Rloc and Rloc are defined as the ratio (VAP – VP)/I where VAP and VP are the voltages V for the AP and P magnetic configurations of the F2 and F3 electrodes

quantization in CNTs are beyond the main scope of this chapter that is focused on classical spin transport in the perspective of using CNTs (or graphene) in spintronics. A large number of more recent experiments on CNTs have been performed for the investigation of their potential for spin transport over long distances, that is, on the determination of the spin diffusion lengths and spin relaxation mechanisms. These experiments are generally performed in lateral spin valves (LSV) similar to those represented in Fig. 2. Tunnel barriers can be inserted between the magnetic electrodes and the CNT. The spin signal can be derived from voltage measurements between the magnetic source and the magnetic drain for parallel (P) and antiparallel (AP) magnetic configurations, ΔRL = (VAP – VP)/I, as in MR experiments. Equivalently, a “nonlocal” voltage measurement between additional electrodes (as illustrated in Fig. 2b from Tombros et al. [11]) yields a nonlocal spin signal which, in certain conditions, is half the local signal between the source and the drain (see section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene” for the general theoretical treatment). The application of a magnetic field induces spin precessions and changes the spin signals by the so-called Hanle effect.

686

A. Anane et al.

Fig. 3 Spin transport measurements (local signals) on MWNTs (Adapted from Hueso et al. [13]). (a) Electron microscope image of a MWNT between LSMO electrodes. (b) Schematic side view of the device (c). (d) Examples of MR experimental results at 5 K. The resistance R of the device is predominantly due to the tunnel resistances of the LSMO/CNT interfaces and its change between the P and AP magnetic configuration of the LSMO electrodesis about 72 % (c) or 60 % (d)

In Fig. 2, we show typical examples of local and nonlocal signals found by Tombros et al. [11] for a SWNT with Co electrodes (without tunnel junctions). The local signal corresponds to a MR ratio of 6 %, and the nonlocal signal is not 50 % of the local one but only a few % of it. It is difficult to state whether the local signal is enhanced by spurious effects or if the nonlocal one is accidentally reduced. MR ratios in the same range have been recently found for CNT between Permalloy electrodes [12]. In Fig. 3, we show the much larger MR ratios, ranging between 53 % and 72 %, found by Hueso et al. [13] on a series of devices composed of a single MWNT connected to La0.7Sr0.3MnO3 (LSMO) electrodes. The very large resistance of the devices, in the 10 MΩ range, comes predominantly from the existence of tunnel barriers between the CNT and the LSMO electrodes (as at most interfaces between LSMO and metals [14]), so that the resistance change between the P and AP configurations, also in the 10 MΩ range, cannot be due to some variation of the considerably smaller electrode or CNT resistances. It can be seen as the MR of a device composed of two tunnel junctions T1 and T2 with electrons propagating almost without spin flip between T1 and T2. From the analysis of the magnitude of the MR and its dependence on the interfacial tunnel resistance (see section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene”), it is possible to derive spin lifetimes and spin diffusion lengths of the CNTs that are, at least, in the 20 ns and 30 μm range. For spintronic devices, it opens an interesting perspective not only for CNT but also for graphene in which similar long spin lifetimes and long spin diffusion lengths can be expected.

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

687

Review of Experimental Results on Spin Transport in Graphene The first spin transport experiment in graphene was performed in 2006 by Hill et al. [15] who studied the magnetoresistance of an exfoliated graphene flake connected to permalloy ferromagnetic electrodes and found a change of resistance of about 10 % as the electrodes switched from the P to AP states. This first experiment was rapidly followed by several other measurements on lateral spin valves (LSV) with local and nonlocal detections of the spin signal [16–18], and the research is today very active in this field. In Fig. 4a, we present the device (LSV with alumina tunnel contacts between the cobalt electrodes and a single-layer graphene flake) studied by Popinciuc et al. [18] in the van Wees group, with, in Fig. 4b, a sketch of the spin accumulation induced by the injection of a spin-polarized current from F2 electrode and, in Fig. 4c, an example of room temperature nonlocal spin signal associated with the difference between the spin accumulations below the F3 and F4 electrodes. The amplitude of the signal depends not only on the length but also, for a given length, on the contact resistances, a larger resistance preventing the spin escape (back flow) into the electrodes and also giving a large spin polarization of the injected current (up to 10–20 %). In a 1D model based on the drift–diffusion equations (see section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene” for details), the spin signal ΔRnon-local can be expressed in the notation of Popinciuc et al. [18] as ΔRnonlocal ¼ 

a

2P2 Rsq λ ðR=λÞ2 expðL=λÞ  w ð1 þ 2R=λÞ2  expð2L=λÞ

IAC Al2O3

F1

+ F2

F3

VAC



F4

(1)

c

X=0

b μ↑

X=L

Rrl (Ω)

25 SiO2 n-doped Si

Graphene

0 –25 –50

μ↓

ΔRrl (Ω)

μ

d

–50

–100

0

50

100

B(mT)

l = 1.6 μm

3 4 2 0 0

1

2

3

4

L (μm)

Fig. 4 (a) Geometry of an SLG spin valve device for nonlocal measurements in Ref. [18], (b) schematic representation of the spin accumulation splitting (μ"- μ#) in the lateral channel of the device, (c) spin signal at room temperature in a 2 μm long and 500 nm wide device, (d) typical variation of the spin signal as a function of the length of the graphene channel (λch = 1.6 μm is the spin diffusion length derived from the exponential variation of the signal)

688

A. Anane et al.

Rrl (Ω)

5

n(e)∼1.8

n(e)∼1.8

0.05

0

−5

D=0.027 m2/s τ=145 ps

−0.4

P~9.3% λ=2.0 μm 0.0 B (T)

0.4

0.00

D=0.032 m2/s τ=130 ps

−0.3

P~4% λ=2.0 μm 0.0 B (T)

0.3

Fig. 5 Room temperature spin precession measurements (Hanle effect) by Popinciuc et al. [18] in two devices of the type represented in Fig. 4a

where P is the injection polarization, Rsq the graphene square resistance, λ its spin diffusion length, w its width, L the distance between injection and detection, and R a parameter characterizing the ratio between the contact resistances RT and Rsq: R¼

RT w Rsq

(2)

We will see in section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene” how this expression corresponds to curve 1 in Fig. 9e of section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene” and can be integrated into a more general description of spin transport in LSV. Except when λ is much longer than both L and R which leads to a variation of the spin signal as 1/L, Eq. 1 predict a variation as expðL=λÞ. Figure 5d present an experimental example of this exponential variation which allows Popinciuc et al. [18] to derive that the spin diffusion length of the graphene in this sample is 1.6 μm. The spin lifetime and the spin diffusion length can be also derived from application of a magnetic field perpendicular to the direction of the spin accumulation in a standard Hanle experiment [19]. This field induces a precession and dephasing of the polarized spin in the lateral channel and finally an oscillating decrease of the spin signal, as illustrated by the experimental results of Popinciuc et al. [18] in Fig. 5a–b. The Hanle curves can be fitted with solutions of Bloch equations, which lead to the determination of the diffusion constants, spin lifetimes (up to 0.2 ns at RT), and spin diffusion lengths indicated in the figure caption. Series of interesting experimental results have also been obtained by the group of Kawakami [20–21], in particular with devices in which the electrodes are connected to the graphene flake through MgO tunnel junctions (Fig. 6). Some experiments are also performed on graphene grown by chemical vapor deposition (CVD) on copper foils, for example in Ref. [22], and open an interesting perspective of large-scale production of spin transport devices as large areas of CVD graphene can be fabricated easily. Another interesting perspective comes from

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

a Ti/MgO (0°)

Co (7°)

b

Ti/MgO (9°)

Co TiO2

MgO I

SLG

SLG

SiO2

SiO2

c 150

689

VNL

I

T = 300 K E1

E3

E2

H

100

E4

2.2

RNL (Ω)

2.1

50 ΔRNL

0 −50

Vg = 0 V

−100 −100

−50

0 H (mT)

50

100

Fig. 6 Angle evaporation technique (a–b) developed in Kawakami’s group for the fabrication of the Co/MgO/TiO2 tunneling contacts on SLG in lateral spin valves (LSV) and (c) example of nonlocal spin signal measured on an LSV at room temperature (From Han et al. [21])

the epitaxially grown graphene on silicium carbide (SiC). The results of Maassen et al. [23] have been obtained for graphene grown on the Si face of SiC (mobility ~ 1,900 cm2/Vs) and those of Dlubak et al. [24], that we will discuss later, are for graphene grown on the C face and presenting a high mobility in the 17,000 cm2/Vs range [25]. An intense effort has been deployed to identify the main relaxation mecanism in graphene and explain why most of the experiments were giving spin lifetime and spin difussion length results well below expectations. Experimentaly, attempts to relate the extracted spin lifetime and spin diffusion length to the Elliot-Yafet [26] or Dyakonov-Perel [27] relaxation mechanisms usually encountered in metals and semiconductors have remained unconclusive for the intrinsic relaxation mechanism in graphene. Theoretically, new mechanisms have been proposed mostly highlighting the impact of extrinsic effects but the overall picture from the experimental point of view still remains unclear. More recently, a recurrent finding has been that screening graphene from external influences has progressively led to higher reported spin lifetime and spin diffusion length values. This evolution is summarized in Table 1 where one can see that this has been mostly observed when the graphene is protected from extrinsic effects through encapsulation of graphene in BN-based heterostructures, suspension, or screening by other graphene layers in multilayer graphene [28–30].

Avsar et al. [22]

Maassen et al. [23] Zomer et al. [26]

2011

2012

Dlubak et al. [24]

Drogeler et al. [30]

Guimaraes et al. [29]

2012

2014

2014

2012

2011

2010

2007

Publication Hill et al. [15] Tombros et al. [16] Han et al. [20] Han et al. [21]

Year 2006

Exfoliated (on exfoliated BN and suspended) Exfoliated (inbetween 2 exfoliated BN)

SiC (C face)

Exfoliated (on exfoliated BN)

SiC (Si face)

CVD (mono and bilayer)

Exfoliated (mono and bilayer)

Exfoliated

Exfoliated

Graphene type Exfoliated tsf: 100–170 ps lsf: 1.5–2 μm tsf: 495 ps lsf: 3 μm tsf: 1.2 ns (mono) tsf: 6.2 ns (bi) lsfmax: 4 μm tsf:180 ps (mono) lsf: 1.1 μm (mono) tsf:285 ps (bi) lsf: 1.35 μm (bi) tsf: 2.34 ns lsf: 1 μm tsf: 390 ps lsf: 4.5 μm

2000 cm2/Vs

tsf: 100 ns lsf: 100 μm

tsf: 3.7 ns lsf: 10 μm tsf: 3 ns lsf: 12 μm

17 000 cm2/Vs

23 000 cm2/Vs

23 000 cm2/Vs

40 000 cm2/Vs

1400 cm2/Vs (monolayer) 2100 cm2/Vs (bilayer) 1900 cm2/Vs

1000–3000 cm2/Vs

lsf  tsf Not estimated

Mobility non mesure´e

Table 1 Summary of experimental data on spin transport in graphene

DRnonlocal  700 mW

DRnonlocal  850 mW

DRlocal = 1,5 MW

Improvement of graphene mobility in the suspended region. Relaxation still possible in the contacts and outer regions. Proposed relaxation: DP

Improvement of graphene mobility through BN screening. Combination of relaxation mecanisms (EY and DP) Improvement of graphene mobility through MLG screening. Improved high resistance tunnel barrier for lowest relaxation to the contacts New technique: graphene/BN transfered on top of pre-patterned Co/MgO

First non-local results. Potential pinholes issues in the contacts Spin lifetime increases with barrier resistance Proposed relaxation mecansim Monolayer: EY Bilayer: DP Proposed relaxation mecanism Monolayer: EY Bilayer: DP lsf and tsf stable with temperature Decrease of lsf and tsf with temperature

DRlocal = 200 W DRnon-local  6 W DRnonlocal = 130 W DRlocal = 200 W DRnonlocal  100 W DRnon-local = 4 W

DRnonlocal  0.6 W DRnonlocal  50 mW

Additional information First study

Spin signal DR DRlocal = 300 W

690 A. Anane et al.

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

691

A striking case of external influence appearing in a number of experiments is the dependence of the experimental spin relaxation time on the resistance of the contacts with the magnetic electrodes. For example, Volmer et al. [31] have determined the spin relaxation time in series of lateral spin valves fabricated with different values of the MgO contact resistance and their Fig. 2d shows, as well for Single Layer and Bilayer Graphene, an increase by more than a factor of ten as the contact resistance increases. Similar results also turns out in a number of other publications [20, 32]. The important point is that, without a large enough resistance of the contact between channel and electrodes, a part of the injected spin current is reabsorbed by the electrodes (the so-called back-flow current) if the spin resistance of the graphene channel, Rsq λ/w where Rsq is the graphene square resistance, λ the spin diffusion length in graphene and w the width of the channel, is larger than the corresponding spin resistance of the FM electrodes. The reduction of the spin signal and the broadening of the Hanle curves by spin absorption at contacts is well known in metallic lateral spin valves and, in Fig. 7a, we show a typical example of this broadening of Hanle curves by contact spin absorption for permalloy/Ag/permalloy lateral spin valves. The broader line for the sample of smaller contact resistance does not indicate a shorter spin relaxation time in Ag (as it would concluded from the standard interpretation derived from the model of Ref. [19]) but, actually, the different line widths can be accounted for with a single spin lifetime in Ag if the different contact resistances are properly taken into account. For graphene the spin absorption by the contacts is taken into account in Equation 1 for ΔRnonlocal at zero field but not in the standard equations [19] generally used for the more direct derivation of the spin lifetime from Hanle experiments. Actually, although the effect of spin absorption on the Hanle curves had been mentioned and discussed in several publications [18, 34, 35], it is only after the publication in 2014 of the proper analytical expressions [33] that re-interpretations of Hanle data have shown the importance of the contact absorption for the derivation of the spin lifetime [36]. In Fig. 7b–c we show the re-analysis [36] of Hanle curves in Ref. [20] for two sample having the different contact resistances RI indicated on the figure. The original interpretation with standard expressions [19] leads to values of the spin lifetime (τsf* on the figure) five times smaller for the sample with small RI, whereas the analysis with contact spin absorption [35] gives practically the same spin life time τsf for both samples. From the results for the whole sample series in Ref. [20] shown in Fig. 7d, it can be seen that the corrected analysis leads to approximately constant τsf throughout the series whereas the non-corrected spin lifetim τsf* are underestimated and show an artificial increase at increasing contact resistance. The correction becoming negligible only for RI larger than about 50 kΩ, about 10 times the spin resistance of the graphene channel. More generally the curves of Fig. 7e calculated for typical values of parameters (diffusion constant, width) show that, even with an intrinsic spin lifetime as large as 100 ns, the non-corrected lifetime will never exceed 2 ns with a contact re´sistance of 30 kΩ or 20 ns for 100 kΩ. We conclude that the determination of the spin lifetime of graphene is made difficult by the importance of extrinsic effects and, in particular by the influence of the contacts. However we will

692

A. Anane et al.

Fig. 7 Re-analysis of Hanle signals taking into account the spin absorption by contacts (Adapted from Figs. 1–3 in Ref. [36]): (a) Example of Hanle signals for the nonlocal voltage of two Py/Ag/ Py LSVs (Py = permalloy) differing only by the value of their contact resistances RI (larger for Py/MgO/Ag/MgO/Py). When the spin absorption by the contacts is taken into account the different line widths can be accounted for by the same spin lifetime τsf for Ag [37]. (b–c) Fit of Hanle signals recorded by Han et al. [20] for two graphene LSVs of different contact resistances 0.285 kΩ in (b) and 30 kΩ in (c).The best fit is obtained with τsf = 498 ps (b) and 481 ps (c), whereas the values derived from the initial fit in the standard model without spin absorption, τsf* = 84 ps (b) and 448 ps, were largely underestimated for the sample of small resistance. (d) Extension of the re-analysis in (b–c) to a series of samples in [20]. The corrected τsf (red dots) and non-corrected τsf* (triangles) are plotted vs. the contact resistance RI. (e) Non-corrected τsf* vs corrected τsf for several values of RI and typical values of the other parameters (diffusion constant, square resistance, width) in graphene LSVs

18

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

b

138.0

693

Device A: L = 2 µm

a

1.0

137.5

0.8 0.6

137.0

0.4 136.5

0.2

ΔR/R=MR(%)

Epitaxial graphene

Resistance (MΩ)

1.2 SiC

0.0 136.0

−1000 −500

0

500

1000

Magnetic field (Oe)

c

Device E: L = 0.8 µm

1 mm

12 10 8

6.2

6 4

6.0

2

ΔR/R=MR (%)

Co/Al2O3

Resistance (MΩ)

6.4

0

5.8 −1000 −500

0 500 1000 Magnetic field (Oe)

Fig. 8 (a) SEM image of a two-terminal lateral spin valve composed of a 10 μm wide channel (colored in blue) of C-face SiC epitaxial graphene (sheet resistance  1 kΩ) between Al2O3/Co electrodes separated by L (colored in red) and optical image of the global device. (b) and (c) MR curves at T = 1.4 K on two devices, with L = 0.8 μm, RP = 5.8 MΩ, MR = 9.4 % (b) and L = 2 μm, RP = 136 MΩ, MR = 1.1 % (From Dlubak et al. [24])

see in the next lines that, in contrast, the influence of the contacts can be used in another approach for the determination of the spin lifetime. The influence of the spin absorption by the contacts introduces difficulties in the usual determinations of the spin lifetime of graphene but, in contrast, it can be profitably exploited in a different approach using the scaling of the spin signal with the ratio between the contact resistance and graphene spin re´sistance to derive directly the spin resistance and thus the spin lifetime. Dlubak et al. [24] have performed local spin transport (MR) measurements on MLEG (10 layers) grown on the C face of SiC and presenting a very high mobility (17,000 cm2/Vs). This type of graphene is composed of uncoupled monolayer graphene sheets and is not specifically a thin graphite layer [25]. Co electrodes are contacted to the graphene through alumina tunnel junctions [24] of very large resistance in the 3–75 MΩ range (Fig. 8a–b). Examples of MR curves obtained with MLEG [24] are shown in Fig. 8c–d with local spin signals ΔR also in the MΩ range. These spin signals, much larger than the resistance of the electrodes and graphene channel, are the largest spin signals ever observed with graphene. As it will be seen in section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene,” the variation of ΔR/R in the inverse proportion of the product of the length L by the interface resistance R*T identifies the regime expected for very large

694

A. Anane et al.

tunnel resistance (compared to the spin resistance of the graphene channel and electrodes) and very long spin diffusion length (compared to the device length L) and the general scaling of the MR with the tunnel resistance allows the direct determination of the spin diffusion length. The corresponding physics is that of a double tunnel junction T1–T2 with graphene between T1 and T2 and a MR depending on the ratio between the electron dwell time or transit time (αLR*T) and the spin lifetime. The analysis presented in section “Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene” shows that the huge spin signals of these devices can be explained only by spin diffusion lengths in the 100 μm range and above. This spin diffusion length, much longer than what has been found in other experiments, is probably related to the very large mobility and high quality of the C-face SiC graphene and also to a proper account of the spin absorption by the contacts. The next section is devoted to an theoretical picture of spin transport in CNT or graphene LSV and presents how the dependence of the spin signal on the contact resistance can be applied to the determination of the spin lifetime or spin diffusion length in some of the experiments described in this section.

Theory and Analysis of Spin Transport Experiments in Carbon Nanotubes and Graphene Theory In this section we will focus on the approach in which the scaling of the spin signal ΔR on the resistance of the contacts is used to derive the spin resistance of the graphene channel, the spin diffusion length and the spin lifetime. The analysis of spin transport in LSV that has been developed for metals or semiconductors cannot be directly transposed to describe similar experiments with graphene or CNT in which the spin resistance is much larger (slower relaxation and larger resistance per square). In particular, there is always a strong relaxation mismatch between the lateral channel made of graphene or CNT and the ferromagnetic electrodes so that, to prevent the escape and absorption of the spin accumulation into the electrodes (back flow [19, 37]), it becomes crucial to isolate the lateral channel from the electrodes by large contact resistances, usually tunnel barriers. Only a perfect tuning of these interface resistances allows to obtain large spin signals (as, e.g., those obtained for CNT in Fig. 3). Equally, as the distance L between the current and voltage contacts of an LSV can be much shorter than the spin diffusion length of CNT or graphene, it is also important to prevent the diffusion and relaxation of the spin accumulation outside L by working with LSVs of confined geometry [38]; see examples of structure in Fig. 9a–d. The propagation of spin currents in the different types of lateral devices represented in Fig. 9a–d can be generally described in the frame of the drift–diffusion equations first introduced by van Son et al. [39] and Johnson and Silsbee [40] and then extended by Valet and Fert [41] for the interpretation of the GMR with the current perpendicular to the layers. This leads to the following equations relating the current densities i" and i# to the electrochemical potentials μ"(#) = eV + EF"(#):

Spin Transport in Carbon Nanotubes and Graphene: Experiments and Theory

a

V

I

F2

F1 ch

b

F2 ch

c

V

I

V

I

F1

40

ΔR (a. u.)

I

I F1

S

ΔR = 4γ 2 R chlch / L

1.0

R*T >>

c 0.5 a

0.0

10−4 10−2

100

F1

I

F2

V

104

lch L

106

c

R*T = R Sch

ΔR ≈ 2γ 2R*T Regime β

2γ 2 R Sch

λN=5L γ =0.8

L I

a 102

R*T / RN

20

F2

R Sch

b

ch

d

695

e 60

I

ΔV/VP BIAS

18

Regime α

γ 2 R Sch

b

a

0 10−5

ch

−4

10

10

−3

−2

10

−1

10

10

0

10

1

10

2

10

3

10

4

105

R*T / R Sch

Fig. 9 (a–d) Different types of LSVs: (a) local detection and open (non-confined) channel, (b) nonlocal detection and open channel, (c) local detection and confined channel (L = distance between electrodes), (d) nonlocal detection and confined channel (3 L = distance between the outer electrodes). (e) Generic variation of the spin signal ΔR as a function of the ratio R*T/RSch between the interface resistance and the channel spin resistance at constant RSch for the LSVs of Fig. 9a (curve a), Fig. 9b (curve b), and Fig. 9c (curve c). The calculation is performed from Eq. 5 for γ = 0.8 and λch/L = 5. The corresponding variation of ΔR/R is shown in the inset of Fig. 9e. The crosses and triangles are shown to indicate where – schematically and not quantitatively – the experimental results on CNT (crosses) and graphene (triangles) are, as discussed in the text. The curves for the LSV of Fig. 9d (curve d), similar to curves a, are not represented

1 @μ"ð#Þ , i" þ i# ¼ ie ρ"ð#Þ @x   2eN ðEF Þ μ"  μ# @ i"  i# ¼ @x τsf i"ð#Þ ¼

(3)

In our notation, ρ" ¼ ρ# ¼ 2ρch for nonmagnetic conductors, ρch being the resistance of a square for graphene or the resistance per unit length of a CNT, and ρ"ð#Þ ¼ 2ρF ð1  βÞ is the resistivity of the spin" (spin#) channel in the magnetic electrodes (β is the usual notation to express the spin dependence of the resistivity [41]). The spin diffusion length involved in the exponential variations of μ"(#) is related to the spin–lattice relaxation time τsf (spin lifetime in the channel or in F) by λ = (Dτsf)1/2, where D is the relevant diffusion coefficient. For the LSV devices of Fig. 9a, b, d, we define the spin resistances of the nonmagnetic channel (magnetic electrodes) as RSch ¼ ρch λch = Ach (RSF ¼ ρF λF =AF ), where Ach(F) is the corresponding cross section (e.g., the width w for a 2D channel of graphene). The boundary conditions at the interface between two materials are expressed as a function of spin-dependent interface resistances

696

A. Anane et al. x>0 μ"x') ylabel('Output Voltage –>') grid on

32

Modeling Multi-Magnet Networks Interacting via Spin Currents

1325

%%% Example 2: Simple LLG solver to reproduce Fig. 16 %%% Behtash Behin-Aein, Angik Sarkar, Srikant Srinivasan, Vinh Diep, %%% Supriyo Datta Research group, Purdue University (2010) clear all; clc global hext hd alpha Is_conv %%% LLG parameters %%%%%%%%%%%%%%%%%%%%%%% %%% Constants %%%—————————— q=1.6e-19; % Coulombs hbar=6.626e-34/2/pi; % Reduced Planck's constant (J-s) mub=9.274e-21; % Bohr Magneton alpha = 0.007; % Gilbert damping parameter g = 1.76e7; % Gyromagnetic ratio [(rad)/(Oe.s)] %%% Magnet Parameters (taken from experiment) %%%————————————————————————————————————————— Ms = 780; % Saturation Magnetization [emu/cm^3] Ku2 = 3.14e4; % Uni. anisotropy constant [erg/cm^3] V = (170*80*2)*1e-21; % Volume [cm^3]() Hk = 2*Ku2/Ms ; % Switching field [Oe] Hd = 4*pi*Ms; % Demagnetizing field [Oe] Ns=Ms*V/mub % Number of spins in the magnet %%% Converting magnet parameters into dimensionless quantities. Note that %%% in this code we transform the LLG equation into a dimensionless %%% equation by normalizing it to the time constant 1/(g*Hk). hk = 1; % dimensionless uniaxial field hd = Hd/Hk; % dimensionless demag field hext=0; % Assume no external applied fields tau_c = (1+alpha^2)/(g*Hk); % LLG time constant % Conversion factor for Ampere spin current into dimensionless input in % LLG. The factor below is for the term Is/(q*Ns*g*Hk), noting that % g=2muB/hbar. I_H_conv = hbar/2/q/(Ms*V*Hk*1e-7); Isc = alpha*(1 + hd/2) * (Hk*Ms*V) * 1e-7 * 2*q/hbar; % Isc = Estimated ampere spin current required for easy axis switching Is=-1.3*Isc; % Spin current (Amps) incident on magnet % Is=-3*Isc; %Is=-2*Isc; Is_conv=Is*I_H_conv;

1326

S. Srinivasan et al.

% switching_time=2*q*Ns/Is; %% Estimated switching time. %%% Initial conditions of the simulation mz=0.999; % Magnet slightly off easy axis due to, say, thermal noise m=[sqrt(1-mz^2) 0 mz]; %Magnet in the x-z plane %%%%%%%%%%% Solving the LLG equation options = odeset('RelTol',1e-8,'AbsTol',1e-9); NanoS = 15; %% Duration in units of nano-seconds t_span= [0 NanoS*1e-9]/tau_c; %% Dimensionless time span [t,x]= ode113('LLGsolver_example2', t_span, m, options); %%%%%%%%%% Plotting figure(1) hold on %plot(t*tau_c/1e-9,x(:,1),'k-'); % m_x %plot(t*tau_c/1e-9,x(:,2),'r-'); % m_y h=plot(t*tau_c/1e-9,x(:,3),'b'); % m_z axis([0 15 -1 1]) set(h,'linewidth',3.0) set(gca,'Fontsize',30) xlabel('Time (ns) ') ylabel('m_z') %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% function dmdt = LLGsolver_example2(t,m) % Vinh Diep, Srikant Srinivasan, Deepanjan Datta, Supriyo Datta Research group, Purdue University (2010) global hd alpha Is_conv H=[0*m(1) -hd*m(2) m(3)]; % Internal fields i.e. uniaxial (along z) and demag(along x) Is1=Is_conv*[0 0 1]; %%% Differential Equation for magnetization Dynamics dmdt0=(-cross(m,H)-alpha*cross(m,cross(m,H)). . . +cross(m,cross(Is1,m))+alpha*cross(m,Is1)); dmdt=dmdt0'; end %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % Example 3: Wrapper Code for reproducing the X and the Y axis of Fig. 18 % Assume that the injector magnet is a fixed layer along a reference % direction 'z', which also corresponds to the transport direction. The % detector magnet is a free layer and is initially slightly away from 'z'

32

Modeling Multi-Magnet Networks Interacting via Spin Currents

1327

% by a few degrees. % Angik Sarkar, Behtash Behin-Aein, Srikant Srinivasan, % Supriyo Datta Research group, Purdue University (2010) clear all; clc global hd alpha I_H_conv1 I_H_conv2 Ic Ic=5.5e-3; %Current at the injector from current source %%% LLG parameters %%%%%%%%%%%%%%%%%%%%%%% %%% Constants %%%—————————— q=1.6e-19; % Coulombs hbar=6.626e-34/2/pi; % Reduced Planck's constant (J-s) mub=9.274e-21; % Bohr Magneton alpha = 0.007; % Gilbert damping parameter g = 1.76e7; % Gyromagnetic ratio [(rad)/(Oe.s)] %%% Magnet Parameters (taken from experiment) %%%————————————————————————————————————————— Ms = 780; % Saturation Magnetization [emu/cm^3] Ku2 = 3.14e4; % Uni. anisotropy constant [erg/cm^3] V1 = (170*75*20)*1e-21; % Volume [cm^3] V2 = (170*80*4)*1e-21; % Volume [cm^3] Hk = 2*Ku2/Ms ; % Switching field [Oe] Hd = 4*pi*Ms; % Demagnetizing field [Oe] Ns = Ms*V2/mub % Number of spins in the magnet %%% Converting magnet parameters into dimensionless quantities. Note that %%% in this code we transform the LLG equation into a dimensionless %%% equation by normalizing it to the time constant 1/(g*Hk). hk = 1; % dimensionless uniaxial field hd = Hd/Hk; % dimensionless demag field tau_c = (1+alpha^2)/(g*Hk); % LLG time constant % Conversion factor for Ampere spin current into dimensionless input in % LLG. The factor below is the simplified version of the term % Is/(q*Ns*g*Hk), noting that g=2muB/hbar. I_H_conv1 = hbar/2/q/(Ms*V1*Hk*1e-7); I_H_conv2 = hbar/2/q/(Ms*V2*Hk*1e-7); Isc = alpha*(1+hd/2)/I_H_conv2; % Isc = Estimated ampere spin current required for easy axis switching %%% Initial conditions of the simulation mz1=1;

1328

S. Srinivasan et al.

m01=[-sqrt(1-mz1^2) 0 mz1]; %Injector magnet mz2=0.99; % Detector magnet slightly off easy axis due to, say, thermal noise m02=[sqrt(1-mz2^2) 0 mz2]; %Magnet in the x-z plane %%% Charge current: solving for a fixed number of input current values %%% since we already have an idea of where switching will occur approximately Icc=[-8 -5.6 -5.4 -5.3 -4.9 -4.5 -3 -1 1 3 4.5 4.9 5.1 5.4 5.6 8]*1e-3; Nd=length(Icc); %%%%%%%%%%% Solving the LLG equation options = odeset('RelTol',1e-8,'AbsTol',1e-9); NanoS = 50; %% Duration in units of nano-seconds t_span = [0 NanoS*1e-9]/tau_c; %% Dimensionless time span [t,x]= ode113('LLGsolver', t_span, [m01 m02], options); mdet_f=zeros(1,Nd); mdet_r=zeros(1,Nd); for count=1:Nd %%%Forward sweep of current Ic=Icc(count) % Injector current in Amps [t,x]= ode113('LLGsolver', t_span, [m01 m02], options); sz = size(t,1); mdet_f(count)=x(sz,6) %forward sweep %mz2=mdet_f(count); %m02=[sqrt(1-mz2^2) 0 mz2]; [Rnl_f(count)]=SpinCircuit(x(sz,1:3), x(sz,4:6)); end mz2=-0.99; % Detector magnet slightly off easy axis due to, say, thermal noise m02=[sqrt(1-mz2^2) 0 mz2]; %Magnet in the x-z plane for count=1:Nd %%%Reverse sweep of current Ic = Icc(Nd-count+1) % Injector current in Amps [t,x]= ode113('LLGsolver', t_span, [m01 m02], options); sz = size(t,1); mdet_r(Nd-count+1) = x(sz,6) %reverse sweep %mz2 = mdet_r(count); %m02 = [sqrt(1-mz2^2) 0 mz2]; [Rnl_r(Nd-count+1)]=SpinCircuit(x(sz,1:3), x(sz,4:6)); end %%%%%%%%%% Plotting figure(1) %Non-local resistance v.s. injector charge current hold on plot(Icc,Rnl_f*1e3,'b','Linewidth',2); %forward sweep

32

Modeling Multi-Magnet Networks Interacting via Spin Currents

1329

plot(Icc,Rnl_r*1e3,'r–','Linewidth',2); %reverse sweep set(gca,'linewidth',3.0,'Fontsize',30) ylabel('R_{15}(m\Omega)') % The non local V/I xlabel('I_c (Amp)') box on figure(2) %Magnetization v.s. injector charge current hold on plot(Icc,mdet_f,'b','Linewidth',2); %forward sweep plot(Icc,mdet_r,'r–','Linewidth',2); %reverse sweep set(gca,'linewidth',3.0,'Fontsize',30) ylabel('m_z') xlabel('I_c (Amp)') box on %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% function dmdt = LLGsolver(t,m) % Vinh Diep, Srikant Srinivasan, Deepanjan Datta, Supriyo Datta Research group global hd alpha I_H_conv1 I_H_conv2 m1 = m(1:3); m2 = m(4:6); H1=[0*m1(1) -hd*m1(2) m1(3)];% Internal fields i.e. uniaxial (along z) and demag(along x) H2=[0*m2(1) -hd*m2(2) m2(3)]; [Rn1, Is1, Is2]=SpinCircuit(m1, m2); %%% converting back to [x y z] basis Is1=Is1([end-1 end end-2])*I_H_conv1; Is1=Is1'; Is2=Is2([end-1 end end-2])*I_H_conv2; Is2=Is2'; %%% Differential Equation for magnetization Dynamics dm1dt=(-cross(m1,H1)-alpha*cross(m1,cross(m1,H1)). . . +cross(m1,cross(Is1,m1))+alpha*cross(m1,Is1)); dm2dt=(-cross(m2,H2)-alpha*cross(m2,cross(m2,H2)). . . +cross(m2,cross(Is2,m2))+alpha*cross(m2,Is2)); dmdt=[dm1dt'; dm2dt']; end %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% function [Rnl, Is1, Is2]=SpinCircuit(m1,m2) % 4-component Spin Circuit for the device in ref [Otani]. % Srikant Srinivasan, Purdue University Sept. 28, 2010 global Ic zdir=[1 0 0]; % Unit vector along 'z', the basis convention being [z x y] m1=m1([end 1:end-1]); m2=m2([end 1:end-1]); % Constants (all MKS)

1330

S. Srinivasan et al.

q=1.6e-19; h=6.626e-34; Z=zeros(4); %%%%%%% Expt. Ckt. Parameters (SI units) %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% % Magnet PF1=0.49;PF2=0.49; %Magnet and Interface polarizations AF1=170*75e-18; lF1=20e-9; % Area, thickness of Magnet 1 AF2=80*170e-18; lF2=4e-9; % Area, thickness of Magnet 2 lambdaF=5e-9; rhoF=17.1e-8; % Permalloy resistivity and spin-flip length RF1=lambdaF*rhoF/AF1; RF2=lambdaF*rhoF/AF2; %Parameters of magnets LF1=lF1/lambdaF; LF2=lF2/lambdaF; % Normalized magnet length kf=1.36e10; Modes=kf*kf/2/pi; % Modes including both spins RqF=h/q/q; % quantum of resistance per spin % Channel t=65e-9; AN=170e-9*t; % thickness, cross sectional area of Channel lambdaN=1e-6; rhoN=0.69e-8; RN=lambdaN*rhoN/AN; % Copper RN1=RN; RN2=RN; RN3=RN; % RN2=channel between inj. and det. and RN1,3=overhanging regions lN2=270e-9; LN2=lN2/lambdaN; LN1=10; LN3=10; % Gold lead lambdaG=1e-8;rhoG=7e-8;Rau=lambdaG*rhoG/AF1;Lau=10; %%%%% Spin Ckt Description %%%%%%%%%%%%%%%%%%%%%%%%%%% % Conductances % Non-magnetic channel [GN1,G0N1]= G_4x4(RN1,LN1,0,0,0); [GN2,G0N2]= G_4x4(RN2,LN2,0,0,0); [GN3,G0N3]= G_4x4(RN3,LN3,0,0,0); % Top Gold Contacts [GA1,G0A1]= G_4x4(Rau,Lau,0,0,0); [GA2,G0A2]= G_4x4(Rau,Lau,0,0,0); % Ferromagnet Bulk [GF1,G0F1]= G_4x4(RF1,LF1,PF1,0,0); [GF2,G0F2]= G_4x4(RF2,LF2,PF2,0,0); % Ferromagnetic Interfaces [GBF1,G0BF1]= G_4x4(RqF/(Modes*AF1),0,PF1,1,0); [GBF2,G0BF2]= G_4x4(RqF/(Modes*AF2),0,PF2,1,0); G0F1=G0F1+G0BF1;G0F2=G0F2+G0BF2; % if max(max(GBF1))0 if P==0 % Non Magnet Gmat=(1/R/L)*(Gmat+ L*csch(L)*diag([0 1 1 1])); G0mat=1/R*tanh(L/2)*diag([0 1 1 1]); else % Ferro Magnet Gmat=(1/R/L)*(Gmat+(1-P^2)*L*csch(L)*diag([0 1 0 0])); G0mat=(1-P^2)/R*tanh(L/2)*diag([0 1 0 0]); end else %tunnel barrier (heuristic extension of 2 component) Gmat=(1/R)*[1 P 0 0; P 1 0 0; 0 0 1 0; 0 0 0 1]; G0mat=[]; end else % FM/NM Interface conductance (based on derivation in Appendix B) Gmat=1/eta/R*[1 P 0 0; P 1 0 0; 0 0 0 0; 0 0 0 0]; G0mat=1/R*[0 0 0 0; 0 0 0 0; 0 0 a b; 0 0 -b a]; end end %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% function R = rotmat(a,b) % Implementing Rodriguez rotation formula to transform the conductance

32

Modeling Multi-Magnet Networks Interacting via Spin Currents

1333

% matrix for a magnet aligned along a direction specified by the vector 'a' % to a direction specified by the vector 'b' % Srikant Srinivasan, Purdue University (2010) a=a/norm(a); b=b/norm(b); c=dot(a,b); s=sqrt(1-c^2); if s==0 % Initial and final vectors are collinear u=[0 0 0]; else u=cross(a,b)/norm(cross(a,b)); end %%% Z,X,Y coordinate system ux=u(2); uy=u(3); uz=u(1); R=[1 0 0 0; 0 uz^2+(1-uz^2)*c uz*ux*(1-c)-uy*s uz*uy*(1-c)+ux*s; 0 uz*ux*(1-c)+uy*s ux^2+(1-ux^2)*c ux*uy*(1-c)-uz*s; 0 uz*uy*(1-c)-ux*s ux*uy*(1-c)+uz*s uy^2+(1-uy^2) *c]; end %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%

References 1. Welser JJ et al (2008) The quest for the next information processing technology. J Nanoparticle Res 10(1):1–10 2. Theis TN, Solomon PM (2010) It’s time to reinvent the transistor! Science 327 (5973):1600–1601 3. Behin-Aein B et al (2010) Proposal for an all-spin logic device with built-in memory. Nat Nanotechnol 5(4):266–270 4. Dery H et al (2007) Spin-based logic in semiconductors for reconfigurable large-scale circuits. Nature 447(7144):573–576 5. Wang JG, Meng H, Wang JP (2005) Programmable spintronics logic device based on a magnetic tunnel junction element. J Appl Phys 97(10):10D509 6. Huang BQ, Monsma DJ, Appelbaum I (2007) Experimental realization of a silicon spin fieldeffect transistor. Appl Phys Lett 91(7):72501 7. Johnson M, Silsbee RH (1985) Interfacial charge-spin coupling – injection and detection of spin magnetization in metals. Phys Rev Lett 55(17):1790–1793 8. Jedema FJ, Filip AT, van Wees BJ (2001) Electrical spin injection and accumulation at room temperature in an all-metal mesoscopic spin valve. Nature 410(6826):345–348 9. Huang B, Monsma DJ, Appelbaum I (2007) Coherent spin transport through a 350 micron thick silicon wafer. Phys Rev Lett 99(17):177209 10. Tsoi M et al (1998) Excitation of a magnetic multilayer by an electric current. Phys Rev Lett 80 (19):4281–4284 11. Katine JA et al (2000) Current-driven magnetization reversal and spin-wave excitations in Co/Cu/Co pillars. Phys Rev Lett 84(14):3149–3152 12. Grollier J et al (2001) Spin-polarized current induced switching in Co/Cu/Co pillars. Appl Phys Lett 78(23):3663–3665

1334

S. Srinivasan et al.

13. Srinivasan S et al (2011) All-spin logic device with inbuilt nonreciprocity. IEEE Trans Magn 47(10):4026–4032 14. Behin-Aein B et al (2011) Switching energy-delay of all spin logic devices. Appl Phys Lett 98 (12):123510 15. Yang T, Kimura T, Otani Y (2008) Giant spin-accumulation signal and pure spin-currentinduced reversible magnetization switching. Nat Phys 4(11):851–854 16. Zou H, Ji Y (2011) Temperature evolution of spin-transfer switching in nonlocal spin valves with dipolar coupling. J Magn Magn Mater 323(20):2448–2452 17. Datta S, Salahuddin S, Behin-Aein B (2012) Non-volatile spin switch for Boolean and non-Boolean logic. Appl Phys Lett 101(25):252411 18. Srinivasan S et al (2011) Unidirectional information transfer with cascaded all spin logic devices: a ring oscillator. In: Device research conference (DRC), 2011 69th Annual, Santa Barbara, CA 19. Sarkar A et al (2011) Modeling all spin logic: multi-magnet networks interacting via spin currents. In: 2011 I.E. international electron devices meeting (IEDM), Washington DC 20. Sharad M et al (2012) Ultra low energy analog image processing using spin based neurons. In: Nanoscale Architectures (NANOARCH), 2012 IEEE/ACM international symposium on. 2012, Amsterdam, Netherlands 21. Brataas A, Bauer GEW, Kelly PJ (2006) Non-collinear magnetoelectronics. Phys Rep-Rev Section Phys Lett 427(4):157–255 22. Kovalev AA, Brataas A, Bauer GEW (2002) Spin transfer in diffusive ferromagnet-normal metal systems with spin-flip scattering. Phys Rev B 66(22):224424 23. Xia K et al (2002) Spin torques in ferromagnetic/normal-metal structures. Phys Rev B 65 (22):220401 24. Zainuddin ANM et al (2010) Magnetoresistance of lateral semiconductor spin valves. J Appl Phys 108(12):123913 25. Augustine C et al (2011) Numerical analysis of domain wall propagation for dense memory arrays. In: Electron devices meeting (IEDM), 2011 I.E. International, Washington DC 26. Takahashi S, Maekawa S (2003) Spin injection and detection in magnetic nanostructures. Physical Review B 67(5):052409 27. Slonczewski JC (1996) Current-driven excitation of magnetic multilayers. J Magn Magn Mater 159(1–2):L1–L7 28. Datta S (2005) Quantum transport: atom to transistor. Cambridge University Press, Cambridge, UK/New York 29. Valet T, Fert A (1993) Theory of the perpendicular magnetoresistance in magnetic multilayers. Phys Rev B 48(10):7099–7113 30. Johnson M, Silsbee RH (1987) Thermodynamic analysis of interfacial transport and of the thermomagnetoelectric system. Phys Rev B 35(10):4959–4972 31. Fert A, Campbell IA (1968) 2-current conduction in nickel. Phys Rev Lett 21(16):1190 32. Mott N (1936) The electrical conductivity of transition metals. Proc R Soc Lond Ser A Math Phys Sci 153(880):699–717 33. Julliere M (1975) Tunneling between ferromagnetic-films. Phys Lett A 54(3):225–226 34. Maekawa S, Gafvert U (1982) Electron-tunneling between ferromagnetic-films. IEEE Trans Magn 18(2):707–708 35. Moodera JS et al (1995) Large magnetoresistance at room-temperature in ferromagnetic thinfilm tunnel-junctions. Phys Rev Lett 74(16):3273–3276 36. Mavropoulos P, Papanikolaou N, Dederichs PH (2000) Complex band structure and tunneling through ferromagnet/insulator/ferromagnet junctions. Phys Rev Lett 85(5):1088–1091 37. Butler WH et al (2001) Spin-dependent tunneling conductance of Fe vertical bar MgO vertical bar Fe sandwiches. Phys Rev B 63(5):054416-1 38. Schmidt G et al (2000) Fundamental obstacle for electrical spin injection from a ferromagnetic metal into a diffusive semiconductor. Phys Rev B 62(8):R4790–R4793

32

Modeling Multi-Magnet Networks Interacting via Spin Currents

1335

39. Fert A, Jaffres H (2001) Conditions for efficient spin injection from a ferromagnetic metal into a semiconductor. Phys Rev B 64(18):184420 40. Fert A et al (2007) Semiconductors between spin-polarized sources and drains. IEEE Trans Electron Devices 54(5):921–932 41. Datta D et al (2012) Voltage asymmetry of spin-transfer torques. IEEE Trans Nanotechnol 11 (2):261–272 42. Kovalev AA, Bauer GEW, Brataas A (2006) Perpendicular spin valves with ultrathin ferromagnetic layers: magnetoelectronic circuit investigation of finite-size effects. Phys Rev B 73 (5):054407 43. Sun JZ (2000) Spin-current interaction with a monodomain magnetic body: a model study. Phys Rev B 62(1):570–578 44. Augustine C, Panagopoulos G, Behin-Aein B, Srinivasan S, Sarkar A, Roy K (2011) Low-power functionality enhanced computation architecture using spin-based devices. In: Nanoscale architectures (NANOARCH), 2011 IEEE/ACM international symposium on, San Diego, 8–9 June 2011, pp 129–136 45. Manipatruni S, Nikonov, DE, Young IA (2012) Modeling and design of spintronic integrated circuits. Circuits Syst I Regul Papers, IEEE Trans 59(12):2801–2814 46. Sharad M, Augustine C, Panagopoulos G, Roy K (2012) Spin-based neuron model with domain-wall magnets as synapse. Nanotechnol, IEEE Trans 11(4):843–853 47. Bonhomme P, Manipatruni S, Iraei RM, Rakheja S, Sou-Chi Chang, Nikonov DE, Young IA, Naeemi A (2014) Circuit simulation of magnetization dynamics and spin transport. Electron Dev, IEEE Trans 61(5):1553–1560 48. Sou-Chi Chang, Iraei RM, Manipatruni S, Nikonov DE, Young IA, Naeemi A (2014) Design and analysis of copper and aluminum interconnects for all-spin logic. Electron Dev, IEEE Trans 61(8):2905–2911 49. Sou-Chi Chang, Manipatruni S, Nikonov, DE, Young IA, Naeemi A (2014) Design and analysis of si interconnects for all-spin logic. Magn, IEEE Trans 50(9):1–13 50. Sun JZ et al (2009) A three-terminal spin-torque-driven magnetic switch. Appl Phys Lett 95 (8):083506 51. Behin-Aein, B, Sarkar A, Datta S (2012) Modeling circuits with spins and magnets for all-spin logic. In: Solid-state device research conference (ESSDERC), 2012 proceedings of the European, Bordeaux, France

Part XI Devices and Applications: Spin Torque Devices

Physical Principles of Spin Torque

33

Jonathan Z. Sun

Contents Spin-Polarized Transport Across Interfaces and Spin Torque: An Overview . . . . . . . . . . . . . . . . Basic Concepts in Noncollinear Spin-Polarized Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Metal-to-Metal Interface and Spin Valves . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Tunnel Barrier and Magnetic Tunnel Junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Origins of Spin Torque . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Macrospin as a Model System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Torque and Dynamics of a Macrospin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A Review of Spin-Containing Quantities and Spin Transport . . . . . . . . . . . . . . . . . . . . . . . . . . . . Origins of Spin Torque . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Modified LLG Equation with a Spin-Torque Term . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Torque-Induced Magnetodynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time Scales, Length Scales, and Constitutive Relationship for Spin-Torque Dynamics in Continuous Medium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Zero-Temperature Macrospin Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Finite-Temperature Macrospin Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Switching Speed and Dynamics of a Macrospin Under Spin Torque . . . . . . . . . . . . . . . . . . . . Exchange Stiffness, Internal Degrees of Freedoms, and Magnons . . . . . . . . . . . . . . . . . . . . . . . Experiments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Torque-Induced Magnetic Excitation and Switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Torque in Magnetic Tunnel Junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Torque Switchable Magnetic Tunnel Junction as Memory Devices . . . . . . . . . . . . . . . . Nonlocal Spin-Current and Three-Terminal Spin-Torque Devices . . . . . . . . . . . . . . . . . . . . . . . Open Questions and Future Challenges for Spin-Torque Science and Technologies . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1341 1341 1343 1346 1348 1348 1348 1349 1352 1355 1356 1356 1359 1361 1363 1368 1370 1370 1371 1372 1373 1377 1380 1382

J.Z. Sun (*) IBM Research, Yorktown Heights, NY, USA e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_47

1339

1340

J.Z. Sun

Abstract

Spin torque refers to the exchange of spin angular momentum between a transport spin current carried by electrons and a ferromagnet. The macroscopic manifestation of this angular momentum exchange is a torque exerted on the ferromagnet by the presence of the spin current. The spin current is often accompanied by a net charge-current transport, although this is not always necessary. There are two types of torque commonly associated with such interactions, one is exchange-like and the other energy nonconserving. These two types of torques have different vectorial relationship with the electron spin polarization and the magnet’s moment. The exchange-like torque is in the direction perpendicular to the plane formed by the magnet moment and the spin polarization and is therefore often called the “perpendicular torque.” The energy-nonconserving torque lies in the plane, hence the name the “in-plane torque.” The perpendicular torque has been known for many decades, as it gives rise to exchange-like coupling between ferromagnetic thin films across a spacer layer of either a nonmagnetic metal or a tunnel barrier. A detailed understanding of the in-plane spin torque has emerged more recently. The in-plane spin torque is associated mainly with nonequilibrium and noncollinear transport of spin current across interfaces between nonmagnetic and ferromagnetic materials. It originates from the dephasing of an electron’s spin precession as it enters or leaves a ferromagnet–nonmagnetic interface. The in-plane spin torque gives rise to new dynamic behaviors of the ferromagnet that is the subject of many interesting investigations and with potential for applications. Its physical origin and implications are the main subjects of this review. List of Abbreviations

AFM AP-P CPP FL FM GMR I IL IMA MOS-FET MTJ N P-AP PMA RL SAF SEM

Antiferromagnetic Antiparallel to parallel Current Perpendicular to plane Free layer Ferromagnetic Giant magnetoresistance Insulator or tunnel barrier Injection layer In-plane magnetic anisotropy Metal oxide semiconductor field-effect transistor Magnetic tunnel junction Nonmagnetic metal Parallel to antiparallel Perpendicular magnetic anisotropy Reference layer Synthetic antiferromagnet Scanning electron microscopy

33

Physical Principles of Spin Torque

STT SV TEM TMR

1341

Spin-transfer torque Spin valve Transmission electron microscopy Tunnel magnetoresistance

Spin-Polarized Transport Across Interfaces and Spin Torque: An Overview Spin-dependent transport across interfaces controls many aspects of magnetoresistance in inhomogeneous ferromagnetic/nonmagnetic transition metal conductor systems. Over the years a “two-current” transport model has been developed to describe such transport process. The concept originates from the approximate treatment of transition metal transport scattering process by noticing a spin–flip scattering lifetime generally longer than that of the momentum-space scattering time. With this assumption each spin eigenstate can be treated as effectively decoupled from the other in noninteracting electron band-structure-based transport models. This spin-separated two-current approach was first developed for analyzing transport physics in homogeneous ferromagnetic transition metal conductors such as Fe and Ni [1, 2]. It has since been successfully expanded to inhomogeneous conductor systems containing either ferromagnetic metal-to-nonmagnetic metal interfaces or to ferromagnetic systems containing sharp magnetic domain walls. Among such the most quantitatively treatable has been the spin-dependent transport across interfaces between ferromagnetic and nonmagnetic transition metals in the so-called current-perpendicular-to-plane (CPP) spin valves (SV) [3–5]. On a slightly different front, a similar two-channel conduction concept has been employed to account for the spin-dependent tunneling of electrons from one ferromagnetic metal into another, separated by a tunnel barrier, whose barrier height for each spin channel may or may not be the same [6–9]. This concept was developed to describe the so-called tunnel magnetoresistance (TMR) phenomenon, in which case the tunnel conductance of a ferromagnet–insulator–ferromagnet (FM|I|FM) tunnel junction varies depending on the relative orientation of the ferromagnet’s moment direction.

Basic Concepts in Noncollinear Spin-Polarized Transport Most of these earlier transport descriptions discussed above (except Slonczewski’s [8]) assume that for the entire system of interest, the carriers have two well-defined spin eigenstates – that of spin-up and that of spin-down for a spin-1/2 carrier such as electrons, with “up” and “down” defined by the moment direction of the ferromagnet in question. Further one assumes that there is virtually no interaction or correlation between carriers in these two spin states, other than local charge neutrality [10]. This second assumption is important because it is equivalent to saying that the

1342

J.Z. Sun

ferromagnets in this system would have to have a single uniquely defined direction for its macroscopic order parameter, i.e., their magnetizations are collinear. The two-channel conduction picture was developed to sufficient quantitative details to account for the observations of, among other things, the so-called giant magnetoresistance or GMR effect in thin metal film stacks with CPP transport, in which case the different layers of ferromagnets are separated by a thin layer of nonmagnetic metal in between. A comprehensive discussion on the two-channel model for CPP GMR can be found in, for example, Ref. [3]. A detailed review of the CPP- GMR materials and properties is covered in ▶ Chap. 4, “CPP-GMR: Materials and Properties”, Part II, Volume 1. Theory for magnetotransport involving noncollinear magnetic moment arrangements was developed to compare with the angular dependence of the GMR [11, 12] as well as TMR [8, 13]. The quantitative understanding of noncollinear spin transport is key to understanding the spin-torque phenomenon. Without collinear alignment, the effect of coherence between the different spin eigenstates becomes important. Generally this involves coherent decomposition of one set of spin eigenstates into that of another. For spin-1/2 fermion states such as with electrons, it can be conveniently described by a 2  2 Pauli matrice spinor formulation. For spin-dependent transport studies, it is important to keep track of this eigenstate decomposition as the relevant transport wave functions propagate and reflect among various media and interfaces involving noncollinear ferromagnet elements. This is nontrivial, as a carrier now would in principle need to be treated with the full complex wave function including spin space, and these are generally not diagonalized in any fixed spin-space direction. With noncollinear spin orientation, a carrier upon entering a ferromagnet from an interface would necessarily be decomposed into a set of coherent spin eigenstates at the point of entry. This is if the situation is such that an approximately localized wave function (a wave packet with relatively narrow momentum spread to allow definition of an average momentum ks but at the same time with sufficiently narrow spatial spread to allow definition of a spatial location) can be used to describe the propagation while maintaining realspace boundary conditions at the same time. It is in this regime a quasi-classical particle picture can be useful to imagine the transport as being carried by a particlelike electron. Here the subscript in ks as s =  corresponds to the up- or down-spin states as defined by the ferromagnet’s moment near the interface. In its semiclassical representation, the electron entering the ferromagnet is seen as precessing around the exchange field as it propagates along. In a ferromagnet with strong exchange splitting, this decomposition is simplified. Because of the large exchange split, the wave vectors k are very different at the Fermi level. This brings wave functions with rapid spatial oscillation in its spinstate amplitudes along the direction of propagation in real space, with a characteristic length of the order 1=jkþ  k j inside the ferromagnet. Rapid spatial decoherence follows, especially when this ks vector has any significant spread in direction for states involved in the transport, which is the case for many interfaces between different transition metals for which a large region of the Fermi surfaces from both metals is involved [11, 14, 15]. In such systems, such as kCo|Cu|Cok CPP

33

Physical Principles of Spin Torque

1343 t

||

Top view: ey

t ⊥ ex

ez

ey ex

incoming current carrying spins

ey ex

Fig. 1 The precession- and position-dependent decoherence of carrier spins upon entering a ferromagnet. The red arrow represents the average electron spin at the given position. ez is the direction of the FM’s magnetization

structures, it is safe to assume that the spin precession of the carrier electrons entering through (or reflecting from) a nonmagnetic/ferromagnet interface becomes fully incoherent within a very short distance from the interface, on the order of an inverse Fermi wave vector, thus losing its average spin angular momentum in the direction transverse to the magnetization. This process of carrier spin precession and its decoherence is illustrated in Fig. 1. This rapid decoherence has significant implications for angular momentum conservation. If one examines a spin-current transport across any one such interface, one realizes that the decoherence corresponds to the loss of the transverse component of the spin angular momentum from the carrier current. Since the interaction is between the ferromagnet’s collective magnetically ordered state that provides the local exchange and that of the transport carrier spin, angular momentum conservation dictates that the lost transverse component of the carrier spin be represented as a torque on the total magnetic moment of the ferromagnet. This is the origin of the so-called spin-transfer torque (STT) or spin torque for short.

Metal-to-Metal Interface and Spin Valves In all-metal multilayered CPP structures, an ultrathin (usually on the order of several nanometers) ferromagnet and nonmagnetic metal film stack is the active component.

1344

J.Z. Sun

Such a spin-valve junction, in the form of kCo|Cu|Cok, for example, together with top and bottom metallic leads forms the basic structure. These have been well studied for the past few decades for GMR, with the stack’s electrical resistance being influenced by the relative orientation of the two magnetic layers. The reverse of which, namely, an action of the spin-polarized current on the magnetic moment, is a direct consequence of the transverse spin angular momentum transfer due to the decoherence discussed above, giving rise to a spin-transfer torque on the ferromagnet. The concept of a conduction electron’s spin angular momentum acting on a ferromagnet via s–d-like exchange dates back many decades in the context of charge-current-induced domain wall movement [16, 17], in the discussion of a type of “dissipative” exchange coupling across a tunnel barrier in spin-dependent magnetic tunnel junctions [8] and in the ability of a spin-polarized current entering a ferromagnet to cause magnetic rotation and/or instabilities for the magnetic moments near that interface [18]. Quantitative predictions were made about consequences of STT on thin multilayered structures of the type kFM|NM|FMk around 1996 by Slonczewski [14] and Berger [19]. The main predictions are that at sufficiently large current density (of the order 107 A/cm2) and with sufficient spin polarization, the STT interaction related to the spin-polarized charge-current transport across NM/FM interface would reduce the apparent damping of the FM layer to negative values, resulting in an effective amplification of spin waves and/or macrospin precession that could lead to a complete reversal of the moment of a nanomagnet in a uniaxial anisotropy potential. The STT-induced magnetic reversal involves dynamics different from magneticfield-driven reversal [14, 20, 21]. The STT’s action is not to directly counterbalance the torque from either a magnetic field or a uniaxial anisotropy field. Instead, the STT appears as an energy-nonconserving force, similar to damping. The leadingorder effect of STT is a modification of the effective damping of the ferromagnet. When the damping coefficient turns negative, an amplification of magnetic precession results which can eventually lead to the reversal of the moment. This new effect of STT-induced magnetic excitation and reversal is illustrated in Fig. 2. Indications of magnetic excitation by spin-polarized current were reported in point-contact magnetic multilayers [22, 23]. Experimental observations of STT-driven magnetic switching were made in highly spin-polarized magnetic oxide multilayer junctions [20, 24], and in well-defined transition metal pillars of kCo|Cu|Cok [25]. Figure 3 summarizes the work of Katine et al. [25]. The observation of STT switching experimentally confirmed the basic quantitative understanding of the STT-related transport physics and magnetodynamics. It also demonstrated a simple principle for estimating the amount of current required for switching of a nanomagnet under a uniaxial anisotropy potential [14, 20, 21]. In practical units and in its simplest form, it is

I c0

   2e α ¼ mH k ℏ η

(1)

33

Physical Principles of Spin Torque

1345

I < Ic I Ic I Ic F2

N

M

d

c

H

b

damping

N

spin-torque

θ M

Conduction electrons being re-polarized by F2

e

Co Cu Co

> < σ^x σ^y ¼ iσ^z ðþ cyclical permutationsÞ:

: Tr σ^x, y, z ¼ 0 > > > : 2 σ^x, y, z ¼ I^

(5)

Then, one has

where Iˆ, sometimes also denoted as σ^0, is the 2  2 identity matrix. These properties ^ Also, given two vectors A give more generally for any unit vector n, ðn  σ^Þ2 ¼ I. and B in real space, ^ ðA  σ^Þ ðB  σ^Þ ¼ ðA  BÞI^ þ iðA  BÞ  σ:

(6)

A spin of direction n is representable in spin space by a 2  2 matrix as S^n ¼

   X ℏ ℏ Sn, ν σ^ν : ðn  σ^Þ ¼ 2 2 ν

(7)

1350

J.Z. Sun

Its expectation value along any unit vector direction n0 is therefore   ℏ Sn , n 0 ¼ Tr ½ðn  σ^Þ ðn0  σ^Þ: 4

(8)

Consequently a real-space expectation value of total spin in vector form is 1

hSn i ¼ Tr S^n σ^ : 2

(9)

Considerations for Quantitative Description of Spin Transport For combined charge- and spin-carrying transport problems in solids, following the approaches of Stiles and Zangwill [15], one can draw an analogy between the conventional particle (charge) current transport and that of the spin current. For charged particle transport, one writes the number density n(r), the particle number current j(r), and the current continuation relationship as 8 X > n ðrÞ ¼ ψ i, σ ðrÞψ i, σ ðrÞ > > > i, σ > > " # > < X ψ i, σ ðrÞ^ vψ i , σ ð r Þ j ðrÞ ¼ Re > > i, σ > > > > @n > :∇  j þ ¼0 @t

(10)

with ψ i, σ as an occupied single-particle wave function with state index i and spin index σ and ^ v ¼ ði ℏ=mÞ ∇ as the velocity operator. r is the real-space coordinate vector. To keep track of the spin component of the transport carriers, one recalls, for example, in Ref. [44] that a spin-1/2 eigenstate along an arbitrary real-space unit vector direction ns can be represented in spin space by a 2  2 matrix of ns  σ^ ¼ σ^1

 ðex  ns Þ þ σ^2 ey  ns þ σ^3 ðez  ns Þ , where σ^ ¼ σ^1 ex þ σ^2 ey þ σ^3 ez is a vector     0 1 0 i matrix with the three Pauli matrices σ^1 ¼ , σ^2 ¼ , and σ^3 ¼ 1 0 i 0   1 0 as its Cartesian basis set that defines the spin space. One may then write 0 1 ^ ðrÞ, ^ the spin-current tensor Q out for spin-1/2 particles the spin-density matrix m, and spin-current continuity relationship as X 8 > ^ ðr Þ σ , σ 0 ¼ ½m ψ i, σ ðrÞ^sσ, σ0 ψ i, σ0 ðrÞ > > > i " > # > > i

> i > > > ^ ^ δm > ^ þ @m > ^ext ¼ þn :∇  Q @t τ"#

33

Physical Principles of Spin Torque

1351

^

^ ¼ @ Qi, k (sum over repeated indices k = {x, y, z}) is a ^ and ∇  Q where ^s ¼ ðℏ=2Þσ, @k 2  2 matrix with its left index i for its Cartesian axes in spin space, as is the spin ^ , originating from sˆ as described above. The last equation in Eq. 11 is density m the spin-current continuity relation, where τ"# is the spin–flip scattering lifetime, ^ ¼m ^ m ^ equilibrium is the so-called spin accumulation, and n ^ext includes all δm externally delivered spin current. Here ½σ, σ 0 represents a matrix element in the 2  2 spin space. ^ ðrÞ is a vector matrix in real space with the 2  2 matrix indexed In this form, m in spin space. The choice of ψ i,σ for diagonalizing the corresponding Hamiltonian, when possible, would dictate a real-space spin eigenstate axis ns for {σ, σ0 } to be h “good” quantum numbers. Then the 2  2 matrix nsm^ σ, σ0 becomes a description of

^ in spin space defined by direction ns in real space. m

^ σ^β ^ along (ex, ey, ez) are mβ ¼ ð1=2Þ Tr m The projections of the spin density m for β = (x, y, z) [44], giving the total average spin angular momentum density as   1 ^ σ^: Tr½m hmi ¼ 2

(12)

The charge and spin current can often be conveniently combined into a 2  2 matrix vector form by joining Eqs. 10 and 11 to read [45, 46]



  e ^i ¼ e j^ ^ σ0  Q 2 ℏ

(13)

e ^i ¼ 1 j^ σ0  ns  σ^ 2 ℏ

(14)

 1 0 with σ^0 ¼ , so that Tr [ıˆ] gives the charge-current component, while the 0 1 traceless part of the matrix gives the spin current. In situations such as ballistic transport limit where a spin current with a unique spin eigenstate can be identified, this reduces to the form used in Ref. [46]

where ns describes the orientation of the spin current’s eigenstate orientation in real space. In this situation the local electrochemical potentials μc (scalar) and spinaccumulation potential μs (real-space vector) can be written as μc ¼

ð1 e0



Tr f^ðeÞ de

(15)



Tr f^ðeÞσ^ de

(16)

and μs ¼

ð1 e0

1352

J.Z. Sun

h   i1 F þ 1 with f^ðeÞ ¼ f FD ðeÞ σ^0 and with f FD ðeÞ ¼ exp ee being the Fermi kB T distribution function. This in linear response limit reduces the relationship between a spin-accumulation density vector δm and the spin-accumulation potential μs as 1 ^ Þσ^ ¼ ðℏ=2Þ N ðeF Þ μs δm ¼ Tr ½ðδm 2

(17)

with NðeF Þ as the density of states at the Fermi level [46]. Equations 14, 15, 16, and 17 are most convenient when describing steady-state spins in a nonmagnetic metal or in a ferromagnet with collinear spin moment alignment. For a ferromagnet in noncollinear arrangement with electron spins, the exchange field would result in rapid precession of spin states both in space and in time, making the values of Eqs. 14, 15, 16, and 17 difficult to evaluate or interpret. In a nonmagnetic metal, on the other hand, Eqs. 14, 15, 16, and 17 can give welldefined spin-current magnitude, eigenstate directions, and current directions into and out of a normal metal–ferromagnetic metal interface. An appropriate summation of these spin currents together with spin angular momentum conservation could lead to the amount of torque absorbed by the ferromagnets in question.

Origins of Spin Torque Spin Valves and a Normal Metal–Ferromagnetic Metal Interface To examine the effect of spin-dependent transport across a ferromagnet–nonmagnetic materials interface, one needs to apply Eq. 11 for each individual transport channel’s wave function, keeping account in both momentum and spin space. To illustrate the concepts with a simple case, assume a metallic kN|F1|N|F2|Nk spinvalve type of junction stack as depicted in Fig. 2. Assume transport involves only simple free-electron bands, with a large exchange splitting inside the ferromagnets F1 and F2. This model, while simplistic, would be sufficient to reveal the origins of spin torque [14, 15]. Focus on the electrons entering F2 while carrying spin magnetic moment in the direction ns = n1, where n1,2 = m1,2/m1,2 being the unit vector for the magnetic direction of F1,2. Inside F2, the exchange splitting results in a different Fermi wavelength k F for the spin-up and spin-down states defined along n2. This for a spin-carrying electron current with spin direction ns (generally noncollinear with n2) entering F2, when summed over all k-vectors involved in transport across the N|F interface, results in a rapid oscillatory decoherence of the transverse spin amplitude inside F2 – a situation addressed in the discussion surrounding Fig. 1. This transverse spin angular momentum transfer would result in a torque in the direction of m2  (m2  m1), which is in the plane of the incident electron’s spinpolarization direction m1 and that of the magnetic moment m2; thus it is also referred to as the “in-plane” spin torque or τ|| [47]. This is the process described in Figs. 1 and 2 and is the simple physical picture of an electron spin-currentinduced torque on a ferromagnet.

33

Physical Principles of Spin Torque

1353

While this physical picture of the spin-transfer torque is simple, the quantitative calculation can quickly become rather complex. In principle the treatment needs to include spin-carrying electron transport channels in all directions, into and out of the ferromagnet on both front and back interfaces, summed over all states. Important issues such as the role of reflection and transmission amplitudes and phases of the electrons at two sides of each interfaces would be important for quantitative understandings [11, 14, 15]. For a simplified, symmetric film stack of kN|F1||N||F2|Nk where F1 and F2 are identical for the interfaces facing each other and its N|F interfaces do not have spincurrent reflection from scattering of charge carriers, an in-plane spin torque of a transport current I induced on F2 was calculated to be [11] τ 2jj ¼ ηg ðn1 , n2 Þ I

  ℏ n2  ðn2  n1 Þ 4e

(18)

with cos θ ¼ n1  n2 , where η¼

I P  I AP GP  GAP ¼ ; I P þ I AP GP þ GAP

gðn1 , n2 Þ ¼ gðθÞ ¼

1      : θ r θ s þ sin2 cos2 2 2 rA

(19)

Here η is the charge-current spin polarization with current I or conductance G in the parallel (P), and antiparallel (AP) alignment between F1, 2  r A ¼ A ðRP þ RAP Þ=2 is the average resistance-area product of the junction stack (A being its area); r s ¼ pffiffiffi 3πh=e2 k2F  7:14  104 Ωμm2 is related to the Sharvin resistance [11, 48] of the N metal (rs value here is for copper). A similar discussion for the F1|N interface [49] shows a torque in the same direction acting on F1, forming a so-called “pinwheel” drive force on the two-layered magnetic stack formed by F1 and F2. This nontrivial combination of torque characteristic of the in-plane spin-transfer torque could lead to dynamic excitations of both layers of magnets if they are of similar materials and with a similar thickness [49–52]. This discussion also highlights the subtle point of the sources of angular momentum current flow. The spin current in this case originates from the spin–flip relaxation processes, mostly from the leads outside the F1–F2 structure. Where multiple reflections of the carriers are expected [53], the angular dependence of τ|| can be different from Eq. 19. One such example is theoretically discussed in Ref. [54]. It may also be possible that a reflected carrier has its spin eigenstate in a direction different from either m1 or m2, which could in principle cause more complex situations to develop. Although in reality the dephasing of reflected electron’s spin state tends to be strong, hence the assumption is often made for it to possess the spin direction of the last ferromagnet interface from which it reflects [15, 53].

1354

J.Z. Sun

Magnetic Tunnel Junction and a Tunnel Barrier Interface for Spin Transport In the case of spin-polarized tunneling, the middle nonmagnetic separation layer between F1 and F2 is replaced by an insulating tunnel barrier. For such kN|F1|I|F2| Nk structure and at a small, constant voltage bias across the stack, the charge conductances for parallel (P) and antiparallel (AP) alignment are calculated to be [13, 40, 47] GP ¼ Gþþ þ G , GAP ¼ Gþ þ Gþ

(20)

while the spin current gives an in-plane spin-transfer torque on F2 that amounts to τ2||, with dτ2jj  dV

  ℏ ðGþþ  G þ Gþ  Gþ Þn2  ðn2  n1 Þ 4e

(21)

where Gi,j with ði, jÞ  f,þg are the tunnel conductance matrix elements between spin eigenstates of F1 and F2 in two collinear alignment geometries: Gþþ ðG  Þ are for left (F1) majority (minority) to right (F2) majority (minority) density of states, G + and G + are for left (F1) minority to right (F2) majority density of states, and so on. The small voltage assumption is such that the conductance matrices are not strongly voltage dependent, and the constant voltage assumption is such that the voltage across the tunnel barrier is independent of the magnitude of the charge-current flow. Using the definition of magnetoresistance mr = (RAP  RP)/RP where RP, AP = 1/GP, AP are junction resistances in P and AP states and for very high tunnel magnetoresistance such as those seen in MgO-based devices [32, 40], one may assume G++  {G+ , G +}  G [40]. In this limit and assuming symmetric electrode and interfaces, one arrives at an estimate of the in-plane spin torque in relation to observable TMR values mr in the form of [40]   ℏ 2P  n2  ðn2  n1 Þ ¼ GP 4e 1 þ P2   pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (22) mr ðmr þ 2Þ ℏ n2  ðn2  n1 Þ GP ¼ 4e mr þ 1 qffiffiffiffiffiffiffiffiffiffiffiffiffi qffiffiffiffiffiffiffiffi mr P GAP where P ¼ G GP þGAP ¼ mr þ2 . Note for this definition that mr ¼ ðRAP  RP Þ= dτ2jj dV

RP  ½0, þ 1 . Readers are encouraged to compare Eq. 22 with that of the spinvalve expression, Eqs. 18 and 19.

Generalized Parameterization and the Concept of a “Mixing Conductance” The spin-transport problem described above can sometimes be simplified and generalized to a phenomenological “lumped element” circuit-like model, where

33

Physical Principles of Spin Torque

1355

the various ferromagnetic and nonmagnetic volumes (nodes) are connected via idealized interfaces supporting spin-dependent, ballistic transmission and reflection of carrier electrons [45, 46, 55]. Such interface connection is similar to the mesoscopic ballistic charge transport models of Landau and B€uttiker [56, 57]. These allow direct identification of the spin eigenstate components of the various currents entering and leaving a specific volume, providing a quantitative description of the spin torque acting on such volumes if they are ferromagnetic. Within these assumptions, the charge and spin current realizes their simplified forms as described by Eq. 27 in Ref. [46], and for an N|F interface, the total spin torque associated with transport across this particular interface can be written as τ

 1  "# gr m  ðμs  mÞ þ g"# μ  m s i 4π

(23)

where the first term is the τ|| in notations used here. The second term describes a field-like or perpendicular torque τ ⊥ due to the reflection or incomplete absorption of transverse spin angular momentum, as discussed conceptually in section “Metalto-Metal Interface and Spin Valves.” The spin-potential vector μs is defined by Eq. 16 in the normal metal adjacent to the ferromagnet in question. The quantities "# and g"# r and gi are the so-called mixing conductances. For typical metal spin valves, "# "# gr  gi [46], thus only τ|| is significant.

Modified LLG Equation with a Spin-Torque Term The microscopic mechanisms discussed in sections “A Review of Spin-Containing Quantities and Spin Transport” and “Origins of Spin Torque” introduces a transport-induced, energy-nonconserving torque term into a macrospin’s dynamic equation, the so-called Slonczewski spin-transfer torque or in-plane torque, τ||, as detailed by Eqs. 18 and 22. Combining them with Eq. 3, one has the modified LLG equation including the in-plane spin torque for the magnet with moment m receiving spin torque τ || (which is formerly also called F2 earlier in this chapter) to be       1 dm α dm Is ¼ m  Heff  þ m m  ð m  ns Þ γ dt γm dt m2

(24)

where Is is the spin-current amplitude and can be written as Is ¼

   ℏI GP  GAP 1        θ rs θ 2e GP þ GAP þ 2 cos2 sin2 2 2 rA

(25)

1356

J.Z. Sun

for all-metal spin valves from Eq. 18 and pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi   mr ðmr þ 2Þ ℏ Is ¼ Gp V 2e 2ð m r þ 1Þ

(26)

for tunnel junctions with symmetric leads and barrier interfaces with high MR and at low bias voltage, following Eq. 22. ns of course is the spin-polarization direction of the spin current Is. Note that in this expression of Eq. 24, the general form of Is could contain dependences on the relative orientation between the incoming spin current’s spin-polarization direction ns and the local moment m under description, especially so for the spin-valve case explicitly described in Eq. 25. For other forms of spin current such as a spin current at a ferromagnet–nonmagnetic interface originating from a spin accumulation induced by a charge current that does not necessarily traverse the same interface (the so-called nonlocal spin-current geometry [58–60]), the angular dependence of Is entering the free layer’s LLG equation may be different from Eqs. 25 or 26, depending on the details of the interface and metal layer transmission and reflection properties for conduction channels of different spin components. One such model system is shown in Ref. [45]. For small α and comparably small τ ||, Eq. 24 can be iterated once to read     α 1 dm Is ¼ m  Heff  m  ðm  Heff Þ þ m  ðm  ns Þ γ dt m m2

(27)

which explicitly brings out the similarity between the in-plane spin-torque term and the damping term in vector form.

Spin-Torque-Induced Magnetodynamics Time Scales, Length Scales, and Constitutive Relationship for Spin-Torque Dynamics in Continuous Medium One implicit assumption in the formulation in section “Origins of Spin Torque” (and especially section “Modified LLG Equation with a Spin-Torque Term”) is that the transport processes producing the spin torque τ || can be treated with a stationary moment configuration for m1,2, and the resulting torque expression would be usable for describing the dynamics of the magnetic moment m in Eqs. 24, 25, 26, and 27. The justification for this approximation lies in the separation of time scales between the macroscopic motion of the ferromagnetic moment m and the time-dependent dynamics the spin-carrying transport carriers experience. Fundamentally these time scales are related to the energy potentials producing Heff in LLG Eqs. 24 or 27 and the exchange-splitting energy at play in determining the transport carrier’s dynamics. The former usually involves magnetic fields no larger than a few teslas (hence

33

Physical Principles of Spin Torque

1357

an energy scale of 2μB H 104 eV), whereas the exchange splitting for conduction electrons could easily be of the order of 1 eV. The Heff precession dynamics, at 2.8 GHz/kOe, is therefore thousands of times slower than that of the transport carrier dynamics. Therefore, a spin torque derived using a stationary m treatment could usually be applied to the magnetodynamics of m in the simple form as stated by Eqs. 24, 25, 26, and 27. One area this approximation may break down is when the system temperature becomes sufficiently high and when one is interested in the details of magnetic fluctuations with the presence of spin current. In this case, thermal energy may cause the fluctuations of the carrier systems to affect that of the total magnetic moment in ways that blur the boundary of time scales for such approximations. Up till now for spin torque, one had also assumed the magnets involved were macrospins. In reality, internal magnetic degrees of freedom of the magnets such as F1,2 in a layered pillar structure as those described in Fig. 2 do have significant influence on the overall behavior of such structures under spin torque. A phenomenological way of including a magnet’s internal degrees of freedom is to explicitly include the long-wavelength magnetic exchange-stiffness term in the LLG equation. Following Landau and Lifshitz [61] or Herring and Kittel [43], one may make the LLG Eq. 3 position dependent through the substitutions of m!m(r) and Heff!Heff(r). The exchange stiffness can then be treated as a local force related to  thespatial variation of the local magnetic moment direction: Heff ðrÞ ! Heff ðrÞþ D 2μB m

∇2 m ðrÞ , which after Fourier transforming the LLG equation into its

corresponding momentum space would read 

 D Heff ðkÞ ! Heff ðkÞ þ k2 2μB

(28)

where D ¼ ð2ℏγ=Ms Þ Aex ¼ ð4μB =Ms Þ Aex is the exchange-stiffness constant (e.g., 2 D 0:5 eVÅ for cobalt [62]). More generally, the phenomenological LLG equation for a magnetic body may be rewritten as   1 dmðrÞ γ dt

"

#  D 2 ¼ m ðrÞ  Heff ðr, r Þ þ ∇ m ðrÞ  2μB m 0 r :   α dmðrÞ X þ τjj ðr, r0 Þ  mðrÞ  γmðrÞ dt r0 X

0



(29)

Note that while the exchange stiffness may be adequately treated as a local interaction within the LLG equation, other torque terms, most notably that of the dipolar interaction from different regions of the magnet of concern, may be nonlocal in nature. This is also true for the spin-torque term that τ ||, τ || may be

1358

J.Z. Sun

nonlocal because spin current in the nonmagnetic layer N could diffuse in the film plane along the interface as well. The same could happen within the ferromagnets F1,2, especially if one includes magnon scattering of conduction electrons. This for magnetically inhomogeneous N|F interfaces could induce spin currents not just between F1,2 but laterally between different regions of F1 and F2. Such lateral spin currents are particularly important for all-metal spin-valve structures, where the lateral electrical conductivity of the N layer is highly relative to the interface resistance at N|F. The damping term could in principle become nonlocal, too, especially when one considers the precession-related electrical voltage effects known as spin pumping [63–65]. These nonlocal interactions involve different length scales and functional forms. The dipolar interaction is long range, following a jr  r0 j2 force dependence. This is a well-known difficulty in treating micromagnetic problems. The spin-currentrelated nonlocal interactions are generally more complex but tend to be truncated by spin–flip scattering processes which usually decays exponentially in distance with a characteristic length scale of lsf, a materials parameter, usually related to the strength of spin–orbit interaction of the material. High-energy magnon-mediated spin–flip scattering could be more complex, although that could in principle also be included phenomenologically in the relevant parameters of lsf of the material. The combined effects of these nonlocal interactions tend to be rather difficult to capture except for a few very special cases. Numerical simulations, on the other hand, could include these interactions if necessary but at the expense of computation intensity. A special class of problem attracting a lot of practical interests is the effect of spin current on the motion of magnetic domain walls. Such discussion is however beyond the scope of this chapter. Readers are referred to, for example, Ref. [66] for further discussion. The quantity lsf can vary widely depending on materials and structures. In a nonmagnetic metal such as Cu, the zero-temperature lsf can be of the order of 1 μm. It decreases to about 100 nm at room temperature. Normally lsf would decrease for heavier elements due to the rapid increase of spin–orbit scattering. Interfaces and atomic disorder can result in additional spin–flip scattering, reducing the effective lsf. In ferromagnets and antiferromagnets, lsf is usually much shorter and often difficult to define and measure for some materials due to the importance of interface and atomic ordering that are difficult to control. lsf is more generally related to the spin lifetime τsf of the electronic states involved. The exact conversion between these two quantities would depend on the details of the electronic transport of the states involved and is beyond the scope of this review. The hierarchy of the length scales is often such that the exchange length λex as expressed in Eq. 2 plays a central role in determining the complexity of the LLG equation involved. This is because m (r) would vary appreciably over a length scale of the order of exchange length. Hence if the problem only has length scales shorter than λex, most of the spatial dependence issues go away, and the LLG is reduced to its simpler form of Eq. 24. For modern materials of technological interest such as

33

Physical Principles of Spin Torque

1359

the perpendicularly magnetized ultrathin CoFeB thin films forming part of the MgO-based magnetic tunnel junction, λex is usually well below 50 nm.

Zero-Temperature Macrospin Dynamics The basic dynamics resulting from a spin torque τ || can be illustrated with a zerotemperature macrospin model based on Eq. 24. For simplicity one may assume the spin current Is is with a fixed polarization direction ns, and the magnitude of Is does not depend on the relative angle of the magnetic moment m with ns – an assumption that would be modified for a spin-valve geometry as will soon be discussed below. One further assumes the simplest case where all magnetic axes including the spinpolarization direction, the applied magnetic-field direction, and the anisotropy field direction (if any) are one and the same. With this collinear alignment, the LLG equation Eq. 24 can be examined analytically for some special cases. One of the simplest special cases is if the only energy-conserving force present in the question is a collinear-applied magnetic field along unit vector direction ez. In this case the small-damping LLG equation with spin torque, Eq. 27, can be rewritten as   1 dm γ dt

  α Is m  ðm  Hez Þ þ m  ðm  e z Þ ¼ 2 m m   ~ α ¼ m  Hez  m  ðm  Hez Þ m

¼ m  Hez 

(30)

~ ¼ α  I s =mH. The last line in Eq. 30 recovers a normal LLG equation form with α Eq. 3 without explicit spin-torque terms but now with a spin-current-controlled ~. apparent damping coefficient α The leading-order effect of the spin torque can readily be deduced from Eq. 30. The effect of the spin torque is seen here as to modify the apparent damping of the macrospin dynamics. Depending on the sign and magnitude of the spin current, it ~ to become larger or smaller than the could cause the apparent damping coefficient α ~ changes sign into materials LLG damping α or even to reverse sign. When α negative values, the macrospin’s precession is no longer damped but rather amplified, resulting in an increase of the precession cone angle over time. Thus, the point ~ ¼ 0 is a critical instability threshold, and it defines the threshold spin current of α for inducing magnetic excitation and even magnetic reversal. The threshold spin current thus defined has the form Is,critical = mHα. For a macrospin in a strong collinear uniaxial anisotropy energy well, Heff = Hk cos θ with cosθ = nm  nH. The dynamics near the bottom of the well of θ  0 is not significantly different from a unidirectional field discussed above. Thus I s, critical ¼ αmðH þ Hk Þ:

(31)

1360

J.Z. Sun

Translating this into its corresponding charge current, one has the threshold critical current expression Ic ¼

   2e α m ðH þ H k Þ e ℏ η

(32)

where   GP  GAP 1        e η¼ θ rs θ GP þ GAP þ sin2 2 cos2 2 2 rA

(33)

for all-metal spin valves such as Co|Cu|Co. This relation follows directly from Eq. 25. Here instead of assuming a charge current with angle-independent spin polarization passing through the nanomagnet, the expression for e η already includes a realistic symmetric spin-valve transport model [11] for converting a spin-current threshold back to a charge-current threshold. This threshold Ic may be generally asymmetric for P-AP state, corresponding to a θ = 0 initial state, and AP-P state, corresponding to an initial θ = π. For high TMR tunnel junctions, the instability threshold in the term bias voltage reads    2e α 2ðmr þ 1Þ pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi mðH þ Hk Þ Vc ¼ ℏ GP mr ðmr þ 2Þ

(34)

which follows from Eq. 26. This threshold is symmetric in voltage for the P-AP and AP-P transitions rather than in current, i.e., the same parallel state conductance factor GP enters the threshold expression for both configurations. This is also a result of the spinor transformation and its related consequences on transport conductance matrices [13, 39, 40]. If one writes for an MTJ the P-AP transition threshold current as Ic, PAP = GPVc, one could reuse Eq. 32, with an effective polarization factor of e η¼

pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi mr ðmr þ 2Þ=2ðmr þ 1Þ

(35)

However, use caution since the AP-P transition threshold current would be much less, corresponding to Ic, APP = GAPVc. The difference in angular dependence of the torque between a spin valve and an MTJ is due to the difference of impedances between the two ferromagnetic electrodes with respect to the full stack. In a spin valve the impedances are similar, and a change in magnetoresistance results in different voltage distributions which affects spin accumulation and thus spin polarization of the currents flowing through the relevant interfaces. For an MTJ, the tunnel interfaces’ impedance is assumed to

33

Physical Principles of Spin Torque

1361

be much larger than the rest of the pillar. Thus, the spin-accumulation-related corrections to total voltage from the rest of the pillar are negligible in most practical situations. The instability thresholds Eqs. 32 and 34 can also be more carefully derived using a small cone-angle-linearized LLG equation including all anisotropy terms. One important case is the situation of a thin-film nanomagnet with a uniaxial anisotropy axis lying in the film plane and a strong easy-plane demagnetization field 4πMs as dictated by the thin-film shape. In this case one replaces the m(H + Hk) term in Eqs. 32 and 34 with m (H + Hk + 2πMs) [14, 20, 21]. The instability threshold is only a threshold for small cone-angle instability. It does not necessarily lead to a full reversal of the magnetic moment direction in general. However, in these two special situations discussed above (with simple uniaxial anisotropy alone or a combined uniaxial and easy-plane anisotropy) and at applied fields smaller compared to anisotropy energy scales, it turns out this instability does lead to a full reversal of the magnetic moment later in time. Another simple case to examine is if the spin-polarization direction ns in Eqs. 24 or 27 is not collinear with that of the uniaxial and applied field direction ez, but with ns  ez ¼ cos ϕ. In this case the critical spin current Eq. 31 and the resulting critical charge current or voltage Eqs. 32 and 34 would pick up an additional factor of 1/cos ϕ [20, 21, 67]. This divergence of the threshold current with respect to the tilt angle when ϕ ! π/2 might be counterintuitive at first. It results from a partial cancellation of the total transferred spin angular momentum for a portion of the precession orbit of m when ϕ 6¼ 0. [21, 67]. These instability solutions derived above do not include effects of finite temperature which is important for nanomagnet dynamics, as will be described in sections below.

Finite-Temperature Macrospin Dynamics LLG Equation with a Langevin Field for Finite-Temperature Dynamics At finite temperature and in thermal equilibrium with a thermal bath, a macrospin will have a finite probability of being found near its energy potential minimum with a probability described by the Boltzmann distribution. The time-dependent LLG equation for such a system can be written as   α 1 dm ¼ m  ðHeff þ HL Þ  m  ðm  Heff Þ γ dt m

(36)

which is similar to the zero-temperature Eq. 3’s Heff but with an additional whitespectrum random vector field HL (also called the Langevin field) to describe the thermal fluctuation due to interaction with the thermal bath. One may write HL ¼ HLx ex þ H Ly ey þ HLz ez in Cartesian coordinates, with the three components

1362

J.Z. Sun

satisfying hHLi i ¼ 0 and H Li HLj ¼ H2L δi, j where fi, jg  fx, y, zg, and with the amplitude HL determined through the fluctuation–dissipation relationship, giving a pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi HL relating to the system temperature T as H L, i ¼ 2αkB T=γmI ran, i ðtÞ (i = x, y, z), where Iran(t) is a Gaussian random function with the first two moments of hI ran ðtÞi ¼ 0 and I 2ran ðtÞ ¼ 1 , with the three components’ fluctuation being uncorrelated [68]. With the presence of in-plane spin torque τ ||, if one assumes the spin-torque term is without fluctuation, one may rewrite Eq. 36 in the same way as Eq. 27:     α 1 dm Is ¼ m  ðHeff þ HL Þ  m  ðm  Heff Þ þ m  ðm  ns Þ: γ dt m m2 (37) Note this is a leading-order expression, thus higher-order terms of HL are ignored here. An interesting case arises when Heff contains only a magnetic field and is in collinear alignment as assumed in Eq. 30:     ~ 1 dm α ¼ m  ðHeff þ HL Þ  m  ðm  Heff Þ γ dt m

(38)

~ ¼ α  I s =mH assumes the spin-currentwhere the apparent damping coefficient α modified value. A fluctuation-free spin current would not change HL, and thus one is led to a fictitious temperature T~ in the presence of a spin torque such that pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi α kB T~=γm , thus giving the fictitious temperature as a function 2αkB T=γm ¼ 2~ of the spin current: T~ ¼

T 1  I s =I sc

(39)

where Isc = αmH is the instability threshold spin current. Thus, for 0 Ic

Fig. 4 An illustration of the relationship between an initial angle dependence of switching time τ(θ), the thermal initial angle distribution function P(θ), and the distribution of switching time D(τ). The curve of τ versus θ0 is from Eq. 46

33

Physical Principles of Spin Torque

1365

Super-Threshold Spin Torque and Switching Speed First consider the zero-temperature situation where a precessional reversal results when a spin-polarized current I is applied through the nanomagnet at time t = 0. Assume a super-threshold condition where I exceeds the intrinsic threshold I c ¼ ð2e=ℏÞ ðα=ηÞ m ðH þ Hk Þ , where e is electron charge, α the Landau– Lifshitz–Gilbert (LLG) damping constant, and η is the spin polarization of the current as discussed in section “Zero-Temperature Macrospin Dynamics.” The switching time as defined in reference [21] is, for a small initial angle, θ ¼ θ0 1 and a linearized LLG equation for estimating the growth rate of θ from its small initial value to around π/2 under I > Ic is: τ with τ0 ¼



ℏ 2μB



1 ðHþHk Þα

  τ0 π ln 2θ0 ðI=I c  1Þ

(46)

BÞ ¼ ðηm=μ ðI c =eÞ .

Equation 46 describes the relationship between the initial angle θ0 at time t = 0, defined as the time when the spin-polarized current incurs a step rise from zero to the value of I and the amount of time τ it takes for the nanomagnet to subsequently reverse its moment under the influence of the spin current and at zero temperature. To treat finite-temperature effects simply, one first considers an approximation and assumes that the only the effect from finite-temperature thermal agitation is on the initial condition distribution P(θ). In this case, a direct relationship can be established between the initial angle distribution and the switching time distribution function D(τ), as illustrated in Fig. 4. Note the very large angle behavior of τ(θ) would not follow the exact form of Eq. 46 which is only a small θ expansion form. The conceptual relationship however remains valid, and for most practical situations, the approximation Eq. 46 remains useful, as large initial angle events are truncated by the exponentially decreasing probability in P(θ). Also, the time the trajectory spent in large θ territory after a large I>Ic excitation is relatively short compared with the time it takes for the initial growth of θ [21]. The thermal-fluctuation-dictated initial angle is random in φ. The average angle for θ for a nanomagnet containing only an uniaxial anisotropy is: ð1 hθ i 

 exp ξθ2 θ sin θdθ

ð01

 exp ξθ2 sin θdθ

rffiffiffiffiffi π  4ξ

(47)

0

with ξ = Eb/kBT. The corresponding reversal time average over the results of Eq. 46 with θ set to be thermal initial value of hθi is: 1 π  τI ðlnξ þ CÞ hτi  ln 2 2

(48)

1366

J.Z. Sun

where C ¼ 

ð1

expðγ Þ ðln γ Þ dγ ¼ 0:57722 is the Euler number and

0

τI ¼ τ0 =ðI=I c  1Þ:

(49)

One may also compute the distribution function D(τ) defined on τ  [0, + 1] as D(τ) dτ = P(θ) sin θ dθ. This gives       π2 π 2 2τ 2τ ξexp  ξexp  D ðτ Þ ¼  2 τI τI 2τI

(50)

which has a peak position at τpk

 τ   π 2 ξ I ¼ : ln 4 2

(51)

   2 1 : e τI

(52)

and the peak value

 D τpk ¼

Beyond the peak, D(τ) decays with a time constant of τI/2. Thus the width of D (τ) is Δτ ¼ τI =2 and is only weakly dependent on ξ. The probability of the junction having not switched at time t is defined as   2   2  π ξ τ2t π ξ e I þ O exp  Er ðtÞ ¼ 1  DðτÞ dτ ¼ 1  exp  4 4 0 ðt

(53)

which in the limit of t=τI  1 leads to the residual error’s asymptotic relation  2     2   π ξ 2t π ξ exp  , ðEr 1Þ: Er ð t Þ  þ O exp  4 τI 4

(54)

This residual error function is robust against a small adiabatically administered initial tilt of the easy axis. The initial tilt angle θt would modify Er(t) only in terms of the order exp ðπ 2 ξ=4Þ or smaller. A treatment of the problem using the Fokker–Planck formulation [68, 69] includes additional thermal effects such as the diffusion of initial states over time and the diffusive nature of probability evolution during reversal. This gives rise to a very similar result, with the same time-dependence component and an amplitude prefactor that appears to be within a factor of 2 of that for Eq. 54. Equation 54 can be equivalently viewed as a probability distribution function for the switching threshold current I if one fixes the switching time t at a certain switching pulse width in time and explicitly writes out the switching error function

33

Physical Principles of Spin Torque

1367

Er (with an appropriate normalization prefactor) in terms of I by inserting the definition of τI from Eq. 49 into Eq. 54. This obviously gives an exponentially decreasing Er at I  I c limit. Similar to the situation in time-variable expression, this also points to a small but finite residual probability for the nanomagnet to not switch for any given time at drive current I. This is the nature of STT-induced switching, corresponding to the condition for zero average spin torque per precession cycle which would always be present due to the sin θ dependence of the spin torque. In a finite-temperature scenario, this corresponds to a small region for initial state distribution on the (θ, ϕ) unit sphere near the equilibrium position before the spin torque is turned on. States within this region would experience asymptotically zero initial spin torque per cycle of precession, and the switching time would become very long as a consequence. This result is robust within a macrospin model. Experimentally the situation can be more complex due to internal degrees of magnetic freedom as well as a more complex energy potential landscape especially at finite temperature. Comparison between this simple, initial condition-only model calculation described in the previous sections and a mathematically more rigorous, numerically evaluated full Fokker–Planck equation calculation has also been done [69]. The results of this simple model appear in reasonable agreement, with a full Fokker–Planck result generating slightly faster switching times at the low overdrive ðI=I c 1Þ limit. The exponentially decreasing tail of the non-switching probability Er, either in τ or in I, remains essentially the same [69, 75, 77]. This tail, however, is yet to be experimentally observed, probably due to the non-macrospin nature of the devices experimentally studied to date [78, 79]. Higher-precision statistics at shorter switching time (well below 10ns) and for smaller junctions (probably below 20 nm) would bring experimental situation closer to the macrospin model assumptions, and one would have a better understanding of whether this macrospin model predicted “stagnation” behavior [69, 75, 77] and is likely to cause practical problems for reliable write operation for a memory element in its small-size limit, for example.

Subthreshold: Spin-Torque-Amplified Thermal Activation In the limit of I I c and at finite temperature, there remains a finite probability that the macrospin would be excited over the top of its uniaxial barrier and switch directions. This process is governed by thermal activation assisted by spin torque. The thermal-activated reversal for a macrospin in a uniaxial potential without spin torque was well known [68]. It gives a lifetime before transition of the form τ ¼ τA h i exp ξð1  H=H k Þ2 with τA  πℏ=μB H k the inverse of the characteristic frequency at the bottom of the uniaxial potential well. Follow the discussion of section “Finite-Temperature Macrospin Dynamics,” a rescaling of the macrospin’s temperature by a fictitious T~ reflecting the involvement of spin torque that gives explicitly the role of spin torque as

1368

J.Z. Sun

h i τ ¼ τA exp ξð1  H=Hk Þ2 ð1  I=I c Þ ;

(55)

which gives the probability of the macrospin not switching at the long time limit of t  τA after the application of spin torque as approximately       t I Er ðtÞ ¼ exp  exp ξ 1  , ðI I c Þ: τA Ic

(56)

These relationships and concepts are important for the discussion of spin-torquebased memory device’s switching speed, switching distribution, memory retention, and stability against read disturbance. A more careful treatment with full Fokker–Planck formalism has been carried out as well [70, 74, 80, 81]. The results are generally similar to Eq. 56, except when in simple uniaxial anisotropy field and in collinear alignments, when one expects an exponent of 2 instead of 1 for the factor (1 – I/Ic) term in Eq. 56 [75, 82]. This exponent of 2 case however is a very special one, requiring the exact knowledge of the shape of the energy potential at the top of the barrier, as well as a precise collinear geometry for magnetic anisotropy, applied field if any, and the spinpolarization directions. A closer examination mathematically [83] at the apparent exponent β of the (1 – I/Ic)β shows it will depend on the details of the quantitative and specific magnetic configurations. Sample numerical results from the study show such apparent value of β can easily cover the whole range of 1 β 2. Detailed quantitative experimental comparison remains difficult, as the limit of I=I c 1 is hard to achieve in an MTJ with laboratory time scale in most experiments with sufficiently high-energy barrier Eb. Despite such difficulties, some recent experiments successfully established some quantitative comparison between measurement and model estimates [76, 84, 85], using perpendicularly magnetized spin-valve systems, although the devices experimented with, while relevant to technological applications, remain too large in size to be directly compared to the simple analytical results of macrospin models.

Exchange Stiffness, Internal Degrees of Freedoms, and Magnons For a thin-film magnet under spin torque, as discussed in section “Time Scales, Length Scales, and Constitutive Relationship for Spin-Torque Dynamics in Continuous Medium,” the internal degrees of freedoms would significantly affect the thin-film response. Those problems are generally highly complex and can only be solved using numerical integration of the LLG equation over the volumes involved. Several conceptual issues, however, may be worth more discussion.

33

Physical Principles of Spin Torque

1369

Linearized LLG in the Continuous Medium Limit Containing a SpinTorque Term In this limit, one assumes the magnetic thin film is near its equilibrium easy-axis direction. For simplicity further assume the easy axis is collinear with the spin polarization of the reference layer direction and that of the applied magnetic field if any, further simplifying Eq. 29 to ignore any spatial dependence of spin torque τ||. Following the treatment of spin waves within LLG by, for example, Herring and Kittel [43], after some algebra one arrives at a linearized instability threshold for spin torque to destabilize any particular spin-wave mode with momentum vector k⊥m as      2e α Dk2 I c ðk Þ ¼ m Hk þ H þ ℏ η 2μB

(57)

with the effective spin polarization η assuming the same forms as discussed in section “Zero-Temperature Macrospin Dynamics” for spin valves and MTJs, respectively. Here D is the spin-stiffness constant often used to parameterize the long-wavelength spin-wave (magnon) dispersion characteristics in the form of 2 magnon energy eðkÞ ¼ Dk2 . For Co, for example, D  0:5 eVÅ [62]. Note that Eq. 57 is for one specific spin-wave mode with a unique k. This is often nonphysical Ic, in the sense that there could be other instabilities in lower k modes (e.g., macrospin being k = 0 is the lowest). Any excitation of such lower-lying modes would cause a growth of excitation amplitude, breaking the small-angle linearized LLG equation assumption. In certain boundary conditions, however, it is possible to shift the stability thresholds of these different modes differently, and a finite k mode could become the lowest-lying threshold. One conceptual example would be a circular nanomagnet thin film with circumferential edge magnetic moments completely pinned in one direction. In such a case the threshold for k = 0 macrospin would become very high due to exchange energy cost compared to a finite k mode with the wavelength corresponding to the size of the nanomagnet.

Confined Spin-Current Excitation of Spin Waves, One Quantitative Example One boundary condition problem related to spin-wave excitation by spin torque is solved within the linearized LLG framework by Slonczewski [49]. This is a model system with a radius a circular confinement of spin-current injection area and an extended magnetic thin film for outward-radiating spin waves. The magnetic film’s easy axis and the applied field are perpendicular to the film direction. For such a boundary condition, the threshold current is calculated to be #     "   2e 6:31 α 0:7515 Dðπ=2aÞ2 Ic ¼ m H eff þ : ℏ 2π η α 2μB

(58)

1370

J.Z. Sun

The intercept of this threshold expression at zero Heff reveals a rather intrinsic threshold for initiating magnon excitation and propagation that is independent of contact size a: I cw ¼ 5:85

    2e Ms t D ℏ η 2μB

(59)

2

which for 1 nm thick Co type of films with D  0:5 eVÅ at η = 0.5 gives an Icw  1.5 mA.

Experiments Exploration of interaction between ferromagnetic bodies and charge-current-carrying spin current began in the 1970s and 1980s [8, 16, 17, 86–88]. The pace of experimental work accelerated significantly in the last decade, originating from several factors including a clearer theoretical understanding, as well as a more direct access to controlled experiments and device structures allowing quantitative investigations, thanks to the development of modern fabrication technologies. Potential applications in solid-state electronics further fuel the interest and accelerate the progress.

Spin-Torque-Induced Magnetic Excitation and Switching Early experiments that directly reflect the action of spin torque were done using giant magnetoresistance (GMR)-based metallic multilayers with point contact [22, 23], in nearly half-metallic manganite ferromagnetic junction structures [20, 24] and in electron-beam lithographically patterned Co|Cu|Co nanostructured spinvalve pillars [25]. These experiments demonstrate the presence of a current-induced change in junction resistance. The change has a threshold-like behavior, and the threshold shows systematic dependence on applied magnetic field. A few of the experiments went on to demonstrate full bias-current-induced hysteretic magnetic reversal of one of the layers constituting the device structure [20, 24, 25]. The observed threshold switching current demonstrated the expected dependence on applied magnetic field [20, 24, 25], suggesting the presence of a spin-torqueinduced switching mechanism. Further proof for the involvement of spin-torquedriven dynamics was shown when continuous microwave oscillation was observed in nanomagnet pillar-based spin-valve junctions [89]. There has since been a large body of both experimental and theoretical works exploring the nonlinear oscillator behavior of a nanomagnet under the influence of a spin-torque term. For in-depth discussions, the readers are referred to Refs. [90–93] and references therein. The effect of spin torque on finite-temperature thermal-fluctuation process was recognized when experiments with nano-patterned CPP spin valves revealed a finite

33

Physical Principles of Spin Torque

1371

subthreshold switching probability whose resulting probabilistic switching rate as a function of the drive current amplitude showed a log-linear dependence, characteristic of thermal activation [94, 95]. These experimental works led to the quantitative description of the finite-temperature spin-torque dynamics described in section “Finite-Temperature Macrospin Dynamics.” The general behavior of the experimentally observable spin-torque switching threshold value and its statistical properties over different time scales has been well studied both for spin-valve systems and for tunnel junction-based nanomagnets. Detailed quantitative understanding however has been complicated by two factors – the often complex magnetic anisotropy energy potential involving orthogonal easy-axis and easy-plane anisotropies and non-macrospin-related magnetodynamics. The first factor makes it difficult to have accurate analytical expressions for the description of the switching probability as it depends on the amplitude and time duration of the spin torque even in the very simple macrospin model. The second factor makes macrospin model inaccurate for device sizes much larger than around 20 nm for quantitative work. Both issues are being addressed, thanks to materials and lithography technology improvement. A new generation of spin-torque switches has emerged, based on magnetic films having net perpendicular anisotropy (PMA films) [85, 96–99], which makes the modeling more accurate as analytical results can be obtained in many cases. Direct comparison with experiment reveals valuable insight into the roles different materials parameters play [76, 78, 85, 100]. Advances of lithography tools and technologies have at the same time enabled fabrication of device structures below 20 nm in size, making it feasible to realize a nearly macrospinlike experimental system, facilitating quantitative comparison with theoretical understanding [78, 101–103].

Spin Torque in Magnetic Tunnel Junctions After the observation of spin torque in early magnetic nanostructures mostly based on all-metal spin valves or magnetic oxides, a significant experimental advance was the observation of spin-torque effects in magnetic tunnel junctions. The first observation was reported in permalloy–AlOx–permalloy tunnel junctions [38]. The presence of spin-torque-induced switching in tunnel junctions means it is now possible to impedance match a spin-torque switchable device with that of VLSI CMOS technology, thus opening up possibilities for CMOS-integrated applications. The advance of MgO-based very high MR MTJ further made these applications feasible, as it was soon clear that MgO-based magnetic tunnel junction can also be switched by spin torque and with greater effectiveness [104, 105]. These experiments also brought forth a major materials advance by making use of the CoFeB as precursor materials for the tunnel electrodes in combination with MgO (100) tunnel barrier. The method of thin-film stack synthesis utilizes high-precision sputter deposition tools together with an optimized postdeposition anneal route for

1372

J.Z. Sun

ensuring the proper orientation alignment between the MgO (100) tunnel barrier and the resulting (bcc) crystalline CoFeB tunnel electrodes for large MR [106]. The high-quality MgO-based tunnel junction made available during this period also enabled quantitative measurement, for the first time, of the magnitude and bias voltage dependence of the spin torque in such devices [47]. These measurements confirmed the theoretical understanding of a nearly linear dependence between the “in-plane” component of the spin torque τ || and tunnel junction bias voltage at low bias (below 0.4 V), as discussed in sections “Magnetic Tunnel Junction and a Tunnel Barrier Interface for Spin Transport” and Zero-Temperature Macrospin Dynamics.” It also revealed the presence of a significant “perpendicular” torque τ ⊥ that is quadratically bias dependent, consistent with earlier studies of an exchange-like interaction across a tunnel barrier [8, 107–109]. More recently, these measurements have been extended to higher tunnel junction voltages by employing a low-duty-cycle time-resolved resonance technique, where a nonlinear apparent reduction of τ || against bias voltage above 0.4 V was seen in one polarity [110].

Applications Spin torque provides an effective way of manipulating nanometer-sized ferromagnets using a spin-polarized current. This has been recognized early on as possibly enabling a magnetism-based all-solid-state memory. Such memory, usually called magnetic random-access memory or MRAM, has been in development for years for special niche applications that require fast, nonvolatile, and radiation-hard memories. First-generation MRAMs use current-induced magnetic field for writing of magnetic bits, which suffer from increasing demands of the amount of magnetic field required for writing as the bit size is scaled down. The technology is generally viewed as unsuitable for magnetic bit sizes much below 100 nm because of the extraordinary amount of write current that does not scale with semiconductor technology. Spin torque provides an effective alternative means of writing a small magnetic bit using spin-polarized current that can be scaled down to smaller sizes – perhaps to ten nanometers or below – before eventually reaching the tunnel barrier’s maximum allowed current density. Spin-torque-induced magnetic oscillations occur at microwave frequencies and have been explored for applications where a compact and tunable microwave source is desirable such as for short-distance communications between circuits. Spin torque is also a force present during the operation of a magnetic-field sensor based on MTJ or spin valve, such as the types used in magnetic harddisk readers. There the noise and dynamics characteristics are affected by spin torque. More recent discussions have broadened to the possible use of a spin-current controllable magnetic device as a logic-gate element that may offer some advantages over CMOS for certain applications at advanced technology nodes in terms of power dissipation and nonvolatility of intermediate logic states [111, 112].

33

Physical Principles of Spin Torque

1373

Spin-Torque Switchable Magnetic Tunnel Junction as Memory Devices Idealized Device Characteristics A two-terminal junction having two or more stable states that can be switched using a controlled amount of bias current (or voltage) constitutes a memory element. To make effective use of such an element in advanced CMOS circuit environment requires the two-terminal device’s electrical impedance and signal swing to match that of the CMOS transistor-based circuits. This was enabled by the discovery of MgO-based MTJs with large MR well over 100 %. The simplest circuit element for such memory application has current–voltage characteristics as shown in Fig. 5a. a

0.4

c

AP-P

tSW

V ( V)

0.2 0.0

P-AP −0.2 −0.4 −0.10

0.00 0.05 I (mA)

0.10

d

AP

RJ tSW

1/τsw

b

I −0.05

Sub-threshold switch

P

Time

Ic

I

Fig. 5 The basic device characteristics of a spin-torque-based two-terminal switching element, in the macrospin limit. (a) The I–V characteristics under nearly constant voltage drive showing the low-resistance (magnetically parallel or P) state and the high-resistance (magnetically antiparallel or AP) state and the switching thresholds between the two states. Inset: a possible symbol for the spin-torque-switched MTJ as a two-terminal circuit element. (b) The time–domain behavior of the junction resistance during switching. Thermal noise would cause the exact switching time τsw to fluctuate with a well-defined probability distribution that is described in section “Switching Speed and Dynamics of a Macrospin Under Spin Torque.” The oscillation in this illustration is only meant to show magnetic precession. Its resistance change may be minimal especially if the MTJ is of the type involving only symmetric, perpendicularly magnetized films whose magnetic precession around easy axis would not result in resistance oscillation. (c). The relationship between switching time τsw and the drive current (or voltage) amplitude when driven above the threshold Ic or Vc, as defined by Eq. 46. (d) The dependence of mean switching speed 1/τsw on bias amplitude. Above threshold the linear dependence and its distribution are governed by Eqs. 46 and 53. Below the threshold, by Eqs. 55 and 56

1374

J.Z. Sun

The threshold voltage for an MTJ can be estimated using Eq. 34, which relates switching threshold voltage Vc or the corresponding P-AP threshold current Ic = GpVc to the uniaxial anisotropy barrier height Eb = mHk/2 when considered within the macrospin model assumptions, giving Ic ¼

   4e α Eb e ℏ η

(60)

where e η is defined by Eq. 35. For macrospin, typical materials parameters would indicate an Ic of the order of 30–50 μA if Eb  60kBT where T = 300 K. Of experimentally verified devices with sizes larger than 30 nm or so, the Ic tend to be significantly larger than such macrospin-derived values. This is believed to be related to non-macrospin behavior of finite-size devices and in particular a form of thermal and spin-torque excitation that is sub-volumes [78, 102, 103]. Equation 60 indicates a minimum write current independent of junction size if one is to maintain the same data retention lifetime or Eb. This is likely the ultimate limiting factor for scaling of spin-torque-based MRAM bit size [20, 21, 113]. Given a tunnel barrier’s current density threshold for damage to be of the order 107 A/cm2, one should expect the junction size to be scalable down to about 10–20 nm, while if using all-metal spin-valve type of structures, either directly or via the nonlocal spincurrent approach discussed below, the limiting current density is likely to be an order magnitude or so higher, and hence the magnetic bit size another factor of 3–10 down, or to perhaps around 5 nm, and limited not so much by write-related breakdown as by the achievable Eb in such small volumes. Above threshold, there is a trade-off between write current and write speed. The product of write current Iw and write time τw follows a simple conservation relationship of  ðI w  I c Þτw 

m μB

  e κ e η

(61)

which is a reflection of angular momentum conservation during the spin-torque switching process. A numerical factor κ describes the details of the writeprobability distribution’s shape. For a τw defined as the peak switching probability density in time, κ = (1/2) in (π2Eb/4kBT) according to Eq. 51. Equation 61 suggests an improvement of switching speed upon the reduction of the total magnetic moment m. Thus switching speed usually improves for smaller junction bits. For a typical junction of the lateral size of somewhere between 50 and 100 nm, (Iw  Ic)τw  (0.1–1)  1012 Coulomb depending on device size and total magnetic moment involved. This relationship is illustrated in Fig. 5c, d. Below threshold Ic and at finite temperature, there is a finite probability for thermally activated reversal. This is discussed earlier in section “Sub-Threshold: Spin-Torque-Amplified Thermal Activation.” It relates to memory applications because (a) the thermal activation lifetime Eq. 55 limits the data lifetime of stored data bit, and (b) when reading a data bit, finite bias voltage (and current) is applied,

33

Physical Principles of Spin Torque

1375

which can lower the effective activation barrier (e.g., making Eb ! Eb (1  I/Ic)), shortening data retention time. This is the so-called read–disturb which needs to be taken into account during memory design. Note that this process redistributes the probability function around the energy minimum, and it takes some time for this redistribution to complete [75]. The time scale involved is on the order of τA/α [76] where τA  πℏ=μB Hk is the magnetic attempt frequency-related time scale and α the LLG damping. Nonlinear mode coupling among different magnons may affect this time scale too.

Spin-Torque Switching Efficiency and Control of Magnetic Anisotropies A key attribute to improve for CMOS-integrated memory application is the amount of current needed for spin-torque-induced magnetic switching. It needs to be minimized while at the same time retaining the devices’ ability to store information for extended periods of time (typically 10 years as a specification). That is, one needs to minimize Ic while maintaining a certain value of Eb. A thin-film MTJ’s magnetic anisotropy can have a variety of forms. The situation that is theoretically easiest to analyze involves a single uniaxial anisotropy, in which case the threshold current is directly proportional to the barrier height, as discussed in sections “Zero-Temperature Macrospin Dynamics” and “Idealized Device Characteristics.” This is not naturally the case for a thin-filmbased MTJ whose free layer tends to experience strong shape-induced demagnetization field keeping the moment in-plane. In fact, the earlier demonstrations of spin-torque switching devices were all made using devices with predominantly in-plane magnetic anisotropy (IMA for short) thin films. In such cases, the uniaxial anisotropy axis is also in-plane and often controlled, at least in part, by a highaspect-ratio (e.g., 1:2–1:4) shape of the MTJ. In such configurations, in addition to the uniaxial anisotropy, there is a strong easy-plane anisotropy 4πMs, which results in a much increased spin-torque switching threshold current determined by m (Hk + 2πMs) as discussed at the end of section “Zero-Temperature Macrospin Dynamics.” The easy-plane anisotropy does not contribute to thermal activation barrier height Eb = (1/2) mHk. It therefore dilutes the effectiveness of spin torque, increasing its threshold without correspondingly increasing the desired barrier height. An obvious remedy for this situation is to make use of thin-film materials with strong crystalline or interfacial anisotropy that is perpendicular to the film surface with a magnitude exceeding that of the in-plane demagnetization energy 2πM2s . For such films, the magnetic easy axis would be perpendicular to the film surface. This is the so-called perpendicular anisotropy (or PMA) geometry. These avoid the orthogonal anisotropy-axis configuration of an IMA device, improving switching efficiency. A common figure of merit to measure the effectiveness of a spin-torque device is the efficiency ratio of Eb/Ic0, where Ic0 represents the zero-temperature instability threshold. In theory at least, within macrospin model assumptions, PMA devices would allow a much better efficiency ratio than IMA devices. This however becomes more complex in reality for devices with sizes much larger than the magnetic layer’s exchange length, as the spin-torque switching process becomes

1376

J.Z. Sun

sub-volume and non-macrospin. A non-macrospin junction tends to degrade this efficiency ratio [78, 102]. Generally speaking, junctions with smaller lateral size in comparison with the magnetic exchange length would have better spin-torque efficiency ratio Eb/Ic0. This has recently been experimentally observed in sub-50 nm spin-torque switchable MTJs [78, 98, 101–103]. The advantage of PMA-based devices for spin-torque switching was recognized early on, although experimental demonstration required the development of new materials systems and integration of such materials into sub-100 nm lithography environment. It wasn’t until 2006 did one see first unambiguous experimental demonstration of spin-torque switching of a PMA material [114] and a (Co|Ni and Co|Pd)-based spin-torque switching with improved spin-torque efficiency [115]. These discoveries were rapidly followed up by other PMA materials for switching device exploration since.

Factors Important for Memory Applications Key to applications of spin-torque-driven MTJ in memories is the availability of an appropriate magnetic thin films with PMA that is compatible with high MR MTJ requirements, such as those using (001) MgO as tunnel barrier. For this the PMA thin films need to have sufficient perpendicular anisotropy to overcome the demagnetization and supply for sufficient uniaxial anisotropy with Eb > 50kBT or so with T being ambient temperature. The film needs to have as strong an exchange stiffness as possible, so as to make exchange length long enough to be comparable to lateral device dimension to minimize sub-volume agitation-related device property degradation. The film further needs to be highly crystallized, at least at its interface with MgO, with a (bcc) symmetry matched into the (001) orientation of the MgO barrier. This is to satisfy the spin-dependent tunnel junction’s requirement for band matching in order to have high spin polarization and large TMR. For technology integration and cost reduction, the tunnel junction materials stack would further need to be compatible with standard CMOS back-end processing temperature, usually around 350–400 C. The combination of these requirements presents a difficult set of challenges for materials development, although amazing progress has been made [79, 97–99, 116] since 2005, when SONY first demonstrated a successful integration of an IMA MgO-based MTJ spin-torque device with a backend CMOS process [117]. The fundamental scaling of a spin-torque-driven MTJ as a memory element is likely limited by the amount of current density a tunnel barrier can support. To satisfy data retention, an Eb > 60kBT would usually be necessary, meaning the total current of a switching device is likely to stay above what is dictated by Eq. 60 for a macrospin, which is of the order of 30–50 μA. Assuming a tunnel barrier breakdown voltage of about 0.5 V at an RA product of about 1 Ω μm2, the breakdown current density would be about 5  107 A/cm2, corresponding to a minimum junction size of about 10 nm across. There are a few additional factors that could mitigate this limit to some degree, such as the Joule heating and hot-electroninduced magnetic heating of the MTJ structure during switching current

33

Physical Principles of Spin Torque

1377

application. The macrospin-based value would nevertheless be a good starting point for estimating the device performance one could expect. The switching or “write” error probability for write pulse width τ and height V decreases with increasing magnitude of the write voltage bias. In macrospin limit and for short pulse width τ ~ τ0, the relationship follows Eq. 54, which can be rewritten to read    2   π ξ 2τ V 1 , exp  Er ðV, τÞ  4 τ0 V c0

for V > V c0

(62)

m=μB as defined in section “Switching Speed and Dynamics of a eηVc0 =Rp e Macrospin Under Spin Torque” and e η as defined by Eq. 35. ξ ¼ Eb =kB T. Experimentally, the observed write error probability versus write voltage in 100 nm size devices at τ ~ 10 ns (which doesn’t exactly satisfy the short time limit but close) shows slightly faster decrease [79] than the exponential dependence predicted by Eq. 62, although the observed Vc0 tend to be a factor of 2–5 larger than macrospin prediction. This is most likely due to the non-macrospin nature of the switching process in combination with the requirement of total angular momentum conservation [78]. The exponential nature of the macrospin switching probability versus write voltage is potentially a concern for devices at scaling limit (when approaching macrospin behavior), as it might limit the achievable write error for a reasonable write voltage. The real device behavior is only just beginning to be experimentally investigated quantitatively. Other high-energy processes may affect the details of switching statistics – processes such as spin–flip scattering of tunnel electrons may cause generation of very short wavelength magnon populations that mimic a non-macrospin situation even when the junction sizes approach macrospin crossover length scale as estimated by the spin wave’s long-wavelength exchange stiffness.

with τ0 ¼

Nonlocal Spin-Current and Three-Terminal Spin-Torque Devices As discussed earlier, the transport of spin current, and therefore the presence of spin torque τ||,, does not always accompany a charge current. This can be developed further into device concepts, where the charge and spin-current paths are separate, so that various desirable device characteristics, such as read and write impedance, magnetoresistance, and breakdown characteristics, can be optimized separately. Earlier experiments have successfully demonstrated the presence of a pure spincurrent-induced voltage signal similar to what was described by μs in Eq. 17 [5, 58]. This has led to a pure spin-current-driven magnetic switching without the charge current directly passing the nanomagnet being switched by the spin current [59, 60, 118]. A 3-terminal spin-torque-driven magnetic switch device is experimentally constructed based on these principles [119]. The basic structure is illustrated in Fig. 6. In this structure the injection layer (IL) is magnetic and extended in

1378

J.Z. Sun T3

a

MTJ for read-out

b

SAF reference layer Spin accumulation region Current-carrying layer (CL)

FL

T3

ITJ

VTJ

T2

T2

e− current

RL

Injection layer (IL)

ISV

T1 Half-Spin-Valve for writing T1

+

c

d

100

AP2PMTJ

Hc (Oe)

50 0 −50 −100 0.5Hz, ±200 Oe sweep for R(H) −20

e

0 10 ISV (mA)

20

f 0.6

5 Ic (mA)

VTJ (V)

−10

0.4 0.2 0.0

0

Eb,AP-P = 56.3,Ic0 = 6.84mA. Eb,P-AP = 56.0, Ic0 = -7.20mA.

−5 −20

−10

0 10 ISV (mA)

20

10−2 10−1 100 101 102 Frequency (Hz)

103

Fig. 6 An illustration of the device structure for a nonlocal spin-current-driven magnetic switch (a). (b) The equivalent circuit of the device. (c) A cross-section transmission electron microscopy image of a device showing the layer compositions. (d) The spin-torque switching phase boundary in (H, I) space. (e) Trans-resistance of the device at different sweep rates of the spin-valve side bias current, from 0.025 to 25 Hz. (f) Sweep-rate-dependent threshold current and the resulting estimate of thermal activation barrier height (d and f, Reprinted with permission from [119, 120, 138], Copyright [2009, 2010], AIP Publishing LLC)

lateral dimensions, and the FL and the synthetic antiferromagnetic (SAF) reference layer are magnetic and patterned down to small sizes. The layer (yellow) between IL and FL is for drawing charge current, as well as coupling spin current to the FL. It is high in electrical conductance and low in spin–flip scattering rate.

33

Physical Principles of Spin Torque

1379

The metal structure forming T1 is also patterned down to a size similar to that of the FL to provide the necessary current concentration for spin filtering through IL into the conduction layer. The charge current is injected through terminal T1 and collected at T2. Spin accumulation results in the nonmagnetic layer between T1 and the free-layer (FL) magnet. This spin accumulation drives a spin current that is absorbed by the FL. The spin current thus exerts a spin torque τ|| that can switch the magnetization of the FL. Above the free layer, a magnetic tunnel junction can be built whose resistance state can be read out between terminals T3 and T2. The shared lead resistance between input and output sides from the current carrying layer is shown as RL in the equivalent circuit (b). A common current path from the read and write circuits traverses the thin-film metal of the current carrying layer, labeled as a resistor of value Rl in the equivalent circuit in Fig. 6b. The advantage of this device structure is it allows in situ preparation of two interfaces critical for spin transport – that between the injection layer IL and the high-conductance metal layer and that between the high-conductance metal layer and the free layer – thus achieving best spin-transport characteristics. The device has been demonstrated to show switching characteristics similar to those built based on all-metal spin-valve structures for its write characteristics, reaching a threshold current of about 7 mA for Ins switching for a simple in-plane magnetic anisotropy system of CoFeB/Cu for an Eb  39kBT [119, 120]. For CMOS-integrated applications, further optimization would be necessary. Materials designs utilizing perpendicularly magnetized thin films would improve its spin-torque switching efficiency and reduce the switching current, for example. There are other 3-terminal devices demonstrated for the separation of read and write current. Some does not depend on the type of nonlocal spin transport discussed above. As shown in Fig. 7, one type of 3-terminal spin-torque switchable device uses a split contact arrangement for the magnetic free layer, thus enabling a direct, spin-valve-like action on the write operation while using a tunnel junction interface for readout [121]. Spin-torque-induced magnetic switching is demonstrated with this device configuration at 70  200 nm2 size with a 40 nm trench. The fabrication of the trench structure between the contacts above FL is lithographically challenging. A similar device structure but with magnetically coupled while electrically isolated input–output has also been proposed for possible digital logic applications [112]. More recently it has been demonstrated that one could generate the nonlocal spin current required to switch a nanomagnet using spin-Hall effect through spin–orbit interaction [122]. This results in a similar device construct as those shown in [119] but with simpler layout. It has been experimentally demonstrated as an effective way of generating large amount of spin current for switching the nanomagnet. For device applications so far the spin-Hall-based nonlocal spin-current generation seems to be fundamentally confined to a geometry where the spin polarization lies in the plane of the interface the spin current has to cross to enter the nanomagnet [122], making its application somewhat difficult for full PMA structures.

1380

J.Z. Sun

Fig. 7 A 3-terminal spin-torque switchable device using a split contact for the magnetic free layer (# [2009] IEEE. Reprinted, with permission, from [121]). (a) Schematic of the device and (b) a cross-section transmission microscopy picture of the built structure

Open Questions and Future Challenges for Spin-Torque Science and Technologies After over a decade of intense investigation, the basic phenomena involving spintorque-related magnetic excitation are reasonably well understood. Promising device applications such as spin-torque-based magnetic random-access memory are well under way. There are however still areas where quantitative scientific understandings lack, and other areas entirely new concept are being proposed and investigated as this article is being written. As a part of the larger inquiry into spindependent transport physics in condensed matter, spin-torque physics remains one of the cutting edge studies into the novel properties of matter, with the promise of many potential applications.

33

Physical Principles of Spin Torque

1381

So far discussions of spin-torque-related transport and dynamics are mostly limited to semiclassical scenarios, where the ferromagnet is treated essentially as a classical object in terms of its dynamics. The quantum mechanical equivalent of such dynamics is still being developed conceptually [123], which should provide a path for the transition between a semiclassical macrospin picture and that of truly atom-like objects with few spins, including extreme examples, such as the Kondo scattering problem. In such cases the separation of time and energy scales between the ferromagnetic body and the spin-carrying transport carriers may blur or no longer exist, and new insights into relationships between known phenomena may be gained, as well as possible discoveries of new behaviors. The noise characteristics of spin-torque-related systems are another area of potential interest, both for practical purposes in terms of sensor characteristics and for conceptual understanding. Spin torque couples the noise dynamics between the carrier electrons and that of the ferromagnets involved. A quantitative description of the fluctuation characteristics of such coupled systems far from equilibrium (under the influence of transport current) remains to be established. There remains a tremendous amount of complexity in spin-torque-related behavior in non-macrospin magnetic systems, as dictated by the nonlinear nature of most spin-torque-related large amplitude excitations. These processes and their relationship to other magnon excitations such as those induced by high-energy tunnel electrons have only recently started to attract attention for quantitative investigations [124]. Recent research begins to investigate spin current related to processes other than tunneling or spin valves. Spin-orbit-related spin-current generation and propagation received much attention [125, 126], including the so-called spin-Hall effect as a source of spin current [127–129]. Direct observation of spin-torque effect using spin current generated by such spin-Hall effect has also recently been demonstrated [129–131], including complete magnetic switching [122]. Discoveries of new state of matter such as topological insulators (see, e.g., Ref. [132, 133] for a review on the subject) might also 1 day lead to new applications. Spin-dependent transport in a temperature gradient is now being actively studied as well. A full set of spin-transport parameters equivalent to the thermoelectric effects is being established [134, 135]. Experimentally, these in transition metal ferromagnets tend to involve small signal measurements, where separation of thermoelectric and thermal spin-transport phenomena is not always straightforward [136]. Careful studies of the thermodynamic quantities associated with these transport processes also highlighted the often subtle energy-conservation requirement in spin-dependent transport processes in addition to an appropriate treatment of angular momentum and entropy current flow [65]. Spin current is also carried by magnons in ferromagnets. Consequently, a magnon-mediated heat flow is also accompanied by a spin current. A significant amount of spin current may be extracted from thermal gradients involving ferromagnets [137]. With an appropriate combination of materials maximizing heat transport via magnons, these may be turned into useful spin-current devices [137]

1382

J.Z. Sun

with greater efficiency of spin-current generation than the spin-filtering mechanisms based on tunneling or spin valves as discussed in this chapter. Acknowledgments The author acknowledges valuable and consistent support from IBM Research for his research on this topic.

References 1. Mott NF (1964) Adv Phys 13:325 2. Fert A, Campbell IA (1976) J Phys F 6:849 3. Valet T, Fert A (1993) Phys Rev B 48:7099 4. Son PCV, Kempen HV, Wyder P (1987) Phys Rev Lett 58:2271 5. Johnson M, Silsbee RH (1985) Phys Rev Lett 55:1790 6. Julliere M (1975) Phys Lett A 54:225 7. Maekawa S, Ga¨fvert U (1982) IEEE Trans Magn MAG-18:707 8. Slonczewski JC (1989) Phys Rev B 39:6995 9. Meservey R, Tedrow PM (1993) Phys Rep 238:173 10. Spin-flip scattering is included phenomenologically and only in the limit of spin-flip scattering life-timemomentum-scattering life-time, so as to preserve the electron spin as a “good” quantum-number for sub-band structures 11. Slonczewski JC (2002) J Magn Magn Mater 247:324 12. Slonczewski J (2007) In: Kronmuller H, Parkin S (ed) Handbook of magnetism and advanced magnetic materials, vol 5. p 2648 13. Slonczewski JC (2005) Phys Rev B 71:024411 14. Slonczewski JC (1996) J Magn Magn Mat 159:L1 15. Stiles MD, Zangwill A (2002) Phys Rev B 66:014407 16. Berger L (1978) J Appl Phys 49:2156 17. Berger L (1984) J Appl Phys 55:1954 18. Bazaliy YB, Jones BA, Zhang SC (1998) Phys Rev B 57:R3213 19. Berger L (1996) Phys Rev B 54:9353 20. Sun JZ (1999) J Magn Magn Mater 202:157 21. Sun JZ (2000) Phys Rev B 62:570 22. Tsoi M, Jansen AGM, Bass J, Chiang WC, Seck M, Tsoi V, Wyder P (1998) Phys Rev Lett 80:4281 23. Myers EB, Ralph DC, Katine JA, Louie RN, Buhrman RA (1999) Science 285:867 24. Sun JZ (2001) Physica C 350:215 25. Katine JA, Albert FJ, Buhrman RA, Myers EB, Ralph DC (2000) Phys Rev Lett 84:3149 26. Slonczewski JC (1974) Unpublished IBM internal memo 27. Moodera JS, Kinder LR, Wong TM, Meservey R (1995) Phys Rev Lett 74:3273 28. Miyazaki T, Tezuka N (1995) J Magn Magn Mater 139:L231 29. Kwo J, Wertheim GK, Gurvitch M, Buchanan DNE (1983) IEEE Trans Magn MAG-19:795 30. Shoji A, Aoyagi M, Kosaka S, Shinoki F, Hayakawa H (1985) Appl Phys Lett 46:1098 31. Wulfhekel W, Klaua M, Ullmann D, Zavaliche F, Kirschner J, Urban R, Monchesky T, Heinrich B (2001) Appl Phys Lett 78:509 32. Butler WH, Zhang XG, Schulthess TC, MacLaren JM (2001) Phys Rev B 63:054416 33. Mathon J, Umerski A (2001) Phys Rev B 63:220403 34. Zhang XG, Butler WH (2004) Phys Rev B 70:172407 35. Parkin SSP, Kaiser C, Panchula A, Hughes PM, Samant M, Yang SH (2004) Nat Mater 3:862 36. Yuasa S, Nagahama T, Fukushima A, Ando YSK (2004) Nat Mater 3:868 37. Ikeda S, Hayakawa J, Ashizawa Y, Lee YM, Miura K, Hasegawa H, Tsunoda M, Matsukura F, Ohno H (2008) Appl Phys Lett 93:082508

33

Physical Principles of Spin Torque

1383

38. Huai Y, Albert F, Nguyen P, Pakala M, Valet T (2004) Appl Phys Lett 84:3118 39. Sun JZ, Ralph DC (2008) J Magn Magn Mater 320:1227 40. Slonczewski JC, Sun JZ (2007) J Magn Magn Mater 310:169 41. Vaz CAF, Bland JAC, Lauhoff G (2008) Rep Prog Phys 71:056501 42. Dennis CL, Borges RP, Buda LD, Ebels U, Gregg JF, Hehn M, Jouguelet E, Ounadjela K, Petej I, Prejbeanu IL, Thornton MJ (2002) J Phys Condens Matter 14:R1175 43. Herring C, Kittel C (1951) Phys Rev 81:869 44. Shanker R (1980) Principles of quantum mechanics. Plenum, New York, p 391 45. Brataas A, Nazarov YV, Bauer GEW (2000) Phys Rev Lett 84:2481 46. Tserkovnyak Y, Brataas A, Bauer GEW, Halperin BI (2005) Rev Mod Phys 77:1375 47. Sankey JC, Cui YT, Sun JZ, Buhrman JCS, Ralph DC (2008) Nat Phys 4:67 48. Sharvin YV (1965) Zh Eksp Teor Fiz 48:984 (Sov Phys JETP 21:655 (1965)) 49. Slonczewski JC (1999) J Magn Magn Mater 195:L261 50. Bazaliy YB (2007) arXiv:0710.2564v1 51. Tsoi M, Sun JZ, Parkin SSP (2004) Phys Rev Lett 93:036602 52. Kim W, Lee TD, Lee KJ (2008) Appl Phys Lett 93:232506 53. Waintal X, Myers EB, Brouwer PW, Ralph DC (2000) Phys Rev B 62:12317 54. Hernández S, Victora RH (2010) Appl Phys Lett 97:062506 55. Bauer GEW, Tserkovnyak Y, Huertas-Hernando D, Brataas A (2003) Phys Rev B 67:094421 56. Landauer R (1988) IBM J Res Develop 32:307 57. B€uttiker M (1988) IBM J Res Devlop 32:317 58. Jedema FJ, Nijboer MS, Filip AT, van Wees BJ (2003) Phys Rev B 67:085319 59. Kimura T, Otani Y, Hamrle J (2006) Phys Rev Lett 96:037201 60. Yang T, Kimura T, Otani Y (2008) Nat Phys 4:851 61. Lifshitz EM, Pitaevskii LP (1981) Statistical physics part 2, Chapter 7, Magnetism. Wheaton, Exeter, p 285 62. Kittel C (1986) Chapter 15, Ferromagnetism and antiferromagnetism. In: Introduction to Solid State Physics, 6th edn. Wiley, New York, p 434 63. Tserkovnyak Y, Brataas A, Bauer GEW (2002) Phys Rev B 66:224403 64. Heinrich B, Tserkovnyak Y, Woltersdorf G, Brataas A, Urban R, Bauer GEW (2003) Phys Rev Lett 90:187601 65. Brataas A, Tserkovnyak Y, Bauer GEW, Kelly PJ (2012) arXiv:1108.0385v3 66. Thomas L, Parkin SSP (2007) In: Kronm€ uller H, Parkin S (eds) Handbook of magnetism and advanced magnetic materials, vol 2, Micromagnetism. Wiley, New York 67. Mancoff FB, Dave RW, Rizzo ND, Eschrich TC, Engel BN, Tehrani S (2003) Appl Phys Lett 83:1596 68. Brown WF (1963) Phys Rev 130:1677 69. He J, Sun JZ, Zhang S (2007) J Appl Phys 101:09A501 70. Apalkov DM, Visscher PB (2005) Phys Rev B 72:180405 71. Apalkov DM, Visscher PB (2005) J Magn Magn Mater 286:370 72. Visscher PB, Apalkov DM (2005) J Appl Phys 97:10C704 73. Visscher PB, Apalkov DM (2006) J Appl Phys 99:08G513 74. Visscher PB (2008) SPIE 7036:70360B 75. Butler WH, Mewes T, Mewes CKA, Visscher PB, Rippard WH, Russek SE, Heindl R (2012) IEEE Trans Magn 48:4684 76. Liu H, Bedau D, Sun JZ, Mangin S, Fullerton EE, Katine JA, Kent AD (2014) J Magn Magn Mater 358–359:233 77. Sun JZ (2006) IBM internal memo 78. Sun JZ, Robertazzi RP, Nowak J, Trouilloud PL, Hu G, Abraham DW, Gaidis MC, Brown SL, O’Sullivan EJ, Gallagher WJ, Worledge DC (2011) Phys Rev B 84:064413 79. Nowak JJ, Robertazzi RP, Sun JZ, Hu G, Abraham DW, Trouilloud PL, Brown S, Gaidis MC, O’Sullivan EJ, Gallagher WJ, Worledge DC (2011) IEEE Magn Lett 2:3000204 80. Li Z, Zhang S (2004) Phys Rev B 69:134416

1384

J.Z. Sun

81. Li Z, He J, Zhang S (2005) Phys Rev B 72:212411 82. Zhu R, Visscher PB (2008) J Appl Phys 103:07A722 83. Pinna D, Kent AD, Stein DL (2013) Phys Rev B 88:104405 84. Bedau D, Liu H, Bouzaglou JJ, Kent AD, Sun JZ, Katine J, Fullerton EE, Mangin S (2010) Appl Phys Lett 96:022514 85. Bedau D, Liu H, Sun JZ, Katine JA, Fullerton EE, Mangin S, Kent AD (2010) Appl Phys Lett 97:262502 86. Berger L (1986) Phys Rev B 33:1572 87. Berger L (1988) J Appl Phys 63:1663 88. Hung CY, Berger L (1986) J Appl Phys 63:4276 89. Kiselev SI, Sankey JC, Krivorotov LN, Emley NC, Schoelkopf RJ, Buhrman RA, Ralph DC (2003) Nature 425:380 90. Slavin A, Tiberkevich V (2009) IEEE Trans Magn 45:1875 91. Slavin A, Tiberkevich V (2008) In: Demokritov SO (ed) Spin wave confinement. World Scientific, Hackensack, p 195 92. Silva TJ, Rippard WH (2008) J Magn Magn Mater 320:1260 93. Ralph DC, Stiles MD (2008) J Magn Magn Mater 320:1190 94. Koch RH, Katine JA, Sun JZ (2004) Phys Rev Lett 92:088302 95. Sun JZ, Kuan TS, Katine JA, Koch RH (2004) Proc SPIE 5359:445 96. Nakayama M, Kai T, Shimomura N, Amando M, Kitagawa E, Nagase T, Yoshikawa M, Ikegawa T, Yoda H (2008) J Appl Phys 103:07A710 97. Kishi T, Yoda H, Kai T, Nagase T, Kitagawa E, Yoshikawa M, Nishiyama K, Daibou T, Nagamine M, Amano M, Takahasi S, Nakayama M, Shimomura N, Aikawa H, Ikegawa S, Yuasa S, Yakushiji K, Kubota H, Fukushima A, Oogane K, Miyazaki T, Ando K (2008) IEEE international electron devices meeting (IEDM), doi: 10.1109/IEDM.2008.4796680 98. Ikeda S, Miura K, Yamamoto H, Mizunuma K, Gan HD, Endo M, Kanai S, Hayakawa J, Matsukura F, Ohno H (2010) Nat Mater 9:721 99. Worledge DC, Hu G, Abraham DW, Sun JZ, Trouilloud PL, Nowak J, Brown S, Gaidis MC, O’Sullivan EJ, Robertazzi RP (2011) Appl Phys Lett 98:022501 100. Liu H, Bedau D, Sun JZ, Mangin S, Fullerton EE, Katine JA, Kent AD (2012) Phys Rev B 85:220405(R) 101. Gajek M, Nowak JJ, Sun JZ, Trouilloud PL, O’Sullivan EJ, Abraham DW, Gaidis MC, Hu G, Brown S, Zhu Y, Robertazzi RP, Gallagher WJ, Worledge DC (2012) Appl Phys Lett 100:132408 102. Sun JZ, Trouilloud PL, Gajek MJ, Nowak J, Robertazzi RP, Hu G, Abraham DW, Gaidis MC, Brown SL, O’Sullivan EJ, Gallagher WJ, Worledge DC (2012) J Appl Phys 111:07C711 103. Sun JZ, Brown SL, Chen W, Delenia EA, Gaidis MC, Harms J, Hu G, Jiang X, Kilaru R, Kula W, Lauer G, Liu LQ, Murthy S, Nowak J, O’Sullivan EJ, Parkin SSP, Robertazzi RP, Rice PM, Sandhu G, Topuria T, Worledge DC (2013) Phys Rev B 88:104426 104. Kubota H, Fukushima A, Ootani Y, Yuasa S, Ando K, Maehara H, Tsunekawa K, Djayaprawira DD, Watanabe N, Suzuki Y (2005) J Appl Phys 44:L1237 105. Hayakawa J, Ikeda S, Lee YM, Sasaki R, Matsukura T, Takahashi H, Ohno H (2005) J Appl Phys 44:1267 106. Choi YS, Tsunekawa K, Nagamine Y, Djayaprawira D (2007) J Appl Phys 101:013907 107. Faure-Vincent J, Tiusan C, Bellouard C, Popova E, Hehn M, Montaigne F, Schuhl A (2002) Phys Rev Lett 89:107206 108. Tiusan C, Sicot M, Faure-Vincent J, Hehn M, Bellouard C, Montaigne F, Andrieu S, Schuhl A (2006) J Phys Condens Matter 18:941 109. Popova E, Keller N, Gendron F, Tiusan C, Schuhl A, Lesnik NA (2007) Appl Phys Lett 91:112504 110. Wang C, Cui YT, Katine JA, Buhrman RA, Ralph DC (2011) Nat Phys 7:496 111. Endoh T, Ohsawa T, Koike H, Hanyu T, Ohno H (2012) Symposium on VLSI circuits, Honolulu, 13–15 June 2012, Session 10.3

33

Physical Principles of Spin Torque

1385

112. Morris D, Bromberg D, Zhu JGJ, Pileggi L (2012) DAC 2012, San Francisco, 3–7 June 2012, 21.2 113. Devolder T (2011) Appl Phys Exp 4:093001 114. Meng H, Wang JP (2006) Appl Phys Lett 88:172506 115. Mangin S, Ravelosona D, Katine JA, Carey MJ, Terris BD, Fullerton EE (2006) Nat Mater 5:210 116. Worledge DC, Hu G, Trouilloud PL, Abraham DW, Brown SL, Gaidis MC, Nowak J, O’Sullivan EJ, Robertazzi RP, Sun JZ, Gallagher WJ (2010) International electron devices meeting 117. Hosomi M, Yamagishi H, Yamamoto T, Bessho K, Higo Y, Yamane K, Yamada H, Shoji M, Hachino H, Fukumoto C, Nagao H, Kano H (2005) IEEE IEDM 2005. IEEE 0-7803-9269-8/ 05 118. Kimura T, Hamrle J, Otani Y (2005) Phys Rev B 72:014461 119. Sun JZ, Gaidis MC, O’Sullivan EJ, Joseph EA, Hu G, Abraham DW, Nowak JJ, Trouilloud PL, Lu Y, Brown SL, Worledge DC, Gallagher WJ (2009) Appl Phys Lett 95:083506 120. Gaidis M, Sun J, O’Sullivan E, Hu G, DeBrosse J, Nowak J, Abraham D, Trouilloud P (2010) SSDM invited paper F7-1 121. Braganca PM, Katine J, Emley NC, Mauri D, Childress JR, Rice PM, Delenia E, Ralph DC, Buhrman RA (2009) IEEE Trans Nanotechnol 8:190 122. Liu L, Pai CF, Li Y, Tseng HW, Ralph DC, Buhrman RA (2012) Science 336:555 123. Wang Y, Sham LJ (2012) Phys Rev B 85:092403 124. Balashov T, Takacs AF, Dane M, Ernst A, Bruno P, Wulfhekel W (2008) Phys Rev B 78:174404 125. Manchon A, Zhang S (2009) Phys Rev B 79:094422 126. Miron IM, Gaudin G, Auffret S, Rodmacq B, Schuhl A, Pizzini S, Vogel J, Gambardella P (2010) Nat Mater 9:230 127. Hirsch JE (1999) Phys Rev Lett 83:1834 128. Zhang S (2000) Phys Rev Lett 85:393 129. Ando K, Takahashi S, Harii K, Sasage K, Ieda J, Maekawa S, Saitoh E (2008) Phys Rev Lett 101:036601 130. Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Uchida M, Mizuguchi M, Umezawa H, Kawai H, Ando K, Takanashi K, Maekawa S, Saitoh E (2010) Nature 464:262 131. Liu L, Moriyama T, Ralph DC, Buhrman RA (2011) Phys Rev Lett 106:036601 132. Hasan MZ, Kane CL (2010) Rev Mod Phys 82:3045 133. Qi XL, Zhang SC (2011) Rev Mod Phys 83:1057 134. Hatami M, Bauer GEW (2007) Phys Rev Lett 99:066603 135. Hatami M, Bauer GEW, Zhang Q, Kelly PJ (2009) Phys Rev B 79:174426 136. Huang SY, Wang WG, Lee SF, Kuo J, Chien CL (2011) Phys Rev Lett 107:216604 137. Slonczewski JC (2010) Phys Rev B 82:054403 138. Sun JZ (2010) Am Phys Soc. March Mtg. Paper J37.004

Domain Wall Memory Device

34

Michael Foerster, O. Boulle, S. Esefelder, R. Mattheis, and Mathias Kla¨ui

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Domain Wall Spin Structures in Magnetic Nanowires . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Domain Walls in Low-Anisotropy Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Domain Walls in Advanced In-Plane Magnetized Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Domain Walls in Materials with Out-of-Plane Anisotropy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Operation of Magnetic Domain Wall Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Nucleation of Magnetic Domain Walls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Displacement of Magnetic Domains and Domain Walls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnetic Domain Wall Detection (“Reading”) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Domain Wall Memory Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnetic Domain Wall Memory Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Domain Wall Logic Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Nonvolatile Multiturn Sensors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1390 1393 1394 1397 1401 1402 1403 1409 1424 1425 1425 1426 1427 1431 1433

Abstract

Magnetic domain walls in confined geometries have attracted much interest in the last couple of years for a number of reasons. On the one hand, new physical phenomena such as current-induced domain wall motion due to the highly M. Foerster (*) • M. Kla¨ui Institute of Physics, Johannes Gutenberg-University Mainz, Mainz, Germany e-mail: [email protected]; [email protected]; [email protected] O. Boulle Laboratoire SpinTec, CEA, Grenoble, France e-mail: [email protected] S. Esefelder • R. Mattheis Leibniz Institute of Photonic Technology, Jena, Germany e-mail: [email protected]; [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_48

1387

1388

M. Foerster et al.

debated nonadiabatic spin torque and novel spin–orbit torques have been investigated. On the other hand, the proposal of the racetrack memory concept as a universal data storage device has stimulated much research. In such a device, domain walls in magnetic nanowires are used as bits of information which can be shifted, e.g., to locate them at the position of a read head, without the need to move physically any material. The prospect of memory and logic devices has spurred an intense research, in particular into different materials with promising properties for domain walls and domain wall motion. The critical parameters to be optimized are mainly domain wall lateral sizes, directly governing the possible information density, and domain wall movement and pinning/depinning processes that determine access time and energy consumption. The ability to control and manipulate domain walls precisely opens up avenues to designing a range of novel and highly competitive devices. In this chapter, a review of the properties of magnetic domain walls in nanowires and the possibilities to control and manipulate them is given. Precise control and efficient manipulation of domain walls is the prerequisite for any device. Different material classes and the resulting domain wall types are reviewed. The basic operations that are necessary for a device, i.e., nucleation, displacement, and detection of domain walls, are discussed for these material classes. Examples of devices using magnetic domain walls are briefly reviewed, including memory and logic applications. The first commercial nonvolatile multiturn sensor product that is based on magnetic domain walls and combines sensing and memory is described in more detail. List of Abbreviations

1D, 2D, 3D 3d

AMR ccw CFAS CIDWM CIP CMOS CPP cw DC DMI DW DWG EHE FTH GMR IBM

One, two, or three dimensional Elements from the first side group in the periodic table with 3D electron in the outer shell, from Sc to Zn, in magnetic context usually Fe, Co, Ni (Mn, Cr), and their alloys Anisotropic magnetoresistance Counterclockwise Co2FeAl0.4Si0.6 Current-induced domain wall motion Current in-plane Complementary metal oxide semiconductor Current perpendicular to plane Clockwise Direct current Dzyaloshinskii–Moriya interaction Domain wall Domain wall generator Extraordinary Hall effect Fourier transform holography (with X-rays) Giant magnetoresistance Industrial Business Machines Corporation

34

Domain Wall Memory Device

IST-RAM LLG LSMO MFM MOKE MR MRAM MTJ NEC OOMMF OST-RAM PEEM PL/FL/AL PMA Py RAMAC RF SEM SEMPA STO STT-RAM STT STXM SW TEY TMR TW VW XMCD XMCD-PEEM

1389

In-plane spin-torque random access memory Landau–Lifshitz–Gilbert equation La0.33Sr0.67MnO3 Magnetic force microscopy Magneto-optical Kerr effect Magnetoresistance Magnetic random access memory Magnetic tunnel junction NEC Corporation Object Oriented Micromagnetic Framework Orthogonal (perpendicular) spin-torque random access memory Photoemission electron microscopy Perpendicular magnetized layer, free layer, analyzing layer Perpendicular magnetic anisotropy Permalloy (Ni81Fe19) IBM 305 RAMAC (random access method of accounting and control), first computer with a hard disk drive Radio frequency Scanning electron microscopy Scanning electron microscopy with polarization analyzer SrTiO3 Spin transfer torque magnetic random access memory Spin transfer torque Scanning transmission X-ray microscopy Spin wave Total electron yield Tunnel magnetoresistance Transverse domain wall Vortex domain wall X-ray magnetic circular dichroism X-ray magnetic circular dichroism–photoemission electron microscopy

Constants and Quantities (in the order of first occurrence)

μ μ0 A D, d e Heff Hk Hnucleation, Hn HP

Domain wall mobility Vacuum permeability Exchange constant Diameter Electron charge “Effective” magnetic field acting on m Anisotropy field Nucleation magnetic field for domain walls Propagation magnetic field for domain walls, pinning field

1390

HW jc, Jc K Kd Keff m MS NX,Y,Z P RT t TC u w α β γ0 θ λex, Λ λsf

M. Foerster et al.

Walker breakdown field Critical current density Magnetic anisotropy constant Magnetostatic energy difference between Bloch and Ne´el wall; demagnetizing energy Effective anisotropy constant Magnetization vector Saturation magnetization Demagnetizing factors Spin polarization Room temperature Thickness Curie temperature Effective velocity Width Damping constant Nonadiabatic Gyromagnetic ratio Out-of-plane spin-canting angle Exchange length Spin-flip length

Introduction In the past, magnetic nanostructures have been at the heart of a multitude of devices ranging from sensing applications to data storage. Probably the best known storage device is the magnetic disk drive [1], pioneered in the 1950s by IBM with the RAMAC. Since then, storage density has seen a gigantic exponential increase. While hard drives continue to excel in the high-capacity market, they nonetheless possess disadvantages that have led to other memory concepts replacing them for certain applications, such as lower-density mobile storage. One of the key problems is the mechanical motion of the media that poses reliability questions and can lead to catastrophic failure in the case of mechanical shock. Additionally the limit of random access speed in a hard disk drive due to mechanical lag and rotation also constrains its use in some data center application. Another successful form of magnetic memory is magnetic tape, with a huge capacity but obvious limitations when it comes to random access [2]. Like the disk drive, tape drives physically move the media (tape), leading to wear. Another disadvantage that accompanies physical motion is large power consumption, which in laptops leads to a significant portion of the power being used by the hard drive. For many of the growing memory markets, in particular in mobile applications (laptops, tablets, smartphones), low power combined with a solid-

34

Domain Wall Memory Device

a

Magnetic track

DW propagation

1391

b Magnetic tunnel junction

DW e−

DW e− 010

Read head

Write head

0

1

Fig. 1 (a) Schematic of a memory device based on a magnetic wire with domain walls. The colors and the arrows indicate the domain magnetization direction, which in the figure is pointing perpendicular to the nanowire. The domains with the magnetization pointing down (red) correspond to a logical “0,” and magnetization pointing up (blue) corresponds to a “1.” (b) A simplified memory based on a domain wall, which is moving between two positions thereby reversing the alignment between the magnetization in the fixed layer (green) and the wire below from antiparallel to parallel. Here only one bit is stored, but a simplified operation by moving the domain wall is possible without the need to “write” new domains or domain walls (From Ref. [3])

state technology is required. Different approaches have been suggested based on a range of technologies, but for magnetic data storage, a paradigm shift away from hard drives and tape is required. One exciting approach recently proposed is based on magnetic nanowires with magnetic domains acting as the bits (Fig. 1a). The interface between 2 domains where magnetization points in opposite directions is called a magnetic domain wall, in which the spins turn by 180 and the nanoscale spin structure depends on the material and geometry. At first sight, the design looks similar to tape, but rather than shifting the media along with the data as in the case of tape, here the data is shifted within the media that stays physically fixed, leading to much faster achievable access times and, in particular, eliminating all mechanical motion. Moreover, the device only comprises one write or read element for potentially tens to hundreds of bits, which can make it cost-effective. Furthermore, this simplifies integration with the necessary semiconductor electronics that can therefore be more compact and thus leads to higher storage densities compared to magnetic random access memory [4]. Prominent suggestions for concrete realizations of such a device are the racetrack device envisaged by S. S. P. Parkin of IBM [5, 6]; the simplified 1-bit memory pursued, for instance, by NEC (see Fig. 1b); and the shift register proposed by R. Cowburn [7]. To make the device useful for memory storage, three key tasks have to be performed; the data needs to be written, read, and selected, meaning that the bit to be read or written needs to be addressed (for instance, by moving it to the read or write element). The writing can be performed by using appropriately designed write heads, such as strip lines that generate magnetic fields by currents to reverse

1392

M. Foerster et al.

the magnetization and thus “write” a bit. This can be compared to the wellestablished writing of bits in magnetic hard drives, but alternative approaches with better scaling such as spin-torque-induced reversal are also being studied. The reading relies on the sensing of the domains or domain walls, very similar to hard drives, so that the well-established magnetoresistive sensing, based on giant magnetoresistance (GMR) read heads [1, 8] or tunneling magnetoresistance (TMR) read heads [8], can be employed. However, it is the domain selection by motion of the domains and domain walls that requires a novel approach and is most challenging. It is essential that all domain walls can be shifted in the same direction in a controlled way while reliably maintaining their relative spacings to preserve data integrity. Thus, the dynamic behavior of geometrically confined domain walls and their motion due to applied fields and induced current pulses have become topics of growing interest in the last years due to the intricate magnetic properties present in geometrically confined ferromagnetic structures [3, 9]. Therefore, in this chapter, we will treat these three basic operations required for any magnetic domain wall-based memory device with a focus on the domain wall displacement. Since many aspects concerning control and manipulation of the domain walls are generic for any type of device based on domain walls, we give a concise but reasonably comprehensive report on the different operations that form the basis of a memory functionality – writing, addressing, and reading or, in other words, creation, motion, and detection of domain walls – and we evaluate the performance that has already been demonstrated with the prospect of a potential device in mind. We focus on domain walls in nanowires as the geometrical confinement allows well-defined spin structures, good control of nucleation and movement, and high information densities as desired for devices. This chapter starts with a first part which deals with the nature of magnetic domain walls itself in static conditions. The spin structure of magnetic domain walls and their properties are reviewed for different types of materials: conventional in-plane magnetized 3d metals such as Permalloy (Py: Ni81Fe19), materials with perpendicular magnetic anisotropy (PMA) such as Co/Pt multilayers, and advanced complex materials with high spin polarization such as Heusler compounds or magnetic oxides. Secondly, different approaches for controlling the domain wall dynamics are reviewed, where the displacement due to spin transfer torque (STT) resulting from a spin current (current-induced domain wall motion, CIDWM) is emphasized as it is the most promising approach with good scaling behavior. In particular, in PMA materials having very narrow domain walls, high spin-torque efficiencies and fast domain wall velocities might be expected. In the final section, an overview of existing and proposed devices based on magnetic domain walls is given. Examples of emerging domain wall-based devices including a field-controlled shift register and the nonvolatile multiturn sensors are discussed, the latter being already commercially available. In another commercial realization of a domain wall-based device, the bubble memory from the 1970s of the last century is in its original form not very relevant anymore today because of the low storage density.

34

Domain Wall Memory Device

1393

Domain Wall Spin Structures in Magnetic Nanowires While magnetic domain walls are a general phenomenon which occurs, e.g., in homogenous magnetic thin films and bulk samples, only using magnetic material in confined geometries like nanowires or disks allows one to generate well-defined spin structures. These spin structures, including magnetic domain walls or vortices, can be tailored by the geometry as well as the choice of material. In general, the spin structure in confined geometries is the result of an energy minimization process (to be more precise the minimization of the appropriate thermodynamic potential, which is usually the Landau free energy) [10, 11]. In the most simple case, i.e., without any externally applied fields and for materials without effective anisotropy (e.g., polycrystalline materials), the two important energy terms are the exchange energy and the stray field energy. The materialdependent exchange energy depends on the angle between neighboring spins and is at the heart of ferromagnetism. The stray field energy is the energy related to the magnetic field created outside the magnetic structure, resulting in domain formation and the shape anisotropy of the structure. Additional contributions to the overall anisotropy energy can arise, e.g., from interfaces (for instance, in PMA Co/Pt multilayers) or from an intrinsic magnetocrystalline anisotropy in epitaxially grown materials such as Fe3O4 or La2/3Sr1/3MnO3 (LSMO). Already relatively small additional contributions can have a decisive influence on the spin structure, e.g., in both of the above cases, domain walls are observed [3, 12, 13], which are very different from the ones observed in materials without magnetocrystalline or interface anisotropy. While typically the domain wall width is determined by material parameters, in the case of soft magnetic materials with large intrinsic domain wall widths, also the geometry and in particular geometrical constrictions can play a role in determining the wall width [9]. It can range from hundreds of nanometers in soft magnetic materials down to a few nanometers in high-anisotropy PMA material. Wall widths have been previously studied for domain wall types other than head-to-head walls theoretically [14] and experimentally [9, 15], and more information on domain wall-related magnetic length scales can be also found in [16, 17]. The possible information density of a memory device is however limited, not only by this width but also by the minimum distance above which the interaction of two domain walls becomes negligible. Thus, a recent approach [18] to reduce the domain (=bit) size in a magnetic nanowire is to use a stack of two ferromagnetic layers which are coupled antiferromagnetically so that domain walls in the two layers are aligned vertically above each other. In this case the stray field produced by one domain wall is strongly reduced compared to one in a single ferromagnetic layer, which implies less interaction between neighboring domain walls and thus the possibility to place them closer to one another. The domain wall width is also one key parameter for the interaction between domain walls and spin-polarized currents, since it governs whether the interaction occurs adiabatically (meaning that the conduction electron spins follow the magnetization direction adiabatically as they pass across the wall) or nonadiabatically

1394

M. Foerster et al.

(leading to scattering of the electrons and a mistracking of the spin direction compared to the local magnetization direction in the wall).

Domain Walls in Low-Anisotropy Materials Much of the pioneering work on confined spin structures, magnetic domain walls, and domain wall motion was done using polycrystalline 3d metals, e.g., Py (Ni81Fe19) [9]. In such cases there are only two relevant energy terms – the exchange energy and the stray field energy – as no significant magnetocrystalline is present. In the absence of a magnetic field, a magnetic nanowire is then mainly, i.e., apart from domain walls, magnetized along its direction due to the shape anisotropy. Qualitatively this situation means that if the exchange contribution dominates, the domain wall in a nanowire should be very wide, because then the angle between adjacent spins is small, resulting in a small exchange energy term. If the stray field energy dominates, the spins try to stay aligned parallel to the structure edge as much as possible, resulting in a narrower wall. To go beyond these qualitative considerations, numerical calculations are necessary to ascertain the spin structures that constitute local energy minima (i.e., stable wall structures). For the case of domain walls in wires, such micromagnetic simulations [19–21] were carried out by McMichael and Donahue in 1997 [22]. Two wall spin structures were predicted to occur: vortex walls (VW, see Fig. 2a) and transverse walls (TW, see Fig. 2b). In the case of the TW, the spins rotate in the plane of the structure. To reduce the energy further, the shape of the wall is asymmetric along the vertical axis yielding a V-shaped wall (Fig. 2b). The spins in the wall can either point in the up or down direction of the image in Fig. 2b but stay always in-plane. The VW exhibits a very different spin structure with varying in- and out-of-plane components. Here the spins curl clockwise or counterclockwise around the vortex core, where the magnetization is pointing in either out-of-plane direction (Fig. 2a) [25–27]. The energies of these two wall types vary with geometry and material and can be calculated from the simulations. A “phase diagram” can be deduced where the energetically favorable wall type is determined as a function of the geometry (width, thickness). To obtain the phase boundary, which delineates the region where one wall is favored over the other, the sum of the energy differences is set to zero (both wall types have the same energy). It is found that this phase boundary for a wire of width w and thickness t has the form w*t  const. The constant depends on the material, which means that in a width versus thickness diagram, the phase boundary is a hyperbola below which a TW is preferred. These calculations were later refined by Nakatani and Thiaville [28]. They found, in addition to symmetric transverse walls, tilted transverse walls that constitute the energy minimum in a small range of geometries; such tilted transverse walls were actually observed experimentally [29]. A systematic experimental study of domain wall structures as a function of geometry is described, for instance, in [9]. Arrays of magnetic rings (Py, Co)

34

Domain Wall Memory Device

1395

Fig. 2 (a), (b) Spin structure of a vortex (a) and a transverse (b) wall simulated using OOMMF, where arrows symbolize the direction and magnitude of the local in-plane magnetization. The grayscale shows the corresponding contrast for an XMCD-PEEM image with vertical beam direction. (c)–(e) XMCD-PEEM images of Permalloy rings in the onion state with different geometries corresponding to the three regimes identified in Fig. 3: (c) a 30 nm thick and 530 nm wide ring (outer diameter D = 2.7 μm) with vortex walls, (d) a 10 nm thick and 260 nm wide ring (D = 1.64 μm) showing transverse walls, and (e) a 3 nm thick and 730 nm wide ring (D = 10 μm) again with vortex walls (From Ref. [24])

were imaged using photo electron emission microscopy with X-ray circular magnetic dichroism as magnetic contrast mechanism (XMCD-PEEM), revealing the remanent domain wall spin structure after initial saturation. Some examples of observed domain walls, VW and TW, are shown in Fig. 2, together with results of micromagnetic simulations using the Object Oriented Micromagnetic Framework (OOMMF) code [30]. The experimentally determined phase diagram (wall type as a function of wire width and thickness) is shown in Fig. 3 for both Py and Co materials. While a detailed discussion of these results is given in [9], a few remarkable observations should be pointed out here: (i) the upper thickness boundary for the existence of TWs at a given width is shifted to higher values in the experiment than compared to calculations, an effect which is more pronounced in Co than in Py. This observation can be understood to arise from the fact that in the calculations global energy minima are determined, while experimentally a ring may remain in a state corresponding to a local energy minimum when decreasing the field after the initial magnetizing process. Furthermore, close to the boundary, thermally activated transitions from a TW to a VW were observed. (ii) In the low-thickness regime of the phase diagrams shown in Fig. 3, a second phase boundary between 3 and 4 nm is found both for Permalloy and for Co. Although not expected in terms of energetics, the occurrence of VW can be explained by a spatial modulation of magnetic properties [31] such as the exchange or the saturation magnetization, which could locally allow for a stronger twisting of

1396

M. Foerster et al.

Fig. 3 (a, c) Experimental phase diagrams for head-to-head domain walls in (a) Permalloy and (c) Co rings at room temperature. Black squares indicate vortex walls and red disks transverse walls. The phase boundaries are shown as solid lines. (b, d) Comparison of the upper experimental phase boundary (solid lines) with results from calculations according to [22] (dotted lines) and micromagnetic simulations (dashed lines). The thermally activated wall transitions shown were observed for the ring geometry marked with a red cross in (a) at 730 nm width and 7 nm thickness (From Refs. [23] and [24])

adjacent spins. Also ripple domain formation, which is observed in the thinner samples investigated (Fig. 2e), can be attributed to statistical variations in the anisotropy of individual grains. The description in the context of the phase diagrams presented here is limited to a certain geometry regime. In structures that are significantly wider than  1 μm, other, more complicated domain wall spin structures can be found [9]. In general, in wider structures, the influence of shape anisotropy is reduced, and thus more complicated spin structures can constitute local energy minima and become observable.

34

Domain Wall Memory Device

1397

Domain Walls in Advanced In-Plane Magnetized Materials Recently domain walls in advanced materials have become a focus of research. In particular, highly spin-polarized materials, such as half-metallic oxides or Heusler compounds, are promising for spintronic applications. One important difference to the polycrystalline 3d metals is that these complex materials need to be grown crystallographically ordered (epitaxially or single crystalline) in order to achieve their typical, desired properties. The crystallographic order gives rise to material and growth-dependent magnetocrystalline anisotropies which influence the magnetic domain walls and their dynamics.

Fe3O4 Among magnetic oxides, Fe3O4 (magnetite) has received much interest due to its combination of high Curie temperature, multiferroic properties [32], and halfmetallicity [33]. The high spin polarization lets one expect high spin transfer torque effects, which are favorable for current-induced domain wall motion in nanowires. Interestingly, for Fe3O4 rings, very different domain wall structures from the simple TW or VW described above were reported [13]. The Fe3O4 films of this study, (100)-oriented and 40–50 nm thick on MgO(100) substrates [34, 35], exhibit an in-plane fourfold anisotropy which reflects the cubic anisotropy of the bulk material, with an easy axis pointing along the [011] direction. In Fig. 4a a high-resolution XMCD-PEEM image of a Fe3O4 ring structure (diameter D = 10 μm, nominal width w = 1.135 μm) which was initially magnetized by a magnetic field H along one of the magnetocrystalline hard axes (the [001] direction) is shown. The black (white) contrast reflects the horizontal component of the in-plane magnetization M. The main difference to the magnetization configurations of polycrystalline 3d metal rings is that here the in-plane magnetization deviates from the direction given by the shape of the structure. Instead of following the ring perimeter, the magnetization is divided into four domains. Within each of the domains, the magnetization points along one of the in-plane magnetocrystalline easy axes (the crystallographic directions are marked in the center of Fig. 4). In the neighboring segments of the ring, the magnetization vectors are perpendicular to each other, causing two straight 90 domain walls at the right and the left side of the ring (marked with A). The configuration resembles the onion state magnetic configuration observed in 3d metal rings [36], which is characterized by two points where the magnetic flux is not closed but instead opposing direction meet. The Fe3O4 ring structure contains such characteristic head-to-head and tail-to-tail domain walls, indicated by the change from black to white (and vice versa) at the top and bottom of the ring (the position of the tail-to-tail domain wall at the top is marked with B). In contrast to the transverse or vortex domain walls observed in Permalloy [9, 22], the head-to-head (tail-to-tail) domain walls in Fe3O4 exhibit a zigzag shape (see, e.g., the tail-to-tail domain wall marked with B). In order to understand the remanent magnetic states observed in Fe3O4 rings, micromagnetic simulations of the equilibrium state at remanence were performed

1398

M. Foerster et al.

Fig. 4 (a) High-resolution XMCD-PEEM image of an Fe3O4 ring (diameter D = 10 μm, nominal width w = 1.135 μm) at zero-field. 90 domain walls are visible in the image (marked with A). A tail-to-tail zigzag domain wall (marked with B) and a head-to-head zigzag domain wall at the opposite side of the ring are also present. Black and white contrasts correspond to the magnetization pointing to the left and right, respectively. (b) Simulated magnetization orientation obtained from the micromagnetic calculation for the Fe3O4 ring (diameter D = 5 μm, w = 1.135 μm) in the remanent state after saturation. The samples were initially magnetized with a field H along a hard axis (the [001] direction), as indicated by the arrow in the upper left corner of each image. The in-plane crystallographic directions are marked at the bottom of the figure (Partly from Ref. [13])

using the OOMMF code [30]; results are shown in Fig. 4b. These simulations [13] reproduce the four-domain structure measured by XMCD-PEEM extremely well, exhibiting two 90 domain walls and two zigzag domain walls. The four-domain ring structure is a consequence of the strong fourfold in-plane magnetocrystalline anisotropy of the Fe3O4(100) films as the magnetocrystalline anisotropy favors alignment of the magnetization along the easy axes, i.e., along the in-plane (011) crystallographic directions. The formation of the observed zigzag domain wall structure is the result of the energetic compromise between the fourfold magnetocrystalline anisotropy, the exchange, and dipolar coupling. In a ring structure, two neighboring domains meet at 90 due to the strong magnetocrystalline anisotropy, and the separating domain wall develops a characteristic zigzag shape to reduce the magnetic charge density compared with a straight wall, which would have a larger magnetic charge concentration. Thus, as the zigzag angle increases, the magnetic charge density decreases at the expense of the additional wall surface. This strong influence of crystalline anisotropy and the relatively high resistivity are disadvantageous for a possible device based on domain wall manipulation in Fe3O4. For example, it would require that the nanowires were exactly aligned with the crystallographic axes to achieve a reproducible domain wall spin structure. The usual way of eliminating crystalline anisotropies in 3d metals by growing

34

Domain Wall Memory Device

1399

polycrystalline films at low temperature cannot be followed here as epitaxial growth is needed to achieve good magnetic and transport properties.

La2/3Sr1/3MnO3 Interestingly, La2/3Sr1/3MnO3 (LSMO) [37], another highly spin-polarized magnetic oxide exhibits only a weak anisotropy even if grown epitaxially. The influence of the magnetocrystalline anisotropy is smaller so that spin structures can be defined by geometrical confinement as shown in [12]. For small lateral dimensions, the magnetic states fall into well-defined shape anisotropy-dominated flux closure states, with uniformly magnetized domains and sharp domain walls, similar to those found in NiFe and polycrystalline Co 3d metal materials [10]. This shows that, at sufficiently small dimensions, the magnetostatic energy dominates the micromagnetic configuration of the system and, in particular, that the strength of the pinning sites is smaller than the magnetostatic energy leading to geometrically confined domain walls. The fact that the epitaxial LSMO thin films are magnetically soft down to the sub-micrometer scale is not an obvious result given the presence of epitaxial strain, which tends to introduce strong pinning, and the tendency of these complex oxides to phase segregate as previously reported [38]. Ring elements of LSMO were used as prototypical structures for the study of domain walls [9]. They were found to be in the so-called onion states, corresponding to the presence of two domains in a ring, separated by two domain walls [12]. A total electron yield scanning transmission X-ray microscopy image with XMCD magnetic contrast of a 15 nm thick LSMO ring, 650 nm in width, is shown in Fig. 5a. For these particular ring dimensions, vortex domain walls (short black and white arrows) separate the two domains of the onion state (long black arrows). Figure 5b shows ring elements with widths ranging from 600 nm (II) up to 2.2 μm (III) for the 50 nm thick LSMO film; the rings favor the formation of vortex walls, although double vortex walls are also observed, as in Fig. 5b (IV) (domain wall to the right). The observed magnetic configurations can be reproduced well by micromagnetic simulations [30], showing that the spin structure in LSMO can be controlled by a suitable choice of the element geometry and that the relevant spin structures, such as well-defined domain walls, can be selectively positioned and controlled in this material, which is a key step to using this material in a device. In conclusion, LSMO follows similar micromagnetic energetics as 3d ferromagnetic elements [9, 10] and has thus the advantage of not only having well-controlled spin structures but also the high spin polarization of a half-metal. Still, small remaining anisotropy energies, for instance, from the growth process, can have noticeable effects on the magnetic domain configuration [39]. Thus LSMO is a promising candidate for both, the study of fundamental domain wall phenomena in highly spin-polarized materials and for possible oxide spintronics, where robust and well-determined spin configurations are key. The magnetoresistance of domain walls in LSMO constrictions was measured [40], and current-induced domain wall depinning in similar LSMO constriction was reported by Ruotolo et al. [41]. Although recent results [42] on domain wall motion in LSMO half rings by resistive detection at 4.2 K indicate a high spin transfer

1400

M. Foerster et al.

Fig. 5 Magnetic microscopy images of LSMO rings after magnetic saturation in direction of Binit at 300 K. (a) XMCD-TEY-STXM (total electron yield scanning transmission X-ray microscopy) image of a 15 nm thick LSMO/STO ring, 620 nm in width and of a 1.2 μm diameter disk in the center. An onion state is present in the ring, with vortex walls separating the two domains. The central disk is in the vortex state. (b) XMCD-PEEM (photoemission electron microscopy) image of 50 nm LSMO ring and disk elements. The bold black arrows indicate the orientation of the saturation field applied in previous imaging for (a) and (b), and the small arrows show the orientation of the LSMO crystallographic axes. The grayscale contrast corresponds to the horizontal magnetization as shown in the scale (Adapted from Ref. [12])

torque efficiency in LSMO, measurements performed by direct XMCD-PEEM imaging at room temperature indicate that changes in the magnetization configuration are dominated by thermal activation due to current-induced heating under these ambient conditions [43]. These heating effects, due to the relatively high resistivity and low Curie temperature of LSMO (370 K) when compared to 3d metals, set a limit to useable current densities and thus to practical application in a device.

Heusler Compounds Another class of materials with high spin polarization that has been attracting significant interest for spintronics is Heusler alloys with the general formula X2YZ, where X and Y are 3d metals and Z is a main group element. It was shown that Co2MnSi is a half-metal with 100 % spin polarization even at room temperature [44] and, for instance, the compound Co2FeAl0.4Si0.6 (CFAS) has a high spin polarization and is resistant to thermally activated changes of the magnetic domain configuration, which makes this material an interesting candidate for future applications and experiments. For CFAS grown epitaxially on Cr-buffered

34

Domain Wall Memory Device

1401

Fig. 6 XMCD-PEEM images of the spin configuration in rings and curved wires with varying width, initially magnetized along the [110] direction for (a) 15 nm and (b) 30 nm Co2FeAl0.4Si0.6 films (300 K). The arrow in (a) points to a region in the ring element showing changes in the local transverse magnetization component that resembles ripple domains in continuous films. Note the different magnetic contrast directions in the bottom right panel highlighted by the grayscale bar (Partly from Ref. [45])

MgO, the control of magnetic domain configurations, for e.g., clearly defined domain wall structures, has been demonstrated [45], and further Heusler alloys have been investigated [46]. We show as an example in Fig. 6 that the magnetic configurations of CFAS ring elements after magnetic saturation consist of so-called onion states, with domain walls that divide two quasi-uniform domains in each half of the ring [48]. A strong tendency for ripple domains (which are usually associated with fluctuations in the direction of the magnetic anisotropy [49]) is found in the wire and ring elements. For narrow elements, domain wall structures are better defined, as illustrated in Fig. 6, which are explained by the stronger influence of the shape anisotropy. While transverse domain walls are dominant, vortex walls are also observed, showing that both spin configurations are stable at room temperature [9]. The domain wall structure in curved wires is found to be well defined, as shown in the bottom panels of Fig. 6. The shape anisotropy leads to head-to-head and tail-to-tail spin configurations at the wire bend, so that domain walls are formed, mostly transverse ones. These results show that domain walls in Heusler alloys can be generated reproducibly for elements with typical widths of a few hundred nanometers, governed mostly by the shape anisotropy. The domain wall spin configuration becomes more complicated for wider elements that are more strongly affected by the magnetocrystalline anisotropy and magnetic ripple domains.

Domain Walls in Materials with Out-of-Plane Anisotropy Soft in-plane magnetic materials such as Permalloy and LSMO have the advantage of the domain walls being less sensitive to pinning due to the large domain wall width (100 nm) as well as the potentially high spin polarization in some of these

1402

M. Foerster et al.

materials. Experiments have however underlined serious limitations concerning the use of current-induced domain wall motion (CIDWM) in this materials. High critical current densities leading to strong Joule heating, complex domain wall structures with uncontrolled domain wall transformation induced by current injection [50, 51] that leads to unreliable and stochastic domain wall displacements [6], and domain nucleation induced by current injection [52]. These points limit the possibilities for a fundamental understanding of the spin transfer effect in magnetic domain walls but are also a serious issue for possible applications based on CIDWM. Out-of-plane magnetized materials with a large perpendicular magnetic anisotropy (PMA) possess several advantages over soft in-plane magnetized materials: narrow domain walls typically below 10 nm with a simpler and more rigid internal Bloch/Ne´el domain wall structure, expected higher nonadiabaticity spin transfer torque effects due to the higher magnetization gradients and high spin–orbit coupling leading to lower critical current densities and higher domain wall velocities, and a large variety in the magnetic and transport properties of the available materials that allow one to study the dependence of spin transfer effect on these parameters. For the prospect of high-density magnetic memories based on CIDWM, these advantages combined with a small domain wall width, i.e., the small size of the magnetic bit, make these materials very attractive. Out-of-plane magnetized materials considered for CIDWM experiments are mostly metallic thin films characterized by a strong uniaxial anisotropy oriented perpendicularly to the film plane. Two kinds of materials have been considered so far: ultrathin materials ( Hw (see inset of Fig. 10), the mobility Δγ 0 becomes positive again, namely, μ ¼ αþα 1. However, because α 0Þ Γ s Ms

(67)

where ħ λs (68) SJ sd τsf aeff pffiffiffiffiffiffiffiffiffi and M(t) = Mx(t)  iMy(t), λs ¼ Dτsf is the spin-diffusion length, and aeff = (a/ 3 aS) aS. Note that the factor (λs/aeff) appears in Γ s due to the exchange interaction restricted to the interface with the effective exchange interaction range aeff. The calculated spin accumulations are expressed in the vector form Γs ¼

" ! !# ^ ^ χe 1 @M Γs @M ^ M δmðx, tÞ ¼  þ ex=λs ; @t γ e 1 þ Γ 2s 1 þ Γ 2s @t

(69)

which is used in Eq. 69 to yield the effective LLG equation   @M α @M ¼ e γ M  ðHeff þ hac Þ þ M ; @t Ms @t

(70)

1464

S. Takahashi

with the renormalized Gilbert damping constant and gyromagnetic ratio eγ α ¼ ðα0 þ αSP Þ, γ

e γ¼

γ ; 1 þ ðαSP =Γ s Þ

(71)

where αSP ¼

aeff γ Γs 1 ħγ gsN χ e J ex ¼ , dF γe 1 þ Γ 2s 4π Ms dF 1 þ Γ 2s

gsN ¼

h ; 2e2 ρN λs

(72)

and ρN is the electrical resistivity of the normal metal. The pumped spin current is given by jsx ¼ γ 1 e D∇x δm, whose z-component is jzsx

  ħω gsN Mþ M x=λs ¼ ; e 8π 1 þ Γ 2s M2s

(73)

where ω = 2πf ( f is a microwave frequency). Thus, the spin current strongly depends on the magnitude  of the exchange coupling through Γ s. For the strong coupling limit Γ 2s 1 , the spin current is independent of the exchange coupling.   For the weak coupling limit Γ 2s  1 , the spin current is proportional to the square of the exchange coupling. In ordinary ferromagnets ħ/(SJsdτsf) 1, whereas (λs/ aeff)  1 due to the interface effect. In strong ferromagnets like Fe, Co, Ni, Py, etc., the condition Γ 2s 1 is safely satisfied, indicating a maximum efficiency for spin pumping. By taking into account the boundary condition that the spin current vanishes at the outer surface of N, jzsx ðx ¼ dN Þ ¼ 0, the pumped spin current is expressed as jzsx

  ħω 2 sinh½ðdN  xÞ=λs  tanhðd N =λs Þ Mþ M g ¼ ; 8π N sinhðd N =λs Þ 1 þ Γ 2s tanh2 ðd N =λs Þ M2s

(74)

which gives rise to the electric field

E along the y direction by the inverse spin-Hall effect (ISHE): σ N E ¼ θSHE ð2e=ħÞ jzsx ðxÞ x, where h  ix is the average over x. Thus, the output voltage V = wNE between the Pt ends with length wN is given by    ħω wN γhac 2 tanhðdN =λs Þ tanhðdN =2λs Þ V θSH ; 4e d N αω 1 þ Γ 2s tanh2 ðdN =λs Þ

(75)

at the resonance condition ðω ¼ e γ HÞ . Equation 75 enables one to estimate the magnitude of the exchange interaction Jsd from a measured value of voltage V at resonance. Using the measured value V = 4.8 μV by Kajiwara et al. [52] together with experimental parameters, dN = 10 nm, wN = 3 mm, λs = 7 nm, θSH = 0.0037, γ = 1.76  107 Oe1 s1, α = 6.7  105, ω = 59  109 s1, hac = 0.11 Oe, and (γhac/ αω) = 0.49, one has Γ s 19. Using this Γ s value, τsf = 0.3 ps, ρN = 30 μΩcm, 4πMs

35

Physical Principles of Spin Pumping

1465

= 1,956 Oe, a = 0.2 nm, aS = 1.24 nm, and S ¼ Ms a3S =ðħγ Þ ¼ 16 in Eq. 68, one obtains SJsd ~ 0.16 eV, which is one order of magnitude smaller than those of typical ferromagnets (SJsd ~ 1 eV for Co, Fe, Ni, and their alloys). According to the spin-pumping theory based on the scattering formalism [14, 33, 32], one obtains the output voltage at resonance, V ¼ θSH

   ħω wN γhac 2 tanhðd N =λs Þ tanhðd N =2λs Þ

; 4e dN αω 1 þ gs =g tanhðdN =λs Þ N

(76)

"#



where g2N ¼ ðh=2e2 Þ=ðρN λs Þ. When the mixing conductance is large, gsN =g"# 1 , which corresponds to the strong exchange interaction (Γ s 1), Eqs. 75 and 76 tend to the same result,      ħω wN γhac 2 1 V ¼ θSH 1 ; 4e d N coshðd N =λs Þ αω

(77)



which is the highest spin-pumping efficiency. In the opposite limit, i.e., gsN =g"#  1ðΓ s  1Þ, one has the relation g"#  gsN =Γ 2s . The values Γ s = 19 [52] and gsN ¼ 6  1014 cm2 estimated above yield the mixing conductance g"# 2  1012 cm2 at the interface of Pt/YIG. Recent experiments have reported large spin mixing conductance of the order of g"# ~ 1013 cm2 [54] and ~ 1015 cm2 [55] in the YIG/Pt interfaces and g"# ~ 1014–1015 cm2 in the YIG/Ag interface [56, 57], probably due to improvement of interface conditions. These results indicate that a high spin-pumping efficiency is achieved even in the FI/N system.

Manipulation of Magnetization Dynamics by Spin-Hall Effect In the preceding section “Spin Pumping by Precessing Magnetizations,” it is demonstrated that the magnetization damping of a ferromagnetic layer is enhanced by spin pumping into an adjacent normal-metal layer. The inverse effect that the magnetization dynamics is manipulated by injecting spin current from a normalmetal layer into a ferromagnetic layer is possible by using the spin-Hall effect in a normal-metal layer. The control of magnetization damping and magnetization switching has been demonstrated by experiments using materials with large spinorbit interactions such as Pt [58–60] and Ta [61]. A simple and useful system is a bilayer film consisting of a ferromagnetic layer (F) and a normal-metal layer (N) shown in Fig. 5a, in which the external magnetic field H is along ẑ, the applied current J is along ^y, and ^x is normal to the interface. The dynamics of magnetization M in a F/N bilayer film is described by a generalized Landau-Lifshitz-Gilbert (LLG) equation [12, 13] including the spin torque

1466

S. Takahashi

b

α

a

Fig. 5 (a) Schematic diagram of F/N bilayer system. M and J represent the magnetization and the SH applied electric current, respectively, and JSP s and Js the interface spin-current densities polarized along z due to spin pumping and SHE, respectively. (b) Modulation of the Gilbert damping constant αSH = [α(J )  α(J )]/2 as a function of J. The solid circles are the experimental values for Py/Pt by Ando et al. [58]

terms due to spin pumping (SP) and spin-Hall effect (SHE). In the absence of the applied current (J = 0), only the spin pumping from the precessing magnetization into the Pt layer contributes to a relaxation of the precessional motion and yields an additional Gilbert damping constant αSP SP to the intrinsic damping constant αPy of an isolated Py layer [13, 33], the sum of which is denoted as α0 = αPy + αSP, where αSP is a positive value because the spin current is pumped out of F. In the presence of the applied current (J 6¼ 0), SHE contributes to a further relaxation of the precessing magnetization and modifies the relaxation by changing the magnitude and polarity of J as follows. The spin current density jSH sx generated by SHE in the N layer is injected across the F/N interface, and the transverse component of the incident spin current is absorbed to be transferred to the magnetization, exerting a spin-transferred torque on M [62]:       @M ^ ^ ^ ; (78) ¼  γjSH s =d F M  M  σ @t SHE ^ is the unit vector in the direction of where γ is the gyromagnetic ratio, M magnetization M, σ^ is a unit vector in the polarization direction of the spin current, and dF is the thickness of the F layer. Thus, the generalized LLG reads SH ^ ^   @M ^  Heff þ α0 M ^  @ M  γjs M ^  M ^ σ ^ ; ¼ γ M @t @t Ms d F

(79)

where the effective field Heff = H  4πMx^x+hac(t) is the sum of in-plane applied field H, demagnetizing field 4πMx ^x, Ms is the saturation magnetization of the F layer, and linear-polarized microwave field hac(t) = (0, haceiωt, 0) with ω = 2πf

35

Physical Principles of Spin Pumping

1467

( f is a microwave frequency). The third term in r.h.s. of Eq. 79 is the spintransferred torque term due to SHE. In the present setup, the precession axis along ẑ is parallel to the polarization direction σ^ of the injected spin current ðσ^ k^zÞ, and thus the linearized LLG equation of Eq. 79 with respect to the precession amplitude is solved to yield analytical expressions for (Mx, My), which enables to calculate the microwave absorption    P ¼  ωh2ac =2 Im χ yy with dynamic susceptibility [63]: χ yy ¼ 

ω2



Ω2K

γMs ½γ ðH þ 4πMs Þ þ iα0 ω :  2  γjSH  2iαωγ ðH þ 2πMs Þ s =Ms d F

(80)

pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi Here, ΩK ¼ γ HðH þ 4πMs Þ, α ¼ α0 þ αSH is the total relaxation coefficient and αSH is the relaxation coefficient due to SHE [58, 59]: αSH ¼

jSH 1 s ; Ms dF H FMR þ 2πMs

(81)

where HFMR is the resonance field satisfying h  2 i1=2 H FMR þ 2πMs ¼ ð2πMs Þ2 þ ðω=γ Þ2  jSH : s =Ms d F

(82)

The applied current jN in the N layer generates the spin-Hall current θSHẑ  jN flowing in the direction normal to the interface and polarized along ẑ, where θSH = σ SH/σ N is the Hall angle, σ SH is the spin-Hall conductivity, σ N is the electrical conductivity of the N layer, and jN = [(σ NdN)/(σ NdN + σ FdF)]j due to the shunting effect [59, 64, 65]. Incorporating the spin current generated by SHE in the driftdiffusion equations (Valet-Fert model) [66–70] and assuming the injected spin current   z , where G"# is the interface spin of the form jSH s ¼ ðħ=2eÞ G"# =2eAJ δμð0Þ^ conductance, δμ(0)ẑ is the spin accumulation polarized along ẑ at the interface, and AJ is the junction area, the injected spin-current density at the interface turns out to be jSH s ¼ ηθ SH ðħ=2eÞðJ=AN Þ;

(83)

where (J/AN) is the applied current density, AN is the cross-sectional area of the N layer, and η is the injection efficiency

s g =g "# N tanhðd N =2λs Þ ðρF =dF Þ hG"#

, g"# ¼ 2 η¼ ðρF =dF Þ þ ðρN =d N Þ 1 þ g =gs cothðdN =λs Þ 2e AJ "# N

(84)

with the thickness dN (dF), the electrical resistivity ρN (ρF ), and  the spin-diffusion  length λs of the N layer. For small applied current γ jSH s =ðωMs d F Þ 1 , the additional relaxation αSH(J) due to SHE is proportional to the applied current:

1468

S. Takahashi

ð2eγ=ħÞ=ðeωMs dF Þ J αSH ðJ Þ ηθSH qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi ; A 1 þ ð2πγMs =ωÞ2 N

(85)

indicating that αSH(J) varies linearly with J and takes either a positive or negative value by changing the polarity of J, because spins are ejected from F or injected into F by the polarity change. The modification of the relaxation due to SHE is observable from a microwave absorption P of ferromagnetic resonance (FMR) whose spectrum versus H is written as   1 H FMR þ 4πMs Ms ðαω=γ Þ Pw h2ac ω ; 4 H FMR þ 2πMs ðH  HFMR Þ2 þ ðαω=γ Þ2

(86)

pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi where HFMR is the resonance field satisfying ω ¼ γ H FMR ðH FMR þ 4πMs Þ.  pffiffiffi The resonance width W of the FMR spectrum is given by W ¼ 2= 3 ðαω=γ Þ, and the difference of which at  J leads to 2αSH ¼ αðJ Þ  αðJ Þ ¼

pffiffiffi

3γ=2ω ½W ðJ Þ  W ðJ Þ:

(87)

This relation allows one to obtain αSH by measuring the FMR widths of current polarities J. Once the value of αSH is obtained by analyzing the FMR spectra, the product ηθSH is readily estimated from Eq. 85. Figure 5b shows αSH as a function of applied current J. The solid line is a fit to the experimental data by Ando et al. [58] using Eq. 85, yielding ηθSH 0.09 for 4πMs = 6.1 kOe, f = 9.441 GHz, dN = dF = 10 nm, and wN = 0.02 cm. For the parameters of ρN = 15.6 μΩcm, ρF = 15.4 μΩcm, and λN s ¼ 5 nm at room temperature, the injection efficiency is η ~ 0.4, and hence the Hall angle of the Pt layer is θSH ~ 0.2. The large spin-injection efficiency is promising for spintronics application utilizing SHE in manipulating the magnetization dynamics. When the applied current is large enough and the anti-damping contribution due to SHE cancels out the intrinsic magnetization damping, the total damping coefficient vanishes at the critical current density, jcr ¼ 

2e α0 d F Ms ðH þ 2πMs Þ; ħ ηθSH

(88)

above which the magnetization switching or the spontaneous magnetization oscillation occurs by means of SHE. For α0 = 0.01, ηθSH = 0.1, dF = 20 nm, and Ms = 500 Oe, the critical current density is of the order of 107 A/cm2.

Thermal Spin Pumping Recent observation of the spin Seebeck effect [71–74] and the transmission of electrical signal through insulating ferromagnets [52, 75] have opened new possibilities in both fundamentals and applications in spintronics [30]. Ferromagnetic

35

Physical Principles of Spin Pumping

1469

insulators are unique in that they are electrically inactive with frozen charge degrees of freedom but magnetically active due to spins of localized electrons. Low-lying collective spin excitation, spin wave (magnon), carries spin angular momentum. Utilizing pure spin excitation without charge excitation is crucial for developing energy-saving spintronic devices. In this section, it is demonstrated that the spin current flows across the interface between a ferromagnetic insulator and a normal metal in the presence of temperature difference between them. The spin-flip scattering of conduction electrons through the exchange interaction with local moments at the interface creates a magnon excitation in the ferromagnet [51]. Making use of linear-response theory and fluctuation-dissipation theorem, an analytical expression is obtained for the spin current through the interface generated by the temperature difference. The spin Seebeck effect is briefly discussed.

Spin Pumping Due to Temperature Difference When conduction electrons in N are incident on FI, the electrons are reflected back at the FI/N interface, since electrons are prohibited to enter FI due to the large energy gap at the Fermi energy. At the scattering, there are spin-flip processes in which an electron reverses its spin from down to up or up to down, thereby emitting or absorbing a magnon in FI as shown in Fig. 6. The spin-flip scattering involving magnon excitation gives rise to transfer of spin angular momentum between FI and N. In the equilibrium situation where the temperatures of FI and N are equal, the scattering processes of (a) and (b) in Fig. 6 are balanced with each other and no spin current flows across the FI/N interface. In the nonequilibrium situation where the temperatures of FI and N are different, one of the spin-flip processes dominates over the reversed process, so that the spin current flows across the FI/N interface.

a

b

Fig. 6 Quantum mechanical spin-exchange processes at the interface of a ferromagnetic insulator (FI) and normal-metal (N) junction. (a) They (b) represent the magnon emission and absorption associated with the spin-flip scattering of conduction electrons at the interface, respectively, thereby transferring spin angular momentum ħ from FI to N and from N to FI via the exchange interaction

1470

S. Takahashi

The electron-magnon interaction at the interface can be described by using the sd exchange interaction between local moments and conduction electrons at the interface: H sd ¼

NI ð J sd X σσ 0 σ ψ σ ðrn Þ; d 3 rSn  ψ †σ0 ðrn Þ^ N a n¼1

(89)

where Sn are local moments at position rn on the interface; ψ †σ (rn) and ψ σ (rn) are the annihilation operator of an incident electron with spin σ at position rn, respectively; σ^ is the Pauli spin operator; Na is the number of lattice sites in N; and NI is the number of local moments that interact with conduction electrons at the interface. X c ðkÞeikr and Using the Fourier transformation ψ σ ðrÞ ¼ k σ X 1=2 iqrn Sn ¼ N S S e ; where ckσ is the annihilation operator of an incident q q

electron with momentum k and spin σ and NS is the number of localized moments in FI, Eq. 89 is written as H sd ¼ J eff

X h k, k0 , q



i † † † þ † z S c c þ S c c þ S c c  c c ρqk0 þk ; 0 0 0 0 k# k" k" k# q k" q k# q k" k#

(90)



XNI 0 1=2 x y where J eff ¼ J sd = N a N S , S eiðpk þkÞrn : q ¼ Sq  iSq , and ρpk0 þk ¼ n¼1 The spin current through the interface is calculated from J sF=N ¼ ðħ=2Þðd=dtÞ X †  " #  c c is the number operator of electrons with N e  N e , where N σe ¼ k kσ kσ spin σ. Within the second-order perturbation with respect to Jsd [76], the spin current JsF/N across the interface is obtained as 1 J sF=N ¼ N I J 2eff ħ

ð1

h D ED E þ  dt eiδμt=ħ S σþ q ðtÞSq ð0Þ q ðtÞσ q ð0Þ 1 D ED Ei   eiδμt=ħ Sþ σþ ; q ðtÞσ q ð0Þ q ðtÞSq ð0Þ

(91)

D E D E  þ  where Sþ q ðtÞSq ð0Þ and σ q ð0Þσ q ðtÞ are the spin correlation functions for local X † moments and conduction electrons, respectively; σ þ c c ; and σ  p ¼ p ¼ k k" kþp# X † c c . Note that the spin-flip scattering of an electron at the interface k k# kþp" produces the energy change by δμ = μ"  μ#, which appears as the time-dependent phase factor in Eq. 91. It follows from the fluctuation-dissipation theorem [77] that the correlation functions are expressed in terms of the imaginary parts of the dynamical spin susceptibilities of F as ð D E ħ 1 þ S ð t ÞS ð 0 Þ ¼  dωeiωt nðω, T α ÞImχ þ q q α ðq, ωÞ; π 1

(92)

35

Physical Principles of Spin Pumping

1471

ð D E ħ 1 þ  Sq ðtÞSq ð0Þ ¼  dωeiωt ½nðω, T α Þ þ 1Imχ þ α ðq, ωÞ; π 1

(93)

and likewise in N, where n(ω, Tα) = 1/[exp(ħω/kBTα)  1] is the Bose distribution function with effective temperature Tα, and α takes either F or N (α = F, N). Inserting Eqs. 92 and 93 into Eq. 91, the interface spin current is expressed as J sF=N

X ð1 2ħ 2 ¼ N I J eff dω½nðω, T m Þ  nðω þ δμ=ħ, T e Þ π p, q 1

(94)

þ Imχ þ F ðq, ωÞImχ N ðp, ω þ δμ=ħÞ;

where Tm(= TF) is the magnon temperature and Te(= TN) is the conduction-electron temperature. The conduction electrons quickly equilibrate with the lattice, so that Te is equal to the lattice (phonon) temperature Tp. Using χ þ F ðq, ωÞ ¼   2hSz i= ħω  ħωq þ iδ for the localized moments without damping, where ħωq is X    the magnon excitation energy, and χ þ f ðξk Þ  f ξkþp = N ðp, ωÞ ¼ k   ħω  ξk þ ξkþp þ iδ for the conduction electrons, where f(ξk) is the Fermi distribution function and ξk is one-electron energy measured from the Fermi level, the interface spin current becomes [51]   hSz i J sd 2 1 ¼ 4πAJ 2 a  eF  N S    X  ħωq þ δμ ħωq  ħωq þ δμ coth  coth : 2kB T e 2kB T m q

J sF=N

(95)

Note that JsF/N vanishes in the equilibrium condition of Tm = Te and δμ = 0. To examine how the spin accumulation is converted to the magnon spin current and how the spin current depends on the magnon energy and temperature, one may consider a simple model that magnons have the parabolic dispersion with no excitation gap ħωq = Aexq2. At high temperatures comparable to room temperature, Eq. 95 can be expanded up to the first order with respect to δμ and the temperature difference Tm  Te, which leads to the spin-current density across the interface ðμÞ

jsF=N 

ðT Þ

ħ G"# ħ G"# δμð0Þ  kB ðT m  T e Þ; 2e 2eAJ 2e 2eAJ

(96)

where the interface spin conductances normalized to (2e2/h)AJ are ðμÞ g"#

ð μÞ

¼

G"#

ð2e2 =hÞAJ

8π ð6=π Þ

1=3

    hSz i J sd 2 kB T m ; a2 e F Aex

(97)

1472

S. Takahashi

ðT Þ g"#

 2 hSz i J sd ¼ 16π 2 ; a ð2e2 =hÞAJ eF ðT Þ

G"#

2

(98)

which correspond to the so-called mixing conductance with dimensions of cm2. It follows from the parameter values hSz i ¼ 5=2, aS = 0.6 nm, Aex = 6.24 meV ðμÞ nm2, and kBT  26 meV at room temperature that g"#  3:5  1016 ðJ sd =eF Þ2 cm2 ðT Þ

and g"#  1:1  1017 ðJ sd =eF Þ2 cm2. For example, the value of (Jsd/eF) = 0.5 yields ð μÞ

ðT Þ

g"#  1  1015 cm2 and g"#  3  1015 cm2 , which are the same order of magnitude as those in metallic junctions [33, 50]. Taking into account the interface spin current in Eq. 96 in the spin-diffusion theory and using the boundary condition that spin currents are continuous at the interface, one obtains the spin current and spin accumulation in the normal-metal layer of thickness dN [70], δμðxÞ ¼ 2eρN λs jsF=N

jsN ðxÞ ¼ jsF=N

cosh½ðx  d N Þ=λs  ; sinhðd N =λs Þ

sinh½ðx  dN Þ=λs  ; sinhðd N =λs Þ

(99)

(100)

and the interface spin-current density which includes the thermal pumping and backflow effects, jsF=N ¼ 

1 ðT Þ k ðT  T Þ B m e g"# ; ð μÞ 4π 1 þ g =gs cothðd N =λs Þ "#

(101)

N

where gsN ¼ ðh=2e2 Þ=ðρN λs Þ is the spin conductance of the N electrode. The electric field induced by ISHE in the normal layer is given by EISHE ¼ θSH

ρN ð2e=ħÞ jsN ðzÞ , so that the detected voltage VISHE = wNEISHE reads

V ISHE



ðT Þ   g"# =gsN tanhðd N =2λs Þ k wN B

¼ θSH ðT m  T e Þ; dN 1 þ gðμÞ =gs cothðdN =2λs Þ e "#

(102)

N

which enables one to deduce the nonequilibrium temperature difference of the order of (Tm  Te) ~ 3  104 K for the measured value of VISHE = 1.5 μV in a YIG/Pt device and the parameter values of θSH 0.01, wN = 4 mm, dN = 15 nm, and ðT Þ ðμÞ g"# =gsN ¼ g"# =gsN ¼ 0:01 ðJ sd =eF  1Þ.

35

Physical Principles of Spin Pumping

1473

Magnon Current, Magnon Accumulation, and Magnon Temperature It is important to find a relation between the effective magnon temperature and the magnon accumulation generated by a temperature gradient in a ferromagnetic insulator (FI). In the presence of temperature gradient ∇T along z in FI (see Fig. 8), the magnon current jm may flow according to the phenomenological equation jm ¼ Dm ∇δnm þ Lm ð∇T Þ;

(103)

where Dm is the diffusion constant of magnons, Lm is a coefficient, the first term is the contribution of nonequilibrium magnon accumulation δnm, and the second term is the contribution driven by the temperature gradient. Since individual magnons carry spin angular momentum ħ, the magnon spin current is jsm ¼ ħjm . The magnon accumulation is (104) δnm ¼ nm  nm ; E X D † where nm ¼ ðħγ Þ1 ðMs  Mz Þ ¼ b b is the magnon population in a q q q

nonequilibrium state; b†q(bq) is the magnon creation (annihilation) operator; hb†qbqi is the distribution of magnon with energy ħωq, which is represented by the Bose distribution function with magnon temperature Tm; and nm is the local equilibrium magnon population with the lattice (phonon) temperature Tp which is equal to the applied temperature. At high temperatures around room temperature (RT), the magnon distribution functions can be expanded with respect to ħωq/kBTα, yielding δnm ð6=π Þ1=3 where

X  q

ħωq

1

 kB  Tm  Tp ; 2π Aex aS

(105)

¼ ð6=π Þ1=3 V F =ð2π Aex aS Þ is used. Note that the magnon accu-

mulation is represented by the temperature difference Tm  Tp, the deviation of the magnon temperature from the lattice temperature. Assuming the continuity equation for magnons, ∇  jm = δnm/τm, where τm is the magnon relaxation time, and using Eq. 103, the magnon-diffusion equation is written as ∇2 δnm ðzÞ ¼

1 δnm ðzÞ; λ2m

(106)

pffiffiffiffiffiffiffiffiffiffiffiffi where λm ¼ Dm τm is the magnon-diffusion length. Using the boundary condition   jm = 0 at the sample ends of z = L/2, the magnon spin current jsm ¼ ħjm and magnon accumulation become

1474

S. Takahashi

a

Δ

Fig. 7 (a) Spatial distribution of (a) magnon current and (b) magnon accumulation, which is proportional to the difference of magnon and lattice temperatures, in FI in the presence of a positive temperature gradient along z(L/2 < z < L/2)

λ

δ

Δ

η Δ

b

λ

  coshðz=λm Þ jsm ðzÞ ¼ ħ 1  Lm ð∇T Þ; coshðL=2λm Þ   λm sinhðz=λm Þ δnm ðzÞ ¼ Lm ð∇T Þ: Dm coshðL=2λm Þ

(107)

(108)

When the temperature difference ΔT is applied between the ends of the sample with a linear temperature variation T(z) = T0 + (z/L)ΔT along the z direction in a ferromagnetic insulator of length L, the temperature gradient is given by ∇T = ΔT/L. Equating Eqs. 105 and 108, the nonequilibrium magnon temperature varies along the temperature gradient as T m  T p ¼ ηm

  λm sinhðz=λm Þ ∇T; L coshðL=2λm Þ

(109)

where ηm = 2π(6/π)1/3(Lm AexaS/kBDm). The spatial distributions of the magnon current and the magnon accumulation in the presence of temperature gradient are shown in Fig. 7a, b, respectively. Both magnon current and magnon accumulation are proportional to the difference between the magnon and lattice temperatures. The magnon (particle) current

35

Physical Principles of Spin Pumping

1475

flows from the high-T side to the low-T side and vanishes at the sample ends due to the boundary condition jm(z = L/2) = 0. This magnon flow creates an accumulation of magnons in the low-T region, where the effective magnon temperature is higher than the lattice temperature, whereas it creates a depletion of magnons in the high-T region, where the effective magnon temperature is lower than the lattice temperature.

Spin Seebeck Effect When a normal-metal layer is placed at position zN on the FI layer as shown in Fig. 8a, the electron temperature of the N layer equilibrates with the lattice temperature of the FI layer at the contact position (Te = Tp). Thus, from Eqs. 102 and 109, the inverse spin-Hall voltage generated by SSE becomes V ISHE ¼ ξm

     wN kB ΔT λm sinhðzN =λm Þ ; dN L coshðL=2λm Þ jej

(110)

where

ðT Þ g"# =gsN tanhðdN =2λs Þ

: ξm ¼ θSH ηm ð μÞ 1 þ g"# =gsN cothðdN =λs Þ

a

(111)

c = −

=





Δ

−Δ

b

= −

δ

> >

δ

<
L ), V ISHE ξm ðwN =d N ÞðkB ΔT=jejÞðzN =LÞ;

(112)

indicating that VISHE varies linearly with respect to zN, which has been observed in a YIG/Pt system at room temperature [72]. A qualitative physical picture of the spin Seebeck effect is presented in Fig. 8b. A nonequilibrium state between magnetic moments in YIG and conduction electrons in Pt is created by applying the temperature difference between the two ends of the YIG film. The thermally excited magnons flow from the high-T to the low-T ends driven by the temperature gradient. This magnon flow creates a magnon accumulation (δnm > 0) in the low-T side, where the effective magnon temperature is higher than the lattice temperature (Tm > Tp), whereas it creates a magnon depletion (δnm < 0) in the high-T side, where the effective magnon temperature is lower than the lattice temperature (Tm < Tp). Since the electron temperature Te of the N layer equilibrates with the lattice temperature at the contact zN (Te = Tp), Tm is higher (lower) than Te at the contact in the low-T (high-T ) side [78]. When the contact lies in high-T side, the spin current flows from FI into N in proportion to Tm  Te and also to ΔT, whereas it flows in the reverse direction from N to FI when the contact lies in low-T side. Consequently, the voltage signal VISHE generated by the spin current has different signs depending on whether the contact position zN is in the high-T or low-T region. Figure 8c shows the experimental date measured by Uchida et al. [72], and the solid curves are the fitting results using Eq. 110. The nearly linear dependence of measured VISHE at room temperature implies that the magnon-diffusion length is very long of the order of mm, and the damping of the magnon accumulation is extremely weak in YIG which prevents a rapid equilibration of magnons with phonons. The qualitative picture presented above is validated by the microscopic models based on scattering and linear-response theories [79, 80] and numerical simulations [81]. Thermally induced spin-angular-momentum transfer across the YIG/Pt interface has been used to control the Gilbert damping of magnetization [82].

Summary In this chapter, a theoretical description for spin pumping from a ferromagnet into a normal metal is presented based on the spin-exchange interaction between localized moments and conduction electrons in hybrid nanostructures. It is demonstrated that pure spin currents are generated by the coherent spin pumping due to ferromagnetic resonance (FMR) and the thermal spin pumping due to the spin Seebeck effect (SSE). The inverse effect that the spin dynamics is manipulated by spin injection from a normal metal with strong spin-orbit coupling into a ferromagnet using the spin-Hall effect (SHE) is discussed. Owing to the spin-exchange interaction between localized moments and conduction electrons, the spin angular momentum is transferred from one subsystem where nonequilibrium electron spins or magnons

35

Physical Principles of Spin Pumping

1477

are accumulated as a spin source by means of FMR, SHE, or SSE to a neighboring nonmagnetic subsystem where a pure spin current carried by electron spins is generated to produce an electric current of conduction electrons (dc voltage) by means of the inverse spin-Hall effect (ISHE) or to a neighboring magnetic subsystem where magnon excitation or spin-transfer torque strongly affects the magnetization dynamics (damping) or even induces the magnetization switching. Spin pumping and related phenomena would open up new avenues in the field of metallic, semiconducting, and insulating spintronics. The present formalism based on the spin-exchange interaction is an alternative to the spin-pumping formalism based on the Landauer-B€uttiker scattering theory. While these approaches are apparently different and have been developed rather independently so far, these are two sides of the same coin and provide complementary views for spin pumping. Further intensive and comprehensive studies would provide a unified picture of spin pumping in hybrid nanostructures.

References 1. Maekawa S (ed) (2006) Concepts in spin electronics. Oxford University Press, Oxford 2. Tsymbal E, Zutic´ I (eds) (2011) Handbook of spin transport and magnetism. CRC Press, Boca Raton 3. Zˇutic´ I, Fabian J, Das Sarma S (2004) Spintronics: Fundamentals and applications. Rev Mod Phys 76:323 4. Maekawa S, Valenzuela SO, Saitoh E, Kimura T (eds) (2012) Spin current. Oxford University Press, Oxford 5. Johnson M, Silsbee RH (1985) Interfacial charge-spin coupling: Injection and detection of spin magnetization in metals. Phys Rev Lett 55:1790 6. Johnson M (1993) Spin accumulation in gold films. Phys Rev Lett 70:2142 7. Jedema FJ, Filip AT, van Wees BJ (2001) Electrical spin injection and accumulation at room temperature in an all-metal mesoscopic spin valve. Nature 410:345 8. Jedema FJ, Heersche HB, Filip AT, Baselmans JJA, van Wees BJ (2002) Electrical detection of spin precession in a metallic mesoscopic spin valve. Nature 416:713 9. Lou XH, Adelmann C, Crooker SA, Garlid ES, Zhang J, Reddy KSM, Flexner SD, Palmstrom CJ, Crowell PA (2007) Electrical detection of spin transport in lateral ferromagnetsemiconductor devices. Nat Phys 3:197 10. Han W, McCreary KM, Pi K, Wang WH, Li Y, Wen H, Chen JR, Kawakami RK (2012) Spin transport and relaxation in graphene. J Magn Magn Mater 324:369 11. Takahashi S, Maekawa S (2003) Spin injection and detection in magnetic nanostructures. Phys Rev B 67:052409 12. Silsbee RH, Janossy A, Monod P (1979) Coupling between ferromagnetic and conductionspin-resonance modes at a ferromagnetic-normal-metal interface. Phys Rev B 19:4382 13. Mizukami S, Ando Y, Miyazaki T (2002) Effect of spin diffusion on Gilbert damping for a very thin permalloy layer in Cu/permalloy/Cu/Pt films. Phys Rev B 66:104413 14. Tserkovnyak Y, Brataas A, Bauer GEW (2002) Spin pumping and magnetization dynamics in metallic multilayers. Phys Rev Lett 88:117601 15. Costache MV, Sladkov M, Watts SM, van der Wal CH, van Wees BJ (2006) Electrical detection of spin pumping due to the precessing magnetization of a single ferromagnet. Phys Rev Lett 97:216603 16. Mosendz O, Pearson JE, Fradin FY, Bauer GEW, Bader SD, Hoffmann A (2010) Quantifying spin Hall angles from spin pumping: Experiments and theory. Phys Rev Lett 104:046601

1478

S. Takahashi

17. Ando K, Takahashi S, Ieda J, Kurebayashi H, Trypiniotis T, Barnes CHW, Maekawa S, Saitoh E (2011) Electrically tunable spin injector free from the impedance mismatch problem. Nat Mater 10:655 18. Kato YK, Myers RC, Gossard AC, Awschalom DD (2004) Observation of the spin Hall effect in semiconductors. Science 306:1910 19. Wunderlich J, Kaestner B, Sinova J, Jungwirth T (2005) Experimental observation of the spinHall effect in a two-dimensional spin-orbit coupled semiconductor system. Phys Rev Lett 94:047204 20. Valenzuela SO, Tinkham M (2006) Direct electronic measurement of the spin Hall effect. Nature 442:176 21. Saitoh E, Ueda M, Miyajima H, Tatara G (2006) Conversion of spin current into charge current at room temperature: Inverse spin-Hall effect. Appl Phys Lett 88:182509 22. Kimura T, Otani Y, Sato T, Takahashi S, Maekawa S (2007) Room-temperature reversible spin Hall effect. Phys Rev Lett 98:156601 23. Seki T, Hasegawa Y, Mitani S, Takahashi S, Imamura H, Maekawa S, Nitta J, Takanasni K (2008) Giant spin Hall effect in a perpendicularly spin-polarized FePt/Au device. Nat Mater 7:125 24. D’yakonov MI, Perel’ VI (1971) Current induced spin orientation of electrons in semiconductors. Phys Lett A 35:459 25. Hirsch JE (1999) Spin Hall effect. Phys Rev Lett 83:1834 26. Zhang S (2001) Spin Hall effect in the presence of spin diffusion. Phys Rev Lett 85:393 27. Murakami S, Nagaosa N, Zhang SC (2003) Dissipationless quantum spin current at room temperature. Science 301:1348–1351 28. Sinova J, Culcer D, Niu Q, Sinitsyn NA, Jungwirth T, MacDonald AH (2004) Universal intrinsic spin Hall effect. Phys Rev Lett 92:126603 29. Takahashi S, Maekawa S (2002) Hall effect induced by a spin-polarized current in superconductors. Phys Rev Lett 88:116601 30. Bauer GEW, Saitoh E, van Wees BJ (2012) Spin caloritronics. Nat Mater 11:391 31. Adachi H, Uchida K, Saitoh E, Maekawa S (2013) Theory of the spin Seebeck effect. Rep Prog Phys 76:036501 32. Tserkovnyak Y, Brataas A, Bauer GEW (2002) Spin pumping and magnetization dynamics in metallic multilayers. Phys Rev B 66:224403 33. Tserkovnyak Y, Brataas A, Bauer GEW, Halperin BI (2005) Nonlocal magnetization dynamics in ferromagnetic heterostructures. Rev Mod Phys 77:1375 34. Brataas A, Bauer GEW, Kelly PJ (2006) Non-collinear magnetoelectronics. Phys Rep 427:157 35. B€uttiker M, Thomas H, Pre´tre A (1994) Current partition in multiprobe conductors in the presence of slowly oscillating external potentials. Z Phys B 94:133 36. Brouwer PW (1998) Scattering approach to parametric pumping. Phys Rev B 58:R10135 37. Sˇimánek E, Heinrich B (2003) Gilbert damping in magnetic multilayers. Phys Rev B 67:144418 38. Mills DL (2003) Ferromagnetic resonance relaxation in ultrathin metal films: The role of the conduction electrons. Phys Rev B 68:014419 39. Zhang S, Li Z (2003) Roles of nonequilibrium conduction electrons on the magnetization dynamics of ferromagnets. Phys Rev Lett 93:127204 40. Takeuchi A, Hosono K, Tatara G (2010) Diffusive versus local spin currents in dynamic spin pumping systems. Phys Rev B 81:144405 41. Yosida K (1998) Theory of magnetism. Springer, Berlin, p 228 42. Gilbert TL (1955) A Lagrangian formulation of the gyromagnetic equation of the magnetic field. Phys Rev 100:1243 43. Bloch F (1946) Nuclear induction. Phys Rev 70:460 44. Torrey HC (1956) Bloch equations with diffusion terms. Phys Rev 104:563 45. Heinrich B, Tserkovnyak Y, Woltersdorf G, Brataas A, Urban R, Bauer GEW (2003) Dynamic exchange coupling in magnetic bilayers. Phys Rev Lett 90:187601

35

Physical Principles of Spin Pumping

1479

46. Takahashi S (2014) Giant enhancement of spin pumping in the out-of-phase precession mode. Appl Phys Lett 104:052407 47. Mizukami S, Ando Y, Miyazaki T (2001) The study on ferromagnetic resonance linewidth for NM/80NiFe/NM (NM=Cu, Ta, Pd and Pt) films. Jpn J Appl Phys 40:580 48. Azevedo A, Vilela-Leao LH, Rodriguez-Suarez RL, Santos AFL, Rezende SM (2011) Spin pumping and anisotropic magnetoresistance voltages in magnetic bilayers: Theory and experiment. Phys Rev B 83:144402 49. Nakayama H, Ando K, Harii K, Yoshino T, Takahashi R, Kajiwara Y, Uchida K, Fujikawa Y, Saitoh E (2012) Geometry dependence on inverse spin Hall effect induced by spin pumping in Ni_{81}Fe_{19}/Pt films. Phys Rev B 85:144408 50. Czeschka FD, Dreher L, Brandt MS, Weiler M, Althammer M, Imort IM, Reiss G, Thomas A, Schoch W, Limmer W, Huebl H, Gross R, Goennenwein STB (2011) Scaling behavior of the spin pumping effect in ferromagnet-platinum bilayers. Phys Rev Lett 107:046601 51. Takahashi S, Saitoh E, Maekawa S (2010) Spin current through a normal-metal/insulatingferromagnet junction. J Phys Conf Ser 200:062030 52. Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Mizuguchi M, Umezawa H, Kawai H, Ando K, Takanashi K, Maekawa S, Saitoh E (2010) Transmission of electrical signals by spinwave interconversion in a magnetic insulator. Nature 464:262 53. Kajiwara Y, Takahashi S, Maekawa S, Saitoh E (2011) Detection of spin-wave spin current in a magnetic insulator. IEEE Trans Magn 47:1591 54. Castel V, Vlietstra N, Youssef JB, van Wees BJ (2012) Platinum thickness dependence of the inverse spin-Hall voltage from spin pumping in a hybrid yttrium iron garnet/platinum system. Appl Phys Lett 101:132414 55. Weiler M, Althammer M, Schreier M, Lotze J, Pernpeintner M, Meyer S, Huebl H, Gross R, Kamra A, Xiao J, Chen YT, Jiao H, Bauer GEW, Goennenwein STB (2013) Experimental test of the spin mixing interface conductivity concept. Phys Rev Lett 111:176601 56. Heinrich B, Burrowes C, Montoya E, Kardasz B, Girt E, Song YY, Sun Y, Wu M (2011) Spin pumping at the magnetic insulator (YIG)/normal metal (Au) interfaces. Phys Rev Lett 107:066604 57. Jia X, Liu K, Xia K, Bauer GEW (2011) Spin transfer torque on magnetic insulators. Eur Phys Lett 96:17005 58. Ando K, Takahashi S, Harii K, Sasage K, Ieda J, Maekawa S, Saitoh E (2008) Electric manipulation of spin relaxation using the spin Hall effect. Phys Rev Lett 101:036601 59. Liu L, Moriyama T, Ralph DC, Buhrman RA (2011) Spin-torque ferromagnetic resonance induced by the spin Hall effect. Phys Rev Lett 106:036601 60. Kondou K, Sukegawa H, Mitani S, Tsukagoshi K, Kasai S (2012) Evaluation of spin Hall angle and spin diffusion length by using spin current-induced ferromagnetic resonance. Appl Phys Express 5:073002 61. Liu L, Pai CF, Li Y, Tseng HW, Ralph DC, Buhrman RA (2012) Spin-torque switching with the giant spin Hall effect of Tantalum. Science 336:555 62. Slonczewski JC (1996) Current-driven excitation of magnetic multilayers. J Magn Magn Mater 159:L1 63. Petit S, Baraduc C, Thirion C, Ebels U, Liu Y, Li M, Wang P, Dieny B (2007) Spin-torque influence on the high-frequency magnetization fluctuations in magnetic tunnel junctions. Phys Rev Lett 98:077203 64. Ando K, Takahashi S, Ieda J, Kajiwara Y, Nakayama H, Yoshino T, Harii K, Fujikawa Y, Matsuo M, Maekawa S, Saitoh E (2011) Inverse spin-Hall effect induced by spin pumping in metallic system. J Appl Phys 109:103913 65. Mosendz O, Vlaminck V, Pearson JE, Fradin FY, Bauer GEW, Bader SD, Hoffmann A (2010) Detection and quantification of inverse spin Hall effect from spin pumping in permalloy/ normal metal bilayers. Phys Rev B 82:214403 66. Valet T, Fert A (1993) Theory of the perpendicular magnetoresistance in magnetic multilayers. Phys Rev B 48:7099

1480

S. Takahashi

67. Takahashi S, Imamura H, Maekawa S (2006) Spin injection and spin transport in hybrid nanostructures. In: Maekawa S (ed) Concept in spin electronics. Oxford University Press, Oxford 68. Takahashi S, Maekawa S (2008) Spin current in metals and superconductors. J Phys Soc Jpn 77:031009 69. Takahashi S, Maekawa S (2008) Spin current, spin accumulation and spin Hall effect. Sci Technol Adv Mater 9:014105 70. Takahashi S, Maekawa S (2011) Spin transport in hybrid nanostructures. In: Tsymbal E, Zutic´ I (eds) Handbook of spin transport and magnetism. CRC Press, Boca Raton 71. Uchida K, Takahashi S, Harii K, Ieda J, Koshibae W, Ando K, Maekawa S, Saitoh E (2008) Observation of the spin Seebeck effect. Nature 455:778 72. Uchida K, Xiao J, Adachi H, Ohe J, Takahashi S, Ieda J, Ota T, Kajiwara Y, Umezawa H, Kawai H, Bauer GEW, Maekawa S, Saitoh E (2010) Spin Seebeck insulator. Nat Mater 9:894 73. Jaworski CM, Yang J, Mack S, Awschalom DD, Heremans JP, Myers RC (2010) Observation of the spin-Seebeck effect in a ferromagnetic semiconductor. Nat Mater 9:898 74. Kirihara A, Uchida K, Kajiwara Y, Ishida M, Nakamura Y, Manako T, Saitoh E, Yorozu S (2011) Spin-current-driven thermoelectric coating. Nat Mater 11:686 75. Wang Z, Sun Y, Wu M, Tiberkevich V, Slavin A (2011) Control of spin waves in a thin film ferromagnetic insulator through interfacial spin scattering. Phys Rev Lett 107:146602 76. Mahan GD (2000) Many particle physics. Kluwer Academic/Plenum, New York 77. Rammer J (2004) Quantum transport theory. Westview Press, Boulder, p 305 78. Sanders DJ, Watson D (1977) Effect of magnon-phonon thermal relaxation on heat transport by magnons. Phys Rev B 15:1489 79. Xiao J, Bauer GEW, Uchida K, Saitoh E, Maekawa S (2010) Theory of magnon-driven spin Seebeck effect. Phys Rev B 81:214418 80. Adachi H, Ohe J, Takahashi S, Maekawa S (2011) Linear-response theory of spin Seebeck effect in ferromagnetic insulators. Phys Rev B 83:094410 81. Ohe J, Adachi H, Takahashi S, Maekawa S (2011) Numerical study on the spin Seebeck effect. Phys Rev B 83:115118 82. Lu L, Sun Y, Jantz M, Wu M (2012) Control of ferromagnetic relaxation in magnetic thin films through thermally induced interfacial spin transfer. Phys Rev Lett 108:257202

36

Spin Current Generation by Spin Pumping Kazuya Ando and Eiji Saitoh

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Electric Detection of Spin Pumping in Metallic Film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Model of Spin Pumping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnetization-Precession Trajectory and Spin Pumping: Universality of Spin Pumping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Injection into Semiconductor by Spin Pumping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Pumping from Insulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Nonlinear Spin Pumping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1482 1484 1488 1492 1494 1498 1501 1503 1503

Abstract

Magnetization dynamics is coupled with spin currents by exchanging the spinangular momentum. This coupling allows to control magnetization by spin currents; spin injection into a ferromagnet induces magnetization precession. The inverse of this process, namely, spin current emission from precessing magnetization, is spin pumping, which offers a route for generating spin currents in a wide range of materials. This chapter describes experiments on the generation and detection of spin currents using the spin pumping and inverse spin-Hall effect. The inverse spin-Hall effect, conversion of spin currents into an electric voltage through spin-orbit interaction, induced by the spin pumping was first discovered in a metallic film. The spin pumping in this film is quantitatively K. Ando (*) Department of Applied Physics and Physico-Informatics, Keio University, Yokohama, Japan e-mail: [email protected] E. Saitoh Institute for Materials Research, Tohoku University, Sendai, Japan e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_52

1481

1482

K. Ando and E. Saitoh

consistent with a model calculation based on the Landau-Lifshitz-Gilbert equation. This dynamical spin injection, the spin pumping, offers an easy and versatile way for injecting spin currents into not only metals but also highresistivity materials. In a metal/semiconductor junction, the spin pumping is demonstrated to be controlled electrically through the tuning of dynamical spinexchange coupling at the interface. This spin-injection method works without applying a charge current, which makes it possible to generate spin currents from magnetic insulators; the spin pumping appears even in a metal/insulator junction due to finite spin-exchange interaction at the interface. The spin pumping from an insulator enables nonlinear generation of spin currents: nonlinear spin pumping. The combination of the spin pumping and inverse spin-Hall effect provides an essential route for exploring spin physics in condensed matter. List of Abbreviations

FMR ISHE LLG

Ferromagnetic resonance Inverse spin-Hall effect Landau-Lifshitz-Gilbert

Introduction A spin current interacts with magnetization by exchanging the spin-angular momentum [1]. This interaction enables to control magnetization using a spin current; spin injection into a ferromagnet transfers the spin-angular momentum of the spin current to the magnetization, driving magnetization precession without external rf magnetic fields. The inverse of this process is the spin pumping [2, 3]; when magnetization precession is maintained by an external rf magnetic field, a spin current is emitted from the precessing magnetization in a ferromagnetic/ nonmagnetic (F/N ) junction through dynamical spin-exchange interaction between the magnetization in the F layer and the carrier spins in the N layer. In a F/N junction, magnetization in the F layer and electron spins P in the N layer interact at the interface through the s-d interaction: Hsd ¼  J sd i  I Si si ; where Si and si are the localized spin of the F layer and the conduction electron spin in the N layer at site i on the interface. Jsd is the exchange coupling strength between Si and si. Assuming that the magnetic moments in the F layer are strongly coupled with each other so that all magnetic moments coherently precess around the z direction, one can replace Si by the magnetization M with Ð the relation Si/S = M/Ms. Thus, the s-d interaction is expressed as H sd ¼  J ex dxMI • mN ðxÞ; where x axis is directed normal to the interface. Here, J ex ¼ J sd S=ðћγ e Ms Þ is the dimensionless exchange coupling constant, Ms is the saturation magnetization, S is an effective block spin per unit cell, and γe is the gyromagnetic ratio of conduction electrons. mN = ћγesN is the induced magnetization of the N layer. sN = si/ve is the spin density in the N layer, where ve is the volume per electrons in the N layer. MI ¼ Mδ ðtÞδðx  x0 Þ ¼ MðtÞaeff δðx  x0 Þ is the interface

36

Spin Current Generation by Spin Pumping

1483

P P magnetization, where Mðx, tÞ ¼ μa ðtÞ l δðr  rl Þ ¼ Mδ ðtÞ i ðx  xi Þ ¼ P MðtÞaS i δðx  xi Þ withMðtÞ ¼ Mδ ðtÞ=aS ¼ μa ðtÞ=a3S . Here, Mδ is the sheet magnetization and μa is the magnetic moment at cite. rl :aeff ¼ ve =a2s is the effective interaction range. The exchange coupling exerts a torque on mN(x,t). Thus, one may write the dynamics of the magnetization and the electron spin as [4] @M α @M ¼ γðM  Heff Þ  γðMI  J ex mN Þ þ ; M @t Ms @t

(1)

@mN δmN ¼ γe ðmN  J ex MI Þ  sf þ DN ∇2 δmN ; @t τN

(2)

where γ and α are the gyromagnetic ratio and the Gilbert damping constant, respectively. τsf N and DN are the spin relaxation time and diffusion constant in the N layer, respectively. Here, the magnetization of conduction electrons in the N layer mN(x,t) is written as mN ðx, tÞ ¼ χ N J ex Mðx, tÞ þ δmN ðx, tÞ with the nonequilibrium magnetization, or spin accumulation, δmN in the N layer. χ N is the Pauli susceptibility. The second term in Eq. 1 and the first term in Eq. 2 represent the exchange torque at the interface. The spin relaxation and spin diffusion in the N layer are described in the third and forth terms in Eq. 2. A solution of Eq. 2 has the form   χN 1 d ^ d ^ x=λN ^ δmðxÞ ¼  Γ MþM M e ; (3) dt dt γe 1 þ Γ 2 pffiffiffiffiffiffiffiffiffiffiffi   ^ ¼ M = Ms and Γ ¼ ћλN = SJ sd τsf aeff :λN ¼ DN τsf is the spin-diffusion where M N N length. The z component of the spin accumulation is given by δmz ðxÞ ¼ ð1=ge Þ    ^  ðd=dtÞM ^ , where ge is the electron g factor. χ N ¼ ðμB N N ð0ÞћÞ= 1 þ Γ 2 M z

μ2B N N ð0Þ, where NN(0) is the density of states at the Fermi energy. Therefore, a spin current with the spin-polarization direction along the z axis, js ¼ ðe=μB ÞDN ∇δmzN, is obtained as jzs ðxÞ ¼



ћ2 DN N N ð0Þ dMðtÞ x=λN   e ; M ð t Þ  dt z 2ge λN 1 þ Γ 2

(4)

or, using the spin-pumping conductance g"# r , the direct-current component of the spin current at the F/N interface (x = 0) can be expressed as [2] ω js ¼ 2π

ð 2π=ω 0



ћ "# 1 dMðtÞ g MðtÞ  dt: 4π r M2s dt z

(5)

The spin pumping allows to explore the physics of a spin current in a wide range of materials, making it a key technique in spintronics as discussed below.

1484

K. Ando and E. Saitoh

Electric Detection of Spin Pumping in Metallic Film Spin currents generated by the spin pumping can be detected using the inverse spinHall effect (ISHE), which converts a spin current into an electric field through spinorbit interaction as [5] EISHE ¼ ðθSHE ρN Þjs  σ:

(6)

Here, θSHE = σSHE/σN is the spin-Hall angle, where σSHE and σN are the spin-Hall conductivity and electric conductivity, respectively. ρN is the electric resistivity. The ISHE enables electric detection of spin currents even in the absence of spin accumulation, making it a key technique for exploring spin currents. The ISHE induced by the spin pumping was first discovered in a Ni81Fe19/Pt film [5]. The following describes the details of the experimental procedure and results obtained in a Ni81Fe19/Pt film [6, 7]. The sample used for the measurements is a Ni81Fe19/Pt film comprising a 10-nmthick ferromagnetic Ni81Fe19 layer (a 0.4  1.2 mm rectangular shape) and a 10-nm-thick paramagnetic Pt layer (a 0.4  2.2 mm rectangular shape) (see Fig. 1a). These layers were patterned using metal masks. The Pt layer was fabricated by sputtering on a thermally oxidized Si substrate, and then the Ni81Fe19 layer was evaporated on the Pt layer in a high vacuum. Two electrodes are attached to both ends of the Pt layer. For the measurement, the sample was placed near the center of a TE011 cavity at which the magnetic-field component of the microwave mode is maximized, while the electric-field component is minimized. During the measurement, a microwave mode with frequency f = 9.44 GHz existed in the cavity, and the external magnetic field H was applied perpendicular to the direction across the electrodes. All the measurements were performed at room temperature. Figure 1b shows microwave absorption spectra dI(H )/dH measured for the Ni81Fe19/Pt film and a Ni81Fe19 film where the Pt layer is missing. Here, I denotes the microwave absorption intensity. As shown in Fig. 1b, the spectral width W (see the inset to Fig. 1b) for the Ni81Fe19 film is clearly enhanced by attaching the Pt layer. This result shows that the magnetization-precession relaxation is enhanced by attaching the Pt layer, since the spectral width W is proportional to the Gilbert damping constant α [8]. This spectral width enhancement demonstrates the emission of a spin current from the precessing magnetization induced by the spin pumping; since a spin current carries spin-angular momentum, this spin current emission deprives the magnetization of the spin-angular momentum and thus gives rise to additional magnetization-precession relaxation or enhances α. The spectral width enhancement due to the spin pumping, ΔW = WF/N  WF, is related with the spin-pumping conductance g"# r as [9, 10] gμ ω ΔW ¼ pffiffiffi B g"# r ; 2 3πMS γdF

(7)

36

Spin Current Generation by Spin Pumping

b

M(t)

dI/dH (arb. units)

a

1485

EISHE σ

Ni81Fe19

Pt

W

V (μV) AHE H

20 10

H H FMR

exp. Lorentz

30

d

H FMR

Ni81Fe19/Pt

0

c 40

js

ISHE

Ni81Fe19

0 −20

−10 0 10 H−HFMR (mT)

20

Fig. 1 (a) A schematic illustration of the spin pumping and the inverse spin-Hall effect in the Ni81Fe19/Pt film. M(t) is the magnetization in the Ni81Fe19 layer. EISHE, Js, and σ denote the electromotive force due to the inverse spin-Hall effect, the spatial direction of a spin current, and the spin-polarization vector of the spin current, respectively. (b) Field (H ) dependence of the FMR signals dI(H )/dH for the Ni81Fe19/Pt film and the Ni81Fe19 film. Here, I denotes the microwave absorption intensity. HFMR is the resonance field. The inset shows the definition of the spectral width W in the present study. (c) Field dependence of the electric-potential difference V for the Ni81Fe19/Pt film under the 200 mW microwave excitation. The open circles are the experimental data. The curve in red shows the fitting result using a Lorentz function for the V data. (d) The spectral shape of the electromotive force due to the inverse spin-Hall effect (ISHE) and the anomalous-Hall effect (AHE)

where WF/N and WF are the FMR spectral width for the Ni81Fe19/Pt film and the Ni81Fe19 film, respectively. g and μB are the g factor and the Bohr magneton, respectively. Using the parameters g = 2.12, 4πMs = 0.745 T, dF = 10 nm, γ = 1.86  1011 T1 s1, ω = 5.93  1010 s1, WF/N = 7.58 mT, and WF = 5.34 mT, the spin-pumping conductance at the Ni81Fe19/Pt interface is obtained as g"# r ¼ 2:31 19 2  10 m . The above experimental result shows that the ISHE induced by the spin pumping can be explored in the Ni81Fe19/Pt film. When H and f fulfill the FMR condition, a spin current with a spin polarization σ parallel to the magnetization-precession axis in the Ni81Fe19 layer is injected into the Pt layer by the spin pumping. This spin current is converted into an electric voltage using the strong ISHE in the Pt layer [11] as shown in Fig. 1a. The ISHE induced by the spin pumping can be detected by measuring the electric voltage. Figure 1c shows the electromotive force signal V measured for the Ni81Fe19/Pt film under the 200 mW microwave excitation. In the V spectrum, an electromotive force signal appears around the resonance field HFMR. This electromotive force is

1486

K. Ando and E. Saitoh

a

b

Ni81Fe19

20 μV

V H

200 mW

Pt

1.0

100 mW 50 mW

V ISHE /V max

V (μV)

150 mW

0.5

PMW = 0 –20

0 H−H FMR (mT)

20

0

50

100 150 PMW (mW)

200

Fig. 2 (a) The electromotive force V spectra measured for the Ni81Fe19/Pt film at different microwave excitation powers PMW. (b) The microwave power PMW dependence of the electromotive force VISHE for the Ni81Fe19/Pt film. VISHE is estimated as the peak height of the resonance shape in the V spectrum

attributed to the ISHE induced by the spin pumping. Notably, the spectral shape of this electromotive force is well reproduced using a Lorentz function. The symmetric shape of the electromotive force is a feature expected for the spin-pumping-induced ISHE. Although an in-plane component of a microwave electric field may induce a rectified electromotive force via the anomalous-Hall effect (AHE) in cooperation with FMR [5, 12–14], the electromotive force due to the ISHE and AHE can be distinguished in terms of their spectral shapes [15]. Since the magnitude of the electromotive force due to the ISHE induced by the spin pumping, VISHE(H ), is proportional to the microwave absorption intensity, VISHE(H ) is maximized at the FMR condition. In contrast, the sign of the electromotive force due to the AHE (1 e(t)  m(t)), VAHE(H ), is reversed across the ferromagnetic resonance field HFMR, where e(t) and m(t) are the microwave electric field and the magnetization component perpendicular to e(t), respectively, since the magnetization-precession phase shifts by π at the resonance [16]. Therefore, the electromotive force due to the ISHE and AHE is of the Lorentz shape and the dispersion shape, respectively, as shown in Fig. 1d. The above experimental results are further buttressed by measuring microwave power and magnetic-field-angle dependence of the electromotive force. The V spectra for the Ni81Fe19/Pt film at different microwave excitation powers PMW are shown in Fig. 2a. The electromotive force decreases with decreasing PMW, consistent with the prediction of the spin pumping. Figure 2b shows microwave power PMW dependence of the magnitude of the electromotive force VISHE, where VISHE is estimated as the peak height of the resonance shape in the V spectra. The PMW dependence of VISHE shows that the amount of spin current injected into the Pt

36

Spin Current Generation by Spin Pumping

a H z

Ni81Fe19

qH

1487

c

V

y

20 μV

x

qH = 90° qH = 60°

Pt

H

qM

M(t)

qH = 0

qH = 30°

V (μV)

b

qH = −30°

qH

Ni81Fe19

Pt

qM

qH = −60°

qH = −90°

EISHE JS

−20

0 H−H FMR (mT)

20

Fig. 3 (a) A schematic illustration of the measurement setup for the out-of-plane magnetic-fieldangle dependence of the ISHE signal. θH is the external-magnetic-field angle to the normal vector of the film plane. (b) A schematic illustration of the inverse spin-Hall effect induced by the spin pumping. θM is the magnetization angle to the normal vector of the film plane. (c) The out-of-plane magnetic-field-angle θH dependence of the electromotive force V for the Ni81Fe19/Pt film

layer is proportional to PMW. This is consistent with the prediction of a direct-currentspin-pumping model [15]. Equation 5 shows that the dc component of a spin current generated by the spin pumping is proportional to the projection of M(t)  dM(t)/dt onto the magnetization-precession axis. This projection is proportional to the square of the magnetization-precession amplitude. In this case, therefore, the induced spin current or the electromotive force due to the ISHE is proportional to the square of the magnetization-precession amplitude, i.e., the microwave power PMW. Figure 3c shows H dependence of V for the Ni81Fe19/Pt film at different out-ofplane magnetic-field-angle θH, where the external magnetic field H was applied at an angle of θH to the normal vector of the film plane, as shown in Fig. 3a. Notable is that, with changing θH, the V signal disappears at θH = 0 and changes its sign when θH > 0. This feature is consistent with the prediction of the spin pumping and ISHE. The spin polarization σ of a dc spin current generated by the spin pumping is directed along the magnetization-precession axis (see Fig. 3b). This spin current is converted into an electromotive force by the ISHE as EISHE 1 Js  σ; the sign of the electromotive force is reversed by reversing the H direction. When θH = 0, the precession axis of the magnetization in the Ni81Fe19 layer is

1488

K. Ando and E. Saitoh

directed along the normal axis of the film plane. In this situation, the spinpolarization vector of a spin current σ is parallel to the flow direction of the spin current Js, and thus Eq. 6 predicts EISHE 1 Js  σ = 0, being consistent with the experimental result. To quantitatively understand the out-of-plane magnetic-field-angle θH dependence of the V signal, in the following section, the spin current emission in thin film systems is formulated based on the Landau-Lifshitz-Gilbert (LLG) equation combined with the model of the spin pumping.

Model of Spin Pumping The dynamics of magnetization M(t) in a ferromagnetic film under an effective magnetic field Heff is described by the LLG equation dMðtÞ α dMðtÞ ¼ γMðtÞ  Heff þ : MðtÞ  dt Ms dt

(8)

Firstly, Eq. 8 is considered in an equilibrium condition, where the equilibrium magnetization direction M is directed to the z axis (see Fig. 4a). Here, a soft

y’

H

y

θH

θM

z

+

M

qH = 0

qH = −90°

b

a

V

qH = 90° −

V

V

+



m(t) z’

1.0

Ni81Fe19

exp. calc.

VISHE /Vmax

0.5

c

90

qM (deg)

45 0

H θH

Ni81Fe19 V

–0.5

–45 –90 –90

0.0

Pt

–1.0 –45

45 0 qH (deg)

90

–180

–90

0 qH (deg)

90

180

Fig. 4 (a) A schematic illustration of the coordinate system used for describing a ferromagnetic film. M and m(t) are the static and the dynamic components of the magnetization M(t). H is the external magnetic field. θH and θM are the external-magnetic-field angle and the magnetization angle to the normal vector of the film plane, respectively. (b) The out-of-plane magnetic-fieldangle θH dependence of the ISHE signal VISHE measured for the Ni81Fe19/Pt film. VISHE/Vmax is the normalized spectral intensity extracted by a fitting procedure using Lorentz functions from the measured electromotive-force spectra. The filled circles are the experimental data. The solid curve is the theoretical curve calculated from Eq. 18. (c) The θH dependence of θM

36

Spin Current Generation by Spin Pumping

1489

ferromagnetic thin film, e.g., Ni81Fe19, is assumed, and the magnetocrystalline anisotropy is neglected. The external magnetic field H and the static demagnetizing field HM induced by M are taken into account as the effective magnetic field Heff: Heff ¼ H þ HM ;

(9)

where 0

0

1

H ¼ H @ sin ðθM  θH Þ A , cos ðθM  θ0H Þ 1 0 HM ¼ 4πMs cos θM @ sin θM A: cos θM

(10)

θH and θM are the external-magnetic-field angle and the magnetization angle to the normal axis of the film plane, respectively (see Fig. 4a). The static equilibrium condition, namely M  Heff = 0, yields an expression, which relates θH and θM, as 2H sin ðθH  θM Þ þ 4πMs sin 2θM ¼ 0;

(11)

where H is the strength of the external magnetic field. Based on the LLG equation, the magnetization M(t) precession around the z axis can be formulated, where M(t) = M + m(t) as shown in Fig. 4a. M and m(t) are the static and the dynamic components of the magnetization, respectively. The external magnetic field H, the static demagnetizing field HM induced by M, the dynamic demagnetization field Hm(t) induced by m(t), and the external ac field h(t) are taken into account as the effective magnetic field Heff: Heff ðtÞ ¼ H þ HM þ Hm ðtÞ þ hðtÞ;

(12)

where 0

1 0 Hm ðtÞ ¼ 4πmy ðtÞ sin θM @ sin θM A, cos θM 0 iωt 1 he hðtÞ ¼ @ 0 A: 0

(13)

A small precession of the magnetization m(t) = (mxeiωt,myeiωt,0) around the equilibrium direction M is assumed as a solution of Eqs. 8 and 12. Here, ω = 2π f, where f is the microwave frequency. The resonance condition is readily obtained by neglecting the external ac field and the damping term and by finding the eigenvalue of ω of Eq. 8. By ignoring the second-order contribution of the precession amplitude, mx and my, we find the ferromagnetic resonance condition:

1490

K. Ando and E. Saitoh

2 ω γ

¼ ½H FMR cos ðθH  θM Þ  4πMs cos 2θM   ½HFMR cos ðθH  θM Þ  4πMs cos2 θM :

(14)

Here, Eq. 11 was used. The dynamic components of the magnetization m(t) in the FMR condition are obtained from Eqs. 8 and 12 using Eqs. 11 and 14: 

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 4πMs hγ 2αω cos ωt þ 4πMs γ sin2 θM þ ð4πMs Þ2 γ2 sin4 θM þ 4ω2 sin ωt qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi mx ðtÞ ¼ ; 8παω ð4πMs Þ2 γ2 sin4 θM þ 4ω2

(15) my ðtÞ ¼ 

4πMs hγ cos ωt qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi : 4πα ð4πMs Þ2 γ2 sin4 θM þ 4ω2

(16)

Using Eqs. 5, 15, and 16, the spin current density js generated by the spin pumping is obtained as

js ¼

2 2 g"# r γ h ћ

 qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2 4πMs γ sin θM þ ð4πMs Þ2 γ2 sin4 θM þ 4ω2 :  8πα2 ð4πMs Þ2 γ2 sin4 θM þ 4ω2

(17)

Here, the spin-polarization vector σ of the spin current is directed along the magnetization-precession axis, since the dc component of M(t)  dM(t)/dt is directed along the z axis. The electromotive force due to the ISHE, VISHE, is obtained by combining Eqs. 6 and 17; VISHE is proportional to js sinθM because of Eq. 6, since the spin-polarization vector σ of a spin current is directed along the z axis. Therefore, the ISHE signal VISHE is expressed as 

V ISHE /

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 4πMs γsin θM þ ð4πMs Þ2 γ2 sin4 θM þ 4ω2 : 8πα2 ð4πMs Þ2 γ2 sin4 θM þ 4ω2

2 2 g"# r γ h ћ sin θM

2

(18)

As shown in Fig. 4b, the experimentally measured θH dependence of VISHE is well reproduced using Eq. 18, showing the validity of this model calculation. Here, the saturation magnetization 4πMs = 0.745 T and the magnetization angle θM shown in Fig. 4c for the Ni81Fe19/Pt film are obtained from the magnetic-field-angle θH dependence of the ferromagnetic resonance field HFMR using Eqs. 11 and 14. The above calculation enables the estimation of the spin-Hall angle θSHE = σSHE/σN of the Pt layer. When the external magnetic field is applied along the film plane, the spin current injected into the Pt layer decays along the y direction due to spin relaxation as

36

Spin Current Generation by Spin Pumping

I = 0.8 mm

w 0.5 H V 0

c

2.0

b 1.0

0.5

1.0 w (mm)

1.5

VISHE /VISHE

VISHE/VISHE

w = 1.6 mm

a

1491

1.5

1.0 0.5

l

H V

0.0

0.2

0.4 0.6 l (mm)

0.8

d

6

4

1/VISHE

1/VISHE

3

2

2 1

0

20

40 60 dN (nm)

80

0

20

40 dF (nm)

60

Fig. 5 (a) The Ni81Fe19-layer width w dependence of VISHE. w is defined as shown in the inset. The solid circles are the experimental data. The solid line shows the linear fit to the data. (b) The Ni81Fe19-layer width l dependence of VISHE. l is defined as shown in the inset. (c) The Pt layer thickness dN dependence of VISHE when the thickness of the Ni81Fe19 layer is dF = 10 nm. Here, dN¼dF¼10 nm nm V ISHE ¼ V ISHE =V ISHE and V dN¼dF¼10 is the magnitude of the ISHE signal when dN = dF ISHE = 10 nm. (d) The dF dependence of VISHE when dN = 10 nm

j s ðyÞ ¼

sinh½ðdN  yÞ=λN  0 js ; sinhðd N =λN Þ

(19)

where  qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2 2 g"# γ h ћ 4πM γ þ ð4πMs Þ2 γ2 þ 4ω2 s r j0s ¼ 8πα2 ð4πMs Þ2 γ2 þ 4ω2

(20)

is the spin current density j0s at the interface (y = 0) obtained from Eq. 17 with θM = π/2. Here, dN and λN are the thickness and the spin-diffusion length of the Pt layer, respectively. The spin current js( y) described in Eq. 19 is converted into an electromotive force VISHE using the ISHE in the Pt layer: V ISHE ¼ RF RN =ðRF þ RN Þ I c ¼ w½σ N þ ðd F =d N Þσ F 1 hjc i: Here, RF and RN are the electrical resistance of the Ni81Fe19 and Pt layer, respectively. I c  ld N hjc i is the charge current generated by the ISHE. w and l are the width and length of the Ni81Fe19 layer, respectively (see the inset to Fig. 5a, b). σF is the electrical conductivity of the Ni81Fe19 layer.

1492

K. Ando and E. Saitoh

dF is the thickness of the Ni81Fe19 layer. The validity of the equivalent circuit model is confirmed both from the film size and thickness dependence of the ISHE shown in Fig. 5a–d; VISHE is proportional to w and inversely linear to dF and dN. Using Eq. 19, ð dN jc ðyÞdy: we obtain the averaged charge current density defined as hjc i ¼ ð1=dN Þ 0

hjc i ¼ θSHE

    2e λN dN 0 tanh j ; ћ dN 2λN s

(21)

since the ISHE converts a spin current js( y) into a charge current jc( y) as jc ðyÞ ¼ θSHE ð2e=ћÞjs ðyÞ. Using Eq. 21, the electromotive force due to the ISHE induced by the spin pumping is given by V ISHE

wθSHE λN tanhðdN =2λN Þ ¼ dN σ N þ dF σ F

  2e 0 j : ћ s

(22)

19 2 Using Eq. 22 with the parameters g"# r ¼ 2:31  10 m , dN = 10 nm, λN = 10 nm, [17] α = 0.0206, h = 0.16 mT, w = 1.2 mm, σN = 2.0  106 (Ωm)1, σF = 1.5  106 (Ωm)1, and VISHE = 37 μV, the spin-Hall angle of the Pt layer is estimated as θSHE = 0.04 [7].

Magnetization-Precession Trajectory and Spin Pumping: Universality of Spin Pumping The spin current density generated by the spin pumping is not constant when changing the out-of-plane magnetic-field angle, even for constant microwave power; the spin current density is maximized when the external magnetic field is applied oblique to the film plane. When the external magnetic field is applied oblique to the film plane, the magnetization-precession trajectory, the trajectory of the point of a magnetization vector is distorted due to a demagnetization field. It is natural to expect that the spin pumping is related to the trajectory of magnetization precession, since the spin pumping creates a spin current from magnetization precession. Let ~j s  js =jsθH ¼θH ¼0 be normalized spin current density, where jsθH ¼θH ¼0 is the spin current density when the magnetization precesses in a circular orbit (the external magnetic field is applied perpendicular film plane: θH = θM = 0). From Eq. 17, ~j s is obtained as

~j s ¼

 qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2ω 4πMs γ sin2 θM þ ð4πMs Þ2 γ2 sin4 θM þ 4ω2 ð4πMs Þ2 γ2 sin4 θM þ 4ω2

:

(23)

Equation 23 shows that J~s strongly depends on the magnetization angle θM and the saturation magnetization 4πMs; J~s is maximized when

36

Spin Current Generation by Spin Pumping

1493

sffiffiffiffiffiffiffiffiffiffiffiffiffi 2ω sin θM ¼ 31=4 4πMs γ

(24)

is satisfied. A magnetization-precession trajectory can be characterized in terms of the ellipticity of a magnetization-precession trajectory A = |my|/|mx|, where |mx| and |my| are the major and minor radiuses of the trajectory, respectively. Using Eqs. 15 and 16, the ellipticity is obtained as A¼

2ω qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi : 4πMs γ sin2 θM þ ð4πMs Þ2 γ2 sin4 θM þ 4ω2

(25)

The relation between the spin current amplitude ~j s and the ellipticity, A, of a magnetization-precession trajectory is obtained by combining Eqs. 23 and 25, which is expressed as ~j s ¼ 

4A 1 þ A2

2 :

(26)

This simple expression indicates that the amplitude of a spin current is maximized pffiffiffi when the precession trajectory is distorted: A ¼ 1= 3. A magnetization-precession trajectory is also characterized by the elliptical area of a magnetization-precession trajectory, S ¼ πjmx j jmy j . The normalized area of a magnetization-precession trajectory, S~ ¼ S=SθH ¼θM ¼0 , is obtained using Eqs. 15 and 16 as

S~ ¼

 qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 2 2ω 4πMs γ sin θM þ ð4πMs Þ2 γ2 sin4 θM þ 4ω2 ð4πMs Þ2 γ2 sin4 θM þ 4ω2

:

(27)

Here, SθH ¼θM ¼0 is the area of the magnetization-precession trajectory when the magnetization precesses in a circular orbit. This expression of S~ is exactly the same as that of the normalized spin current density ~j s in Eq. 23; the spin current density ~j s ~ is determined by the elliptical area of a magnetization-precession trajectory S. The validity of the above results can be confirmed by measuring the out-of-plane magnetic-field-angle dependence of the spin pumping using the ISHE. Figure 6b shows the magnetization-angle θM dependence of V~ ISHE ¼ V ISHE =sin θM for the Ni81Fe19/Pt film and a Ni/Pt film. Here, note that ṼISHE is proportional to the spin current density js generated by the spin pumping because of the relation VISHE 1 js sin θM. The magnetization-angle θM shown in Fig. 6a is estimated from the externalmagnetic-field-angle θH dependence of the resonance field HFMR using Eqs. 11 and 14. The experimentally measured magnetization-angle θM dependence of ṼISHE is well reproduced using Eq. 23 both for Ni81Fe19/Pt film and a Ni/Pt film, showing

1494

K. Ando and E. Saitoh

a 90

q = 90°

b 1.2 1.1

qM (deg)

M VISHE/VISHE

60

30

1.0

0.9 Ni81Fe19 /Pt Ni/Pt

0

30 60 qH (deg)

0

90

30

60

90

qM (deg)

Fig. 6 (a) The θH dependence of the magnetization angle θM. (b) The magnetization-angle θM  M ¼90 dependence of the spin-pumping efficiency V~ ISHE =V θISHE for the Ni81Fe19/Pt (black) and Ni/Pt  θ ¼90 M films (red), where V~ ISHE ¼ V ISHE = sin θM . V ISHE is the ISHE signal measured when θH = 90 . The solid curve shows the theoretical curve proportional to Eq. 23

that the optimum condition for spin current generation is determined by the ellipticity of the magnetization-precession trajectory. The relation between spin current density generated by the spin pumping and magnetization-precession trajectory can further be simplified by defining the solid angle of magnetization precession as Ω ¼ S=M2s . The spin current density described in Eq. 17 is expressed as js ¼

g"# r ωћ Ω: 4π

(28)

For metallic interfaces, the spin-pumping conductance is proportional to n2/3, where n is the density of electrons per spin in the paramagnetic layer [18]; the spin current density in a ferromagnetic metal/Pt interface obtained by the spin pumping is determined by the solid angle Ω : js 1 Ω. This universality has been confirmed in ferromagnetic metal/Pt interfaces using the ISHE. Figure 7 shows the relation between the spin current density js generated by the spin pumping and the solid angle Ω of the magnetization precession in NixFe1x/Pt films, where js was estimated from the magnitude of the ISHE voltage. js is proportional to Ω as shown in Fig. 7 for all the samples, demonstrating the universality of the relation js 1 Ω.

Spin Injection into Semiconductor by Spin Pumping Spin injection into solids is crucial for exploring physics and technology of spin currents. However, electrical spin injection through an ohmic contact from ferromagnetic metals into high-resistivity materials, such as semiconductors and organic materials, is not easy compared with electrical spin injection into nonmagnetic

Spin Current Generation by Spin Pumping

Fig. 7 Universality of the spin pumping in NixFe1x/Pt films, where x = 0, 0.20, 0.36, 0.45, 0.64, 0.81, 0.90, and 1.0. The solid angle Ω was calculated using the parameters, including the saturation magnetization and Gilbert damping constant, obtained from FMR spectra. The spin current density js was estimated from the magnitude of the ISHE signal

1.0

1495

Ni Ni90Fe10 Ni81Fe19 Ni64Fe36

js/jsmax

36

0.5

Ni45Fe55 Ni36Fe64 Ni20Fe80 Fe 0

4

8

12

W (10−5)

metals. One reason is that virtually all of the applied potential drops over the highresistivity nonmagnetic part and is wasted for spin injection. The dynamical spininjection mechanism does not rely on an applied bias and does not suffer from the conductivity mismatch, because the smallness of the mixing conductance for a ferromagnet-semiconductor interface is compensated by the small spin current that is necessary to saturate the spin accumulation [19]. In fact, the spin pumping allows spin current injection into GaAs from Ni81Fe19 through an ohmic contact at room temperature [20]. Spin injection into GaAs using the spin pumping was demonstrated in a Ni81Fe19/Zn-doped GaAs (a Ni81Fe19/p-GaAs film) with a doping concentration of NA = 1.4  1019 cm3. Current-voltage characteristic shown in Fig. 8a indicates the formation of an ohmic contact at the Ni81Fe19/p-GaAs interface. The ratio of the electrical conductivity for the p-GaAs layer σN to that for the Ni81Fe19 layer σF, σN/ σF = 9.7  103 shows that the impedance-mismatch problem is critical in this system. In the Ni81Fe19/p-GaAs junction, if the dynamical exchange interaction between the magnetization in the Ni81Fe19 layer and carrier spins in the p-GaAs layer drives the spin pumping, this injected spin current induces an electromotive force through the ISHE in the GaAs layer in the FMR condition. Figure 8b, c show microwave absorption and electromotive force signals measured for the Ni81Fe19/p-GaAs film. As shown in Fig. 8c, an electromotive force signal appears around the resonance field HFMR. Here, V(θ) θ is defined as the θþ180 asymmetric component of V with respect to H, V ðθÞ ¼ V~  V~ =2 , to eliminate heating effects arising from the microwave absorption from the θþ180 are the electromotive force V measured when the external V spectra. Ṽθ and V~ magnetic field is applied at an out-of-plane angle of θ and θ + 180 to the film plane,

1496

K. Ando and E. Saitoh

40 p –GaAs

J (103 A/m2)

20

0

1

0

–1

c 200

–20 300 K –40

b dI(H)/dH (arb. unit)

Zn: 1.4×1019 cm–3

–0.01

0 Vin (V)

0.01

V(θ=90°) (nV)

a

Ni81Fe19 /p –GaAs

100 0 –20

–10

0

10

20

H − HFMR (mT)

Fig. 8 (a) Bias voltage Vin dependence of current density J through the Ni81Fe19/p-GaAs junction. (b) Field (H ) dependence of the FMR signal dI(H )/dH measured for the Ni81Fe19/p-GaAs film when the external magnetic field H is applied along the film plane (θ = 90 ) (c) Field dependence for the Ni81Fe19/p-GaAs film under the 200 mW of the electromotive force V(θ = 90 ) measured θ θþ180 θþ180 =2 , where Ṽθ and V~ are the microwave excitation. Here, V ðθÞ  V~  V~ electromotive force V measured when H is applied at an angle of θ and θ +180 to the film plane, respectively. The open circles are the experimental data. The solid curve shows the fitting result using a Lorentz function

respectively. Importantly, the electromotive force signal is well reproduced using a Lorentz function, a feature expected for the spin-pumping-induced ISHE. Thus the observed electric voltage is the direct evidence of spin injection into the GaAs layer from the Ni81Fe19 layer through the ohmic interface. Microwave power and magnetic-field-angle dependence of the electromotive force provides further evidence that the observed electric voltage is attributed to the spin injection into the GaAs layer by the spin pumping. Figure 9a shows V(θ = 90 ) at different microwave excitation powers PMW. The electric voltage decreases with decreasing PMW, consistent with the prediction of the spin pumping. In fact, the magnitude of the electric voltage, VISHE, is proportional to PMW as shown in Fig. 9b, showing that the electric voltage is attributed to the direct-current component of the spin pumping. The out-of-plane magnetic-field-angle dependence of the electromotive force shown in Fig. 10a, b provides further evidence of the dynamical spin injection into the GaAs layer. The spin pumping driven by the dynamical spin-exchange coupling can be controlled electrically. Figure 11a shows the band structure of a Ni81Fe19 /p-GaAs interface with the bias voltage Vin. Here, the doping concentration of the p-GaAs layer is NA = 4.1  1017 cm3, resulting the formation of a Schottky barrier at the interface. When Vin < 0, the depletion region and potential barrier increase, reducing spin exchange at the interface. In contrast, when Vin > 0, the depletion region and potential barrier decrease, giving rise to strong exchange interaction.

36

Spin Current Generation by Spin Pumping

a

b 200 mW

200

200

150

125 100 75 50 25 0

100

50

VISHE(q = 90°) (nV)

175 150

V(q=90°) (nV)

1497

100

exp. 0

linear fit

0 –10 10 0 H − HFMR (mT)

0

50

100 150 PMW (mW)

200

Fig. 9 (a) Field dependence of V(θ = 90 ) measured for the Ni81Fe19/p-GaAs at different microwave excitation powers. (b) Microwave power PMW dependence of VISHE(θ = 90 ). The solid circles are the experimental data. The solid lines show the linear fit to the data

b 100

θ = 90°

V(q ) (nV)

60° 30° –30° –60° –90°°

VISHE(q )/VISHE(q = 90°)

a

1

exp. calc.

0

–1 Ni81Fe19 /p–GaAs

–2 0

0 20 H − H FMR(mT)

–90

–45

0

45

90

q (deg)

Fig. 10 (a) The magnetic-field-angle θ dependence of V(θ) for the Ni81Fe19/p-GaAs film. (b) The magnetic-field-angle θ dependence of VISHE(θ)/VISHE(θ = 90 ). The solid circles are the experimental data. The solid curve is the theoretical curve

The ISHE induced by the spin pumping in the Schottky Ni81Fe19/p-GaAs system was measured with applying Vin across the interface. Figure 11b shows the spin-pumping conductance g"# r , or the spin-pumping efficiency, for the Ni81Fe19/p-GaAs junction estimated from the magnitude of the electromotive force due to the ISHE with the assumption that electric-field effects on spin diffusion are negligibly small, because the applied field is sufficiently small

1498

a EF

eVin

EF eVin E F

fS Vin < 0

Vin = 0

Vin > 0

b 5

gr↑↓ (1017 m–2)

Fig. 11 (a) Schematic illustrations of the band structure of the Ni81Fe19/pGaAs junction for the bias voltage Vin < 0, Vin = 0, and Vin > 0. ϕS is the Schottky barrier height. EF is the Fermi level. (b) Bias voltage Vin dependence of the spinpumping conductance g"# r estimated from the measured voltage VISHE(θ = 90 ) for the Ni81Fe19/p-GaAs junction at PMW = 200 mW. g"# r was estimated from the height of the electromotive force induced by the spin pumping and ISHE; the background voltage due to the ordinary Hall effect is ruled out in this estimation

K. Ando and E. Saitoh

4

3

2 –0.6

–0.3

0 Vin (V)

0.3

0.6

in this system. The spin-pumping efficiency decreases with decreasing Vin when Vin < 0. When Vin > 0, in contrast, the efficiency increases with the bias voltage Vin. These results are consistent with the above prediction: the dynamical exchange interaction is enhanced by reducing the barrier width and height. The saturation magnetization 4πMs is independent of Vin, showing that heating effects are negligibly small in this measurement because heating decreases 4πMs. Current-induced effects, such as the ordinary Hall effect, are also irrelevant; the electric voltage is independent of Vin when PMW = 0 and the observed change of VISHE(θ = 90 ) when PMW = 200 mW is not linear to the bias current J, confirming that the spin-pumping efficiency is controlled electrically by applying a bias voltage.

Spin Pumping from Insulator The above experiments demonstrate the generation of spin currents from ferromagnetic metal using the spin pumping. The origin of the spin pumping is the spin exchange at the ferromagnetic metal/paramagnetic material interfaces. This coupling was found to be finite in a ferrimagnetic insulator Y3Fe5O12/paramagnetic metal Pt interface; the spin pumping appears even in an insulator/metal junction [4].

Spin Current Generation by Spin Pumping

1499

a dI/dH (arb. units)

Fig. 12 (a) Field (H ) dependence of dI(H )/dH measured for the Pt/La:YIG film at PMW = 2 mW, where I denotes the microwave absorption intensity. (b) Field (H ) dependence of V measured for the Y3Fe5O12/ Pt film at PMW = 2 mW. Here, the sign of the electromotive force is reversed by reversing the external-magnetic-field direction (see the black and red curves)

0

b

0deg 180deg

20 V (μV)

36

0 –20

258

260

262

264

266

H (mT)

Y3Fe5O12 is a ferrimagnetic insulator whose charge gap is 2.7 eV. Owing to this huge gap, Y3Fe5O12 exhibits very high resistivity (~1012 Ωcm at room temperature, greater than that of air). A single-crystal Y3Fe5O12 (111) was grown on a Gd3Ga5O12 (111) single-crystal substrate by liquid phase epitaxy. The thickness of the Y3Fe5O12 layer is 2.1 μm. Then, a 10-nm-thick Pt layer was sputtered on the Y3Fe5O12 layer. The sample has a rectangular shape with the width 1 mm and the length 4 mm. Two electrodes were attached to the edges of the Pt layer. Figure 12a, b are the microwave absorption dI/dH and electromotive force V signals for the Y3Fe5O12/Pt film at PMW = 1 mW, respectively, both measured with microwaves applied and with the external magnetic field perpendicular to the direction across the electrodes. In the dI/dH spectrum, many resonance signals appear. These resonance signals are attributed to the spin wave resonance in the Y3Fe5O12 layer. Here, the resonance fields are much greater than the in-plane magnetization saturation field (Hc = 20 Oe) of this Y3Fe5O12 film. Notably, at the spin wave resonance fields, electromotive force signals also appear as shown in Fig. 12b, indicating that the electromotive force is induced in the Pt layer concomitant with spin wave resonance in the Y3Fe5O12 layer. As shown in Fig. 12b, the electromotive force changes its sign when the magnetic-field direction is reversed, a feature expected for the spin pumping and ISHE. Furthermore, this voltage signal was found to disappear in a Y3Fe5O12/Cu system, where the Pt layer is replaced by a Cu layer, indicating the important role of spin-orbit interaction, or ISHE, in the voltage generation, since the spin-orbit interaction in Cu is very weak compared with that in Pt. The voltage signal also disappears when an insulating SiO2 layer is inserted into the Y3Fe5O12/Pt interface and when the Y3Fe5O12 layer is missing. These last two results indicate that the direct contact between the Y3Fe5O12 layer

1500

K. Ando and E. Saitoh

c φH = 0

V

fH = 30°

0

H 320 H (mT)

325

15 10

fH = 120°

5

0

315

320 H (mT)

325

–5

fH = 180°

0 H − HFMR (mT)

Pt

1.0

Pt/YIG Cu/YIG fH = 150°

fH

Y3Fe4GaO12

d

fH = 60° fH = 90°

VISHE/Vmax

315

b

V (μV)

fH = 0

10 μV

V (μV)

dI/dH (arb. unit)

a

5

0.5 0.0 –0.5

exp. cos f H

–1.0 0

30 60 90 120 150 180 fH (deg)

Fig. 13 (a) Field (H ) dependence of the microwave absorption signal dI(H )/dH. (b) H dependence of the electric-potential difference V for the Pt/Y3Fe4GaO12 (Pt/YIG) film (blue curve) and the Cu/Y3Fe4GaO12 (Cu/YIG) film (black curve) under the 200 mW microwave excitation. (c) The in-plane magnetic-field-angle ϕH dependence of V for the Pt/Y3Fe4GaO12 film. (d) The in-plane magnetic-field-angle ϕH dependence of the ISHE signal measured for the Pt/Y3Fe4GaO12 film. VISHE/Vmax is the normalized spectral intensity. The filled circles are the experimental data. The solid curve shows cos ϕH

and the Pt layer is necessary for the observed voltage generation; electromagnetic artifacts are irrelevant. The spin pumping in the Y3Fe5O12/Pt film can be attributed to the small but finite spin-exchange interaction between a conduction electron in the Pt layer and a localized moment in the Y3Fe5O12 layer or to the spin-pumping conductance at the interface. The spin pumping at an insulator/metal interface exists not only in a single-crystal insulator/Pt interface but also in a polycrystal insulator/Pt interface. A polycrystal 100-nm-thick Y3Fe4GaO12 film was grown on a Gd3Ga5O12 (111) single-crystal substrate by metal organic decomposition. Then, a 10-nm-thick Pt layer was sputtered on the Y3Fe4GaO12 layer. Immediately before the sputtering, the surface of the Y3Fe4GaO12 film was cleaned by Ar-ion bombardment in a vacuum. Figure 13a, b shows the microwave absorption signal dI(H )/dH and the electricpotential difference V measured for the Y3Fe4GaO12/Pt film when ϕH = 0, where ϕH = 0 is the in-plane magnetic-field angle defined as in the inset to Fig. 13. In the V spectrum, an electromotive force signal appears around the resonance field. This electromotive force is disappeared in a Cu/Y3Fe4GaO12 film, providing the evidence that the electromotive force is attributed to the ISHE induced by the spin pumping. In fact, the electromotive force varies systematically by changing the in-plane magnetic-field angle as shown in Fig. 13c, which is consistent with the prediction of the spin-pumping-induced ISHE; VISHE disappears at ϕH = 90 and

36

Spin Current Generation by Spin Pumping

1501

changes its sign at 90 < ϕH < 180 . Notably, as shown in Fig. 13d, this variation is well reproduced using cos ϕH, being consistent with Eq. 6; since the spin polarization σ of the dc component of a spin current generated by the spin pumping is directed along the magnetization-precession axis, or the external-magnetic-field direction, Eq. 6 predicts VISHE 1 | js  σ |x 1 cos ϕH. Here, | js  σ |x denotes the x component of js  σ.

Nonlinear Spin Pumping The very small magnetic damping of Y3Fe5O12 offers a way for exploring nonlinear effects of the spin pumping. Figure 14a shows electromotive force V spectra at various microwave excitation power PMW for a Pt/La-substituted Y3Fe5O12 (Pt/La: YIG) bilayer film. Here, the single-crystal La:YIG (111) film with a thickness of 2 μm was grown on a Gd3Ga5O12 (111) substrate by liquid phase epitaxy, where La was substituted to match the lattice constant between the film and the substrate. Figure 14a shows that electromotive force appears around the ferromagnetic

100

200 H (mT)

300

b 4 2 V (μV)

H

0 −H

−2 −4

c

50

150

100 200 H (mT)

250

300

200 3.1 150

V (μV)

0 mW 10 20 30 40 50 60 70 80 90 100 110 120 130 140 150 160 170 180 190 200

PMW (mW)

2

V (μV)

a

100

50

0 60

90 120 150 180 H (mT)

Fig. 14 (a) Field (H ) dependence of the electromotive force V measured for the Pt/La:YIG film at various microwave excitation power PMW. (b) Field (H ) dependence of V measured for the Pt/La: YIG film at PMW = 200 mW. Here, the sign of the electromotive force is reversed by reversing the external-magnetic-field direction (see the black and red curves). (c) A contour plot of the electromotive force V as a function of the external magnetic field H and the microwave power PMW

1502

K. Ando and E. Saitoh

ω (GHz) MSSW

b

θ = 90° 9.4

ωp θ=0

MVMSW

ωp /2 −1

0 k (106 cm−1)

1.5

H Vint (10−7 VT)

k θ

a

1.0

0.5

1 0

50

100

150

200

PMW (mW)

Fig. 15 (a) The spin wave dispersion for the Pt/La:YIG film when the parametric excitation condition. θ represents the angle between H and k. (b) Microwave power PMW dependence of the field-integrated intensities, Vint, for the V spectra

resonance field HFMR  250 mT, which is induced by the spin pumping from the La:YIG layer to the Pt layer (see also Fig. 14b). The most notable feature of the V spectra is found when exploring the highpower response of these signals around H = 120 mT. Figure 14a shows that an electromotive force signal appears also far below HFMR when PMW  70 mW. This electromotive force changes its sign by reversing the magnetic-field direction (see Fig. 14b), indicating that the electromotive force is attributed to the ISHE induced by spin current injection into the Pt layer. The electromotive force observed here is induced by the spin pumping driven by parametrically excited spin waves. Spin waves with the wavevector k 6¼ 0 can be excited through a magnon-magnon interaction; when the microwave magnetic field with frequency ωp = 2πf is applied perpendicular to the static magnetic field H, as shown in Fig. 15a, a pair of modes k and  k which satisfy the resonance condition ωk = ωk = ωp/2 are excited parametrically via a uniform virtual state (k = 0) using the three-magnon interaction, which gives subsidiary absorption shown in Fig. 14a [21–24]. The number of generated magnons grows exponentially, when the pumping field exceeds a certain threshold, i.e., when a pair of modes are fed sufficient energy to overcome the dissipation. In the P dependence of the field-integrated intensities for the V spectra shown in Fig. 15b, Vint, a clear threshold is observed around PMW = 70 mW, demonstrating nonlinear generation of spin currents. The contour plot of the electromotive force V as a function of the external magnetic field H and the microwave power PMW in Fig. 14c also supports the above scenario; the contour plot of shows a butterfly structure as expected for the parametric excitation of spin waves [22], indicating that the three-magnon interaction is responsible for the nonlinear spin pumping. Since nonlinear phenomena in condensed matter have played crucial roles in the development of active elements in electronics, these results will be essential for developing nonlinear spintronic devices, such as a spin current amplifier.

36

Spin Current Generation by Spin Pumping

1503

Summary The spin pumping offers a route for generating spin currents in nonmagnetic materials. Because the spin-pumping method requires only magnetization precession in a ferromagnetic/nonmagnetic junction for the spin injection, it can be combined with a wide range of ferromagnetic resonance excitation elements, for example, spin torque oscillators, enabling integration of this method into spintronic devices. Thus, this new spin-injection approach will pave the way toward the creation of room-temperature spintronic devices in a large selection of materials, promising important advances in the field of spintronics.

References 1. Slonczewski JC (1996) Current-driven excitation of magnetic multilayers. J Magn Magn Mater 159:L1 2. Tserkovnyak Y, Brataas A, Bauer GEW (2002) Enhanced Gilbert damping in thin ferromagnetic films. Phys Rev Lett 88:117601 3. Mizukami S, Ando Y, Miyazaki T (2002) Effect of spin diffusion on Gilbert damping for a very thin permalloy layer in Cu/permalloy/Cu/Pt films. Phys Rev B 66:104413 4. Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Mizuguchi M, Umezawa H, Kawai H, Ando K, Takanashi K, Maekawa S, Saitoh E (2010) Transmission of electrical signals by spinwave interconversion in a magnetic insulator. Nature 464:262 5. Saitoh E, Ueda M, Miyajima H, Tatara G (2006) Conversion of spin current into charge current at room temperature: Inverse spin-Hall effect. Appl Phys Lett 88:182509 6. Ando K, Kajiwara Y, Takahashi S, Maekawa S, Takemoto K, Takatsu M, Saitoh E (2008) Angular dependence of inverse spin–Hall effect induced by spin pumping investigated in a Ni81Fe19/Pt thin film. Phys Rev B 78:014413 7. Ando K, Takahashi S, Ieda J, Kajiwara Y, Nakayama H, Yoshino T, Harii K, Fujikawa Y, Matsuo M, Maekawa S, Saitoh E (2011) Inverse spin-Hall effect induced by spin pumping in metallic system. J Appl Phys 109(10):103913 8. Morrish AH (1980) The physical principles of magnetism. Robert E. Krieger, New York 9. Tserkovnyak Y, Brataas A, Bauer GEW, Halperin BI (2005) Nonlocal magnetization dynamics in ferromagnetic heterostructures. Rev Mod Phys 77:1375 10. Mosendz O, Pearson JE, Fradin FY, Bauer GEW, Bader SD, Hoffmann A (2010) Quantifying Spin Hall Angles from Spin Pumping: Experiments and Theory. Phys Rev Lett 104(4):046601 11. Ando K, Takahashi S, Harii K, Sasage K, Ieda J, Maekawa S, Saitoh E (2008) Electric manipulation of spin relaxation using the spin Hall effect. Phys Rev Lett 101:036601 12. Tannenwald PE, Seavey MH Jr (1959) Microwave resonance in thin ferromagnetic films. J Phys Radium 20:323 13. Juretschke HJ (1960) Electromagnetic theory of dc effects in ferromagnetic resonance. J Appl Phys 31:1401 14. Chazalviel JN, Solomon I (1972) Experimental evidence of the anomalous Hall effect in a nonmagnetic semiconductor. Phys Rev Lett 29:1676 15. Inoue HY, Harii K, Ando K, Sasage K, Saitoh E (2007) Detection of pure inverse spin-Hall effect induced by spin pumping at various excitation. J Appl Phys 102:083915 16. Silsbee RH, Janossy A, Monod P (1979) Coupling between ferromagnetic and conductionspin-resonance modes at a ferromagnetic—normal-metal interface. Phys Rev B 19:4382 17. Vila L, Kimura T, Otani Y (2007) Evolution of the Spin Hall Effect in Pt Nanowires: Size and Temperature Effects. Phys Rev Lett 99(22):226604

1504

K. Ando and E. Saitoh

18. Heinrich B, Tserkovnyak Y, Woltersdorf G, Brataas A, Urban R, Bauer GEW (2003) Dynamic exchange coupling in magnetic bilayers. Phys Rev Lett 90:187601 19. Brataas A, Tserkovnyak Y, Bauer GEW, Halperin BI (2002) Spin battery operated by ferromagnetic resonance. Phys Rev B 66:060404(R) 20. Ando K, Takahashi S, Ieda J, Kurebayashi H, Trypiniotis T, Barnes CHW, Maekawa S, Saitoh E (2011) Electrically tunable spin injector free from the impedance mismatch problem. Nat Mater 10:655 21. L’vov VS (1994) Wave turbulence under parametric excitation. Springer, Berlin 22. Suhl H (1957) The theory of ferromagnetic resonance at high signal powers. J Phys Chem Solids 1:209 23. Rezende S, de Aguiar F (1990) Spin-wave instabilities, auto-oscillations, and chaos in yttriumiron-garnet. Proc IEEE 78(6):893 24. Kabos P, Wiese G, Patton CE (1994) Measurement of spin wave instability magnon distributions for subsidiary absorption in yttrium iron garnet films by Brillouin light scattering. Phys Rev Lett 72(13):2093

Magnon Spintronics

37

Alexy D. Karenowska, A. V. Chumak, A. A. Serga, and Burkard Hillebrands

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Essential Magnon and Spin-Wave Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Key Concepts in Magnon and Spin-Wave Dynamics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin-Wave Dispersion in Magnetic Films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnons: The Corpuscular Picture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Damping and Relaxation in Magnonic Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A Beginner’s Guide to Practical Magnonics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Excitation and Detection of Magnon Currents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnon Propagation in Microstructures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Control and Manipulation of Magnon Currents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Magnonic Toolbox . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Functional Microwave Elements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Advanced Magnon Buses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Amplification in Magnon Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Nonlinear Signal Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnetic Metamaterials and the Magnonic Crystal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Digital Data Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1506 1506 1507 1511 1516 1517 1523 1523 1524 1526 1527 1527 1528 1528 1529 1531 1531

A.D. Karenowska (*) Department of Physics and Magdalen College, University of Oxford, Oxford, UK e-mail: [email protected]; [email protected] A.V. Chumak • A.A. Serga Fachbereich Physik and Forschungszentrum OPTIMAS, Technische Universita¨t Kaiserslautern, Kaiserslautern, Germany e-mail: [email protected]; [email protected] B. Hillebrands Fachbereich Physik, Technische Universita¨t Kaiserslautern, Kaiserslautern, Germany e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_53

1505

1506

A.D. Karenowska et al.

From Magnons to Electrons and Back Again . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Spin-Hall and Inverse Spin-Hall Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Spin-Pumping Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Spin-Transfer Torque Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1533 1533 1535 1537 1540 1540

Abstract

Presented here is an introduction to magnon spintronics, the emerging field of research concerned with structures and devices which involve the interconversion between electronic spin currents (spin currents carried by electrons) and magnon currents (spin angular momentum fluxes which do not involve the motion of charged carriers). Aimed at the nonexpert reader, the text reviews fundamental and applied aspects of magnonics and examines how the study of the interplay between magnonic and electronic spin transport both shines a light on new physics, and opens doors to new technologies.

Introduction This chapter offers an introduction to the science underpinning magnon spintronics; the emerging field of research concerned with structures and devices which involve the interconversion between electronic spin currents (i.e., spin currents carried by electrons) and magnon currents (spin angular momentum fluxes which do not involve the motion of charged carriers). Such systems are a rich source of new physics, showcasing the subtle interplay between magnonic and electronic transport, and, in so doing, opening doors to information-processing devices which co-exploit the established toolbox of traditional electron-based spintronics and the long-range spin-transfer capabilities of magnonic systems. The adventure begins with brief survey of basic magnon and spin-wave dynamics geared to equip the nonexpert with the essential level of understanding required to engage with and enjoy the material presented later.

Essential Magnon and Spin-Wave Dynamics A spin wave is an excitation of the electronic spin lattice of a magnetically ordered solid. The spin-wave quasi-particle – the magnon – is a boson which carries a quantum of energy ћω and possesses a spin ћ. Incoherent thermal magnons exist in any magnetically ordered system with a temperature above absolute zero. In the context of magnon spintronics however, the main interest is not in thermal excitations, but externally excited spin-wave signals: coherent magnons which propagate in ferri- and ferromagnets (that is, materials

37

Magnon Spintronics

1507

containing permanent magnetic moments) over distances which are large in comparison with their characteristic wavelength.

Key Concepts in Magnon and Spin-Wave Dynamics Spin Waves: The Quasi-Classical Picture A Qualitative Account of Spin-Wave Propagation The magnetic behavior of ferri- and ferromagnets can be understood with reference to a spin lattice: a three-dimensional array of permanent magnetic moments or “spins” coupled via the dipolar and exchange interactions. The overall magnetic moment per unit volume, or magnetization, M of a material subject to a magnetic field H is quantified in terms of its spontaneous magnetization Msp and its magnetic susceptibility χm, M = Msp + χ mH. Magnetic field, magnetization, and magnetic flux density B are related by B = μ0 (H + M), where μ0 = 4π  107 H m1 is the permeability of free space. In general, a material’s magnetic response is both directionally dependent and nonlinear; in other words, the susceptibility tensor χ m is asymmetric, and its elements vary with H. If a sample of ferri- or ferromagnetic material is exposed to an external magnetic field of sufficient strength, it reaches a condition of saturation in which its magnetization takes a maximum value MS parallel to the field, and the differential susceptibility dM/dH is reduced to zero along the field direction. The corresponding state of the spin lattice is one in which all spins are aligned with the applied field. The spin-wave signals with which this chapter is concerned can be understood as perturbations to such a magnetically saturated state. The excitations are small-signal variations in magnetization that can be described in terms of a precession of spins about the saturation direction. A bulk spin-wave mode corresponds to a condition known as ferromagnetic resonance (FMR) in which qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi all spins precess in phase and the wavenumber k ¼ jkj ¼ k2x þ k2y þ k2z ¼ 0 . Finite k excitations are those in which the phase of the precession varies over the lattice.

The Quasi-Classical Model of Spin-Wave Physics Questions about the dynamics of an isolated electron spin can only be meaningfully posed in the language of quantum mechanics. As has been established however, a spin wave is not a phenomenon associated with an isolated spin, but a large spin or macro-spin ensemble. This distinction means that, in many circumstances, excitations can be described by treating each participant spin as a quasi-classical object. For the benefit of those new to magnetic dynamics, the comparison between the dynamics of a single electron spin having angular momentum J and a magnetic

1508

A.D. Karenowska et al.

a

Jt

z

b

J = (1/g )m

wr

qq

B r

m

wp mg

w0

y

jj x

Fig. 1 (a) A spinning top, mass m experiences a force due to gravity g which acts vertically downward through its centre of mass, located at a point identified by the vector r. The top spins at an angular rate ωr about its own axis and precesses about the z-axis at an angular frequency ωp at a constant angle θ. The angular momentum vector of the top Jt is always parallel or antiparallel to r. Jt and r have constant magnitudes, constant z-components, and vary in x- and y- as sin θ cos φ and sin θ sin φ respectively. (b) In the magnetic case, the top in (a) is replaced by a magnetic moment μ having angular momentum J. The moment experiences a torque μ  B, under the influence of a magnetic field B, and precesses at an angular frequency ω0. The precession is clockwise (ω0 negative) about the z-axis when the vectors μ and J are parallel (γ positive) and counterclockwise (ω0 positive) when they are antiparallel (γ negative)

moment μ subject to a magnetic field B, with those of a classical spinning top is now briefly reviewed; this standard treatment can be found in many textbooks, see for example Stancil [1]. The rate of change of angular momentum of a mechanical spinning top of angular momentum Jt (magnitude |Jt| = Jt) is equal to the torque due to the effect of gravity g on its mass m τt ¼

dJt ¼ r  mg dt

(1)

which has magnitude τt = m|r| |g| sin θ = mrg sin θ, where the vector r ¼ x^x þ y ^ þ z^z is between the origin of the co-ordinate system and top’s centre of mass, y and the angle θ is that between r and the vertical (Fig. 1a). Considering the evolution of the system in a small increment of time Δt, dJt / dt can be related to the top’s precessional frequency ωp = dφ/dt: from geometry, dJt =dt ¼ ðdφ=dtÞ ^ J t sin θ, and therefore ωp = mrg/Jt. This result, and the fact that Jt and r are φ either parallel or antiparallel, allows us to write Eq. 1 in the form dJt ¼ ωt  Jt ; dt

(2)

37

Magnon Spintronics

1509

where ωt ¼ ωp

r  Jt ^z: j r  Jt j

In the magnetic case, the real mechanical top is replaced by a magnetic moment μ having angular momentum J, under the influence of a magnetic field B. Like the angular momentum and position vectors Jt and r in the mechanical case, the vectors μ and J are always either parallel or antiparallel. The effects of gravity can be considered negligible, so that the total torque acting on the magnetic moment is τ¼

dJ ¼ μ  B ¼ γJ  B; dt

(3)

where γ is the gyromagnetic ratio which relates the angular momentum and the magnetic moment ðμ ¼ γJÞ. Following the treatment of the spinning top, an expression for the precessional frequency ω0 of the moment can be derived: ω0 = γ B, which, when combined with Eq. 3 leads to dJ ¼ωJ dt

(4)

where ω = γ B.

Electronic Momenta and the Gyromagnetic Ratio In general, the angular momentum J of a bound electron has two components, an orbital contribution L (magnitude L = |L|), and an intrinsic spin angular momentum S (magnitude S = |S|). Orbital angular momentum is equivalent to the quasiclassical momentum L ¼ r  m e ve

(5)

induced by the notional current loop described by the electron (mass me, charge qe) as it orbits the nucleus at radius r = |r| and speed ve = |ve|. The magnetic moment of the loop is μ ¼ ids

(6)

where ds is the elemental area of size πr2 enclosed by the current i = qeve/2πr. The direction of ds is determined by the direction of conventional current so that Eq. 6 can be written 1 μ ¼ qe r  v e : 2

(7)

1510

A.D. Karenowska et al.

Combining the result of Eq. 7 with Eq. 5, the orbital gyromagnetic ratio is then γL ¼

μ q ¼ e ¼ 14 GHz T1 : L 2me

(8)

The spin angular momentum S escapes description in classical terms, but its gyromagnetic ratio can be shown to be approximately twice the orbital value γ S ¼ 2γ L ¼

qe ¼ 28 GHz T1 : me

(9)

Magnetic systems flavored by both orbital and spin angular momentum are conventionally described in terms of an “effective” gyromagnetic ratio γ¼g

qe 2me

(10)

where g is the Lande´ g-factor. For electrons, g is almost always positive and therefore γ is generally negative. Substituting for J in terms of μ in Eq. 4, the equation of motion for a single quasi-classical spin can be cast in the form ð1=γ Þ ðdμ=dtÞ ¼ μ  B . From this relationship, and the fact that the magnetization produced by an ensemble of identical magnetic moments is equal to the product of their number density and their individual moments, i.e., M ¼ Nμ , a general expression describing the magnetization dynamics of a meso- or macroscopic magnetic system can be written – the Landau–Lifshitz equation [1–3]: dM ¼ γM  B: dt

(11)

Equation 11 implies that once perturbed, the magnetization precesses indefinitely about the field B. In real magnetic materials damping mechanisms exist (see “Damping and Relaxation in Magnonic Systems”) which drive it back towards a relaxed state with M parallel to B. The effects of this energy loss can be modeled through the addition of a phenomenological viscous-like damping term which acts to minimize the precessional angle θ. Such a term may be defined in a number of ways; among the most widespread approaches, originally proposed by Gilbert, is to use the cross product M  (dM/dt) to give a damped equation of the form dM α dM ¼ γM  B þ M dt Ms dt

(12)

where α is a material-dependent damping constant [4]. By separating the magnetic flux, magnetic field, and magnetization inside a given magnetic system into static and small-signal components (B = B0i + b(t), H = H0i + h(t), M = M0i + m(t)), substituting into Eq. 12, and making use of Maxwell’s equations, the dispersion

37

Magnon Spintronics

1511

properties of spin waves i.e., the form of the dependence of their angular frequency ω on their wavenumber k can be derived. The richness of the spin-wave spectrum owes much to the pronounced anisotropy of the excitations’ magnetic habitat. This anisotropy is partly inherent; the waves are, by definition, perturbations to states of broken magnetic symmetry. However, several extrinsic sources are also important, the most significant being magnetocrystalline and shape anisotropies. Magnetocrystalline anisotropy arises from the underlying symmetry of the magnetic structure of a mono- or polycrystalline magnetic material [5]. In magnetic heterostructures there can also be significant interface-induced anisotropy. For example, though the magnetocrystalline anisotropy of the popular experimental material yttrium iron garnet is weak, the small room-temperature mismatch between its lattice constant and that of the substrate upon which it is grown (gallium gadolinium garnet) produces a significant uniaxial anisotropy across the interface. Strong out-of-plane anisotropy is also observed in thin iron films grown on gold layers. Shape anisotropy is a measure of the influence of the macroscopic geometry (e.g., thin-film, cylinder, sphere) on the internal field of a given magnetic sample. The field perturbations which result from shape anisotropy – generally known as demagnetizing fields – may be static or dynamic. When calculating spin-wave spectra and analyzing dynamics, the effects of shape, magnetocrystalline, and interface anisotropies may be taken into account through the use of equivalent magnetic fields (i.e., contributions to the H embedded in Eq. 12). The same approach is used to model the effect of the exchange interaction.

Spin-Wave Dispersion in Magnetic Films Spin-wave dispersion is strongly informed by the dimensions and geometry of the magnetic medium in which the excitations propagate. On account of their relevance to spintronics, the focus for the remainder of the chapter will be spin-wave propagation in waveguides formed from magnetic films magnetized to saturation by externally applied magnetic fields. As well as their obvious role in enriching our understanding of fundamental magnetics, the subtle magnetic-field dependent dynamics of such waveguide systems opens doors to the experimental exploration of certain general aspects of wave and quasi-particle dynamics not readily observable in other physical domains. In a single magnetic sample, spin waves can be observed over a frequency range from roughly one gigahertz to several terahertz, and a range of wavelengths which spans a remarkable seven orders of magnitude (nanometres to centimeters) [6]. For illustration, Fig. 2 shows the spin-wave dispersion calculated for two spinwave waveguides: an yttrium iron garnet structure (Fig. 2a), and one made from Permalloy (Fig. 2b). These systems are typical of those used in experimental studies.

A.D. Karenowska et al.

Frequency w/2p (GHz)

a

7

6

DIPOLAR WAVES

1512

DIPOLAREXCHANGE WAVES

EXCHANGE WAVES

q = 90°

MSSW

5

4

q = 0° BVMSW, n = 0

3 1×105

0

2×105

Wavenumber k (rad DIPOLAR WAVES

b 18

Frequency w/2p (GHz)

16 14

DIPOLAREXCHANGE WAVES

12

3×105

cm–1)

EXCHANGE WAVES q = 0°

MSSW , m = 0

10 8 m =1

m =3

m =5

q = 0°

6

BVMSW, m = 0 0

1×105

2×105

Wavenumber k (rad

3×105

cm–1)

Fig. 2 Spin-wave dispersion in magnetized waveguides. (a) An yttrium iron garnet (YIG) film of thickness 5 μm and saturation magnetization MS = 140 kA m1 subject to an applied bias magnetic field B0 = 100 mT. θ is the angle between the direction of spin-wave propagation and the magnetization, and n is the thickness mode number (25 modes shown). The blue line indicates the lowest order backward volume magnetostatic spin-wave (BVMSW) mode, the red line the surface (MSSW) mode. (b) A Permalloy (Py) spin-wave waveguide of 40 nm thickness, 3 μm width, and saturation magnetization MS = 860 kA m1 subject to an applied bias magnetic field B0 = 50 mT. m is the width mode number (odd numbered modes only shown). The blue and red lines show the MSSW mode and lowest order BVMSW mode for an infinite Py film. The curves in both panels are calculated using equations in Kalinikos et al. [13]

37

Magnon Spintronics

1513

Of all materials, monocrystalline yttrium iron garnet (YIG, Y3Fe5O12) has perhaps contributed most to our fundamental understanding of spin-wave and magnon dynamics over the last 30 years [7, 8]. YIG, an electrically insulating ferrimagnet, has the lowest intrinsic spin-wave damping of any known practical experimental material; its remarkably low losses – two orders of magnitude smaller than the best polycrystalline magnetic metals – allow spin-wave propagation to be observed and studied over centimeter distances [9, 10]. Permalloy (Py) is the name given to the nickel-rich face centred cubic nickeliron alloys FexNi(1x) for 0.18  x < 0.25. Alloys having this range of stoichiometries combine very large permeability with exceptionally low magnetocrystalline anisotropy and coercivity [11]. Permalloy has two key attractions for the spin-wave dynamicist: unlike YIG, it is easy to deposit using standard thermal evaporation or sputtering techniques, and, in comparison with other polycrystalline magnetic metals, its magnetic damping is modest. Permalloy is widely recognized as an ideal development material for prototype spin-wave spintronic devices. The two mechanisms by which the spins of ferri- and ferromagnetic materials are coupled differ in strength, and in the length scales over which they act: exchange is a strong but short-range effect, whilst the dipolar interaction is weak but relatively long range. This leads to three characteristic regimes of spin-wave propagation, readily identifiable in Fig. 2a. At small values of wavenumber (k < 104 rad cm1, λ  1 μm in YIG) the influence of exchange is negligible and the waves propagate in the dipolar, or so-called magnetostatic regime, such waves are solutions to Eq. 12 subject to Maxwell’s equations in the limit of k substantially larger than the electromagnetic wavenumber [1, 2]. Conversely, when the wavenumber is large (k > 105 rad cm1 in YIG) the exchange contribution to the total magnetic energy associated with the excitation dominates, producing a region of exchange waves for which ω / k2 [1, 2]. An intermediate region of dipole-exchange spin waves (DESW) – excitations flavored by both interactions – completes the picture [12–14].

Magnetostatic Spin Waves In the magnetostatic regime, the angle θ between the directions of steady-state magnetization and spin-wave propagation, and the orientation of the magnetization relative to the axis of a magnetic waveguide strongly influence spin-wave dispersion. For all spin waves propagating in plane in waveguides magnetized parallel to their thickness, θ = 90 . By symmetry therefore, such waves, which are known as forward volume magnetostatic spin waves (FVMSWs) have isotropic dynamics [15]. For in-plane magnetized films the story is a little more complicated. Under these conditions, two dynamically distinct categories of mode can propagate: surface spin waves, and backward volume spin waves. Magnetostatic surface spin waves (MSSWs), also known as Damon-Eshbach modes, exist over a range of angles

1514

A.D. Karenowska et al.

90  θc  θ < 90 + θc where θc is a critical angle dependent on the internal field [16, 17]. As their name suggests, these waves have associated with them a smallsignal magnetization whose amplitude has a maximum at one surface of the film, decaying exponentially with distance from it. The surface to which the modes are localized is dependent on the angle between the steady-state magnetization and the wavevector (it switches from one to the other if the angle is changed by 180 ), a property known as field-displacement nonreciprocity. However, it should be noted that the localization is relatively weak; in a typical experiment the length over which the magnetization decays is large in comparison with the waveguide thickness. Backward volume magnetostatic spin waves (BVMSWs) exist for all values of θ but their dispersion has a significant angular dependence; in the literature, if BVMSWs are discussed without further qualification it is generally assumed that θ = 0 or θ = 180 [16]. Unlike MSSWs, BVMSWs are reciprocal (i.e., invariant under a 180 flip of the angle between the wavevector and the magnetization), however, the slope of their dispersion curve is negative (see Fig. 2) so that their group and phase velocities point in opposite directions. It is this unusual feature which motivates their description as “backward” waves. Forward and backward volume magnetostatic spin waves are the summation of guided plane waves reflecting from the inner surfaces of the waveguide and their full spectra contain an infinite array of dispersive branches, each corresponding to a different harmonic distribution of magnetization across the thickness. Modes other than the lowest order tend not to be efficiently excited using conventional experimental techniques and so for practical experimental purposes, can often be neglected (see also “Magnon Gases,” “The Excitation and Detection of Magnon Currents,” and “Magnon Propagation in Microstructures”). It is important to be conscious that as well influencing spin-wave dispersion through its effect on the types of modes that can propagate, the orientation of the bias magnetic field has further leverage on the spin-wave spectrum through its effect on the amplitude of a waveguide’s steady-state internal magnetic field H0i. If a bias B0 is applied in plane to a meso- or macroscopic waveguide, the internal field with results is simply H0i = B0/μ0, whilst in the out-of-plane extreme there is a demagnetizing field Hdemag =MS in play so that H0i = B0/μ0  MS. The plot of Fig. 2a shows families of backward volume (BVMSW, θ = 0 and θ = 90 ) and surface (MSSW, θ = 90 ) spin-wave modes for an in-plane magnetized yttrium iron garnet waveguide having a thickness of 5 μm. For the BVMSWs the mode number n indexes the number of harmonic variations of the magnetization across the thickness. At θ = 90 a single MSSW mode (red line) and a family of BVMSW modes (black lines) exist, the hybridization of these modes leads to the formation of gaps at their crossing points [13]. Permalloy waveguides in routine experimental use have thicknesses of a few tens of nanometres and widths of a few microns. Their magnetostatic forward and backward volume thickness modes are therefore generally widely spaced in

37

Magnon Spintronics

1515

frequency. However, each thickness mode typically has associated with it a family of tightly grouped width modes, each of which is the superposition of a propagating mode with a wavevector parallel to the long axis of the waveguide and a standing mode parallel to its width [18]. The width mode spacing is the frequency interval between the standing mode harmonics which may be no more than a few tens of megahertz (see also “Magnon Propagation in Microstructures”). As an illustrative example, Fig. 2b shows the BVMSW and MSSW dispersion curves for a 40 nm thick, 3 μm wide Py waveguide. In the case of BVMSWs, only the lowest order thickness mode is shown; m is the width mode number. A noteworthy distinction between the magnetostatic spin-wave dispersion in practical YIG and Py systems lies in the relative gradients of the corresponding MSSW and BVMSW curves. In YIG films, these have comparable magnitude (though differing sign) over a wide range of k. By contrast, on account of the different thickness dependencies of MSSW and BVMSW dispersion, in a typical Permalloy waveguide the magnitude of the gradient of the BVMSW curves at a given k is significantly smaller than those of the MSSWs (compare Fig. 2a, b); the spin-wave group velocity dω/dk is therefore much smaller for BVMSWs than for MSSWs. As a result, most studies on Py microstructures are undertaken using MSSW geometry whilst in YIG, all three mode classes are equally intensively studied.

Exchange Spin Waves The dispersion of exchange spin waves in an infinite magnetically saturated medium of saturation magnetization MS having an internal magnetic field H0i varies only with the angle θ between the wavevector and the steady-state magnetization [1]. ω ¼ jγ jμ0

qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi    ffi H 0i þ MS λex k2 H0i þ MS λex k2 þ sin2 θ ;

(13)

where λex is the exchange constant (units of m2) which is related to the exchange length lex (units of m) and the exchange stiffness Aex (units of J m1) by λex ¼

2Aex ¼ l2s : μ0 M2s

(14)

In the limit λexk2  1, Eq. 13 reduces to   ω ¼ jγ jμ0 H0i þ MS λex k2 ;

(15)

that is, a simple relationship of the form ω / C1 + C2k2 where C1 and C2 are constants. Exchange-dominated waves typically have wavelengths in the nanometre range and group velocities which are substantially higher than those of magnetostatic excitations.

1516

A.D. Karenowska et al.

Magnons: The Corpuscular Picture Though an understanding of many aspects of spin-wave dynamics is well served by a quasi-classical model of the excitations’ underlying physics, a proper appreciation of others demands an appeal to the corpuscular side of their dual personality. The magnon, the spin-wave quantum, has energy E ¼ ℏω

(16)

p ¼ ℏk:

(17)

and momentum

It can be seen from the above equations that when a magnetic sample undergoes FMR (k = 0), it is populated by magnons having finite energy but zero momentum. Conversely, for exchange-dominated excitations having large wavenumbers, the magnon energy is   E ¼ ℏjγ jμ0 H 0i þ MS λex k2 ;

(18)

(from Eq. 15) and the momentum varies as sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 1 p ¼ jpj ¼ ℏ ðω  jγ jμ0 H0i Þ: jγjμ0 MS λex

(19)

Each magnon has a characteristic lifetime τ(k); the corpuscular analog of the classical relaxation time. The magnon effective mass m* is related to the curvature of the magnon band structure, for exchange magnons in a medium with negative γ (Eq. 10), it may be derived from Eq. 18 via 1 1 d2 E 2 ¼ ¼ jγ jμ0 MS λex : m ℏ2 dk2 ℏ

(20)

The magnetic amplitude – which accords with precessional angle of the magnetization in the quasi-classical model – is determined by the magnon density. For the special case of the perfectly circular precession of an elliptical magnetic element, the wavenumber-dependent magnon number density nk can be shown to be related to the classical precessional amplitude ak of a wave of wavenumber k by [2] nk ¼

a2k : 2ℏjγjμ0 MS

(21)

Magnon Gases In the section “Magnetostatic Spin Waves” it was explained that though most magnetic systems possess a complex magnonic mode structure, in many

37

Magnon Spintronics

1517

experiments just one mode is excited and/or observed with significant amplitude. However, there are some contexts in which this is not at all the case, and the whole magnon spectrum – or a significant portion of it – plays an important role. The underlying physics of the latter scenario is elegantly captured by appeal to the concept of a magnon gas [9, 19, 20]. Low density, low energy magnon systems behave as “ideal gases”: magnon-magnon interactions are weak, dissipation is small, and nonlinearity is negligible. Conversely, high density, high energy magnon systems behave like real gases: magnon-magnon interactions are significant, dissipation cannot be neglected, and there may be pronounced nonlinearity. Most experimental studies of magnon systems relevant to magnon spintronics involve the control and manipulation of relatively high-energy magnon gas phases, externally excited by microwave sources. In order to fully understand the dynamics of such gases it is necessary to consider how they interact with the incoherent thermal magnons with which they share their magnetic environment. Despite their low energy, the influence of thermal magnon phases on the overall behavior of magnon systems can be profound; they play, for example, an important role in the multi-magnon scattering processes which contribute to damping (see “Damping and Relaxation in Magnonic Systems”), and the processes of parametric amplification (“Quantifying Damping and Relaxation,” “Amplification in Magnon Systems,” and “Non-Linear Signal Processing”) and spin-transfer torque (“The SpinTransfer Torque Effect”). The unique tunability and manipulability of magnon systems, coupled with the advances in the sensitivity and sophistication of instrumentation and measurement apparatus achieved over the last decade, has catalyzed growing interest in magnon gases not only as fascinating magnetic systems in their own right but as valuable model systems for the observation of general aspects of the physics of systems of bosonic quasi-particles. One important aspect of this work is the study of BoseEinstein like magnon condensates [21]. These quasi-equilibrium magnon condensates can be produced by overheating a narrow region of the magnon spectrum through the injection of high-energy magnons using parametric pumping (see “Quantifying Damping and Relaxation,” “Amplification in Magnon Systems,” “Non-Linear Signal Processing”), or potentially via spin-transfer torque [22] (see “The SpinTransfer Torque Effect”). These magnons rapidly thermalize and, if the experimental conditions are correct, condense into a single coherent state at the energy minimum of the spectrum. The low effective mass of magnons means that, unlike atomic condensates, magnon condensates are readily formed at room temperatures.

Damping and Relaxation in Magnonic Systems Mechanisms of damping and relaxation in magnon systems divide broadly into two categories: • Those which act to redistribute energy among the modes of the magnetic system. Some of these so-called spin-spin relaxation processes are intrinsic, i.e., an

1518

A.D. Karenowska et al.

essential feature of the system’s underlying physics, others are extrinsic, being mediated by defects or other nonidealities in real magnetic materials. • Those which involve the coupling of magnons to other parts of their physical environment; chiefly the lattice, free carriers, ionic impurities, and the nuclear magnetic system of their host material. Directly or indirectly, in the majority of these cases the original magnons are ultimately traded for phonons. Accordingly, this second family effects are known as spin–lattice relaxation processes. The interplay between, and relative importance of, different loss-mechanisms is typically complex, depending on factors such as the structure and composition of the host material, the strength and geometry of any applied magnetic fields, and the density and spectral distribution of the magnon population.

Quantifying Damping and Relaxation Probably the most straightforward method by which spin-wave damping can be quantified experimentally is via measurement of the ferromagnetic resonance (FMR) linewidth. The traditional approach to making FMR measurements involves exciting a sample with microwaves of fixed frequency and measuring its absorption as a function of applied magnetic field strength. Though attractive on account of its relative simplicity, the fact that magnon damping typically varies significantly (and nonlinearly) with frequency makes the fixed-frequency nature of this technique an important weakness. In today’s microwave measurement laboratories, this limitation is commonly overcome by employing broadband vector network analysers (VNAs). In a VNA based experiment, the sample is typically placed in a fixed DC magnetic field and excited over a wide frequency range using spatially localized antennae (generally microstrip lines or coplanar waveguides, see “The Excitation and Detection of Magnon Currents”). Widespread approaches to the characterization of waveguides employ two-port measurements in which the S-parameter matrix for the system (the forward and reverse transmission parameters S21 and S12 and the reflection coefficients from both antennae S11 and S22) is measured, from which the imaginary part of the magnetic susceptibility can be extracted [23–25]. Knowing the FMR linewidth of a particular magnetic sample is always valuable. However, in an experimental context, it is often the damping of a particular traveling mode that is of central importance. This damping may be significantly different from that apparent under conditions of FMR. One means by which it can be determined is via time-resolved Brillouin light scattering (BLS) spectroscopy, a technique based on the measurement of inelastic scatter of photons from magnons. A probing laser beam is focussed on the sample and scattered light collected and passed through a tandem multipass Fabry-Perot interferometer. The frequency, density, phase, and wavevector of the magnon population can be extracted from analysis of the scattered light and used to obtain the decay time and the decay length [14, 26]. For illustration, Fig. 3 shows linear and nonlinear spin-wave packets in a YIG waveguide measured using BLS. The nonlinear excitation shown is a spinwave “bullet”; a type of quasi-stable two-dimensional waveform formed as a result

37

Magnon Spintronics

1519

Linear spin-wave packet exponential amplitude and energy decay

246 ns 201 ns 156 ns 108 ns

63 ns

Non-linear spin-wave packet exponential energy decay accompanied by 2-dimensional pulse compression

Fig. 3 Spatially and temporally resolved Brillouin light scattering measurements of linear (left) and non-linear (right) spin-wave propagation in an yttrium iron garnet waveguide (after Serga et al. [29]). The damping of linear and non-linear excitations differs significantly

of competition between the effects of dispersion, nonlinearity, dissipation, and diffraction [27–29] (see “Advanced Magnon Buses”). The propagation characteristics of nonlinear spin waves can contrast dramatically with those of linear excitations. The peak intensity of the bullet in Fig. 3 initially increases due to two-dimensional spatial pulse compression whilst the total spin-wave energy (which is proportional to its volume) decays exponentially. Another effective method to quantify spin-wave damping is via measurement of the threshold of instability under conditions of parametric pumping. Parametric instability in magnon systems was first discovered experimentally by Bloembergen et al. in 1952 [30]. Bloembergen observed that energy from a driven uniform precessional mode (i.e., a population of k = 0 magnons) was able to feed into or “pump” propagating modes at distinct frequencies. This phenomenon has come to be known as perpendicular pumping; a description which reflects the fact that the alternating magnetization of the pumping mode is always perpendicular to the steady-state orientation of the magnetization vector [31]. The related mechanism of parallel parametric pumping occurs when a magnon mode of frequency ω receives energy via coupling to a frequency-doubled 2ω alternating magnetic field applied along the magnetization direction [32, 33]. The instability threshold in parametrically pumped systems is the point at which the process of amplification exactly balances the damping of the lowest loss magnon mode, when the threshold is exceeded, the amplitude of this mode grows exponentially above the thermal background. Accordingly, by identifying the point of instability, the damping itself can be accurately determined. This technique is particularly valuable for the determination of the damping of high-k modes whose short wavelengths make them difficult to generate efficiently using direct antennabased techniques (see “The Excitation and Detection of Magnon Currents” and “Magnon Propagation in Microstructures”).

Understanding Damping and Relaxation Mechanisms A comprehensive discussion of the nuances of magnon damping is beyond the scope of this book; however, it is helpful to briefly review some of the most important underlying mechanisms. For those with an appetite for deeper insight Stancil [1], Gurevich and Melkov [2], and Sparks [34] tackle this topic in some detail.

1520

a

A.D. Karenowska et al.

w 2, k2

w 1, k1 w 3, k3

e

b w 1, k1

w 2 , k2

w ph1,k ph1

f w ph1,k ph1

w ph2,k ph2

w ph2,k ph2

w 3 , k3

w 2, k 2

w 4, k4

w 3 , k3

g w m,km

w m,km

cw , k 1 1

w m2,km2

w 2,k2

d w 1,k1

h

w ph,kph

w m1,km1

w m2,km2 w ph,kph

w m1,km1

Fig. 4 Magnon scattering processes. (a) Three-magnon scatter. (b) Three-magnon confluence. (c) Four-magnon scatter. (d) Two-magnon scatter. (e) Splitting of one magnon into two phonons. (f) Confluence of two phonons into one magnon. (g) Splitting of one magnon into a second magnon plus a phonon. (h) Confluence of one magnon and one phonon into a second magnon. (g) and (h) are Cherenkov processes

Intrinsic Spin-Spin Relaxation Intrinsic spin-spin relaxation has its origin in scattering processes in which three or more magnons participate. These so-called multimagnon processes are of two varieties: splitting processes, in which the total number of magnons increases, and confluence processes in which the total number of magnons decreases. The first two panels of Fig. 4 illustrate the effects of three-magnon splitting (a) and confluence (b) on an incoming magnon having wavevector k1 and frequency ω1. In the splitting event, the incoming magnon is transformed into two magnons having wavevectors k2, k3 and frequencies ω2, ω3, where conservation of energy dictates ћ(ω2 + ω3) = ћω1 and conservation of momentum implies k2 + k3 = k1. Conversely, in the confluence process, the incoming magnon combines with a second magnon of wavevector k2 and frequency ω2 to produce a single “output” magnon having wavevector k3 = k1 + k2 and frequency ω3 = ω1 + ω2. In general, the probability of n-magnon scattering events reduces the higher n becomes. However, the relative probability of three- and four-magnon events is an important exception to this rule. The participation of the exchange interaction in three-magnon splitting and confluence is forbidden by symmetry and these processes are therefore driven solely by the much weaker dipole-dipole interaction. Four-magnon processes, on the other hand – in which a pair of magnons is annihilated and a second pair having different wavevectors created (Fig. 4c) – can be exchange mediated. The probability of four-magnon processes is, accordingly, often comparable to and sometimes in excess of that of three-magnon ones. The likelihood of three-magnon processes is further colored by the fact that, because of the small number of participant particles, the requirements of momentum and energy conservation can typically only be met by real magnons (i.e., those lying in the spectrum, as defined by the dispersion relationship) over a very limited range of conditions. Significantly, for k 6¼ 0 magnons belonging to the magnetostatic part of the spectrum, there is always a certain applied magnetic field above

37

Magnon Spintronics

1521

which, and a certain wavenumber below which, both three-magnon confluence and splitting processes are entirely suppressed [1, 35]. The intrinsic scatter of k = 0 magnons is a special case. Here, conservation laws forbid ordinary three-magnon confluence and scattering events to participate to any significant extent and exchange-driven four-magnon processes cannot contribute since their probability also becomes vanishingly small at k = 0. Instead, the dominant relaxation process is a special case of three-magnon confluence in which the second incoming magnon (k2 in Fig. 4b), and the output magnon (k3) belong to the exchange part of the spectrum [2]. In high-quality monocrystalline YIG, intrinsic scattering is typically responsible for around one third of the 0.02  0.03 mT linewidth [2].

Intrinsic Magnon-Phonon Relaxation Direct transfer of spin-wave energy to the lattice occurs through the magnetoelastic interaction and can be understood as a process of magnon-phonon scatter. The simplest two-particle phonon-magnon and magnon-phonon scattering events can be viewed either as damping caused by linear coupling of magnetic and acoustic waves, or as the formation of new a quasi-particle species corresponding to hybridized magnetoelastic waves that occupy regions of intersection of spin- and acoustic wave dispersion branches. Self-evidently, the processes of splitting of one magnon into two phonons (Fig. 4e), and the confluence of two phonons into a magnon (Fig. 4f) do not conserve the total number of magnons, whilst those which involve splitting of a magnon into a magnon and phonon (Fig. 4g) or the confluence of a magnon and a phonon into a new magnon do (Fig. 4h). The splitting of a magnon into a magnon and phonon (Fig. 4g) is the direct magnetic analog of the phenomenon of Cherenkov radiation: the emission of electromagnetic energy when a charged particle passes through a dielectric medium at a speed exceeding the electromagnetic phase velocity. This process is restricted to situations in which the magnon group velocity is higher than the acoustic phase velocity. In general, this is only possible for short-wavelength exchange magnons (in YIG films, k > 105 rad cm1). If these conditions are satisfied, it follows that the confluence of a low energy magnon with a phonon to produce a magnon of higher energy (i.e., the reverse of the splitting process) is also possible, this too is known as a Cherenkov process (Fig. 4h). In general, the magnetoelastic energy is contributed to by the dipolar and exchange interactions of magnetic spin moments, and spin-orbital coupling. The role of the exchange interaction is limited to processes which conserve the total number of magnons (and hence the magnetization); it is therefore its relatively weak dipolar and spin-orbital counterparts which underpin non magnon number preserving scattering. It is interesting to note that spin-orbital coupling, which is significant in the majority of magnetic materials, is very weak in YIG. Accordingly, in this material, the thermal equilibration of the phonon and magnon baths is driven solely by Cherenkov processes, the probability (and therefore frequency) of which scales

1522

A.D. Karenowska et al.

with the exchange energy, and therefore k2 (see “Exchange Spin Waves”). Recently, it has been suggested that if a phonon (i.e., conventional) temperature gradient is applied to a YIG film, the wavenumber dependence of the equilibration rate leads to a spectrally nonuniform magnon temperature (see Agrawal et al. [36] and citations therein).

Extrinsic Two-Magnon Scattering In an ideal crystal, momentum and energy conservation forbid two-magnon scatter. However, in real, imperfect materials these scattering events do occur and their probability can exceed that of the intrinsic processes discussed in the preceding paragraphs. Two extrinsic relaxation mechanisms are particularly important: spinlattice relaxation mediated by ionic impurities having strong spin-orbital coupling and charge carriers, and spin-spin relaxation due to inhomogeneities (surface nonuniformities such as scratches, roughness, and pores, structural defects and disorder, and nonuniform internal stress distributions) [2, 34]. The line-broadening effect of a given distribution of impurities or nonuniformities is dependent on the extent to which it perturbs the internal field of the sample, and the physical size of the perturbation relative to the magnon wavevector. In general, inhomogeneities of dimension ls will strongly scatter magnons having wavenumber k ≲ 1\ls (Fig. 4d). Surface inhomogeneities are the most significant contributors to two-magnon scattering in high-quality monocrystalline YIG. About half of the linewidth of a typical YIG film (grown by liquid-phase epitaxy and having a thickness of few microns) is due to two-magnon scatter. Damping and Relaxation in Metallic Ferromagnets In metallic ferromagnets such as Permalloy, intrinsic processes make a similar contribution to relaxation as they do in electrically insulating ferrites. Their magnon damping, however, is typically of order one hundred times larger than the best ferrite materials. Unsurprisingly, the origin of the additional damping overhead is to be found in a metal’s itinerant electron system. Conductivity affects magnon propagation in two ways. Firstly, if the dimension of the magnetic system in the direction parallel to the wavevector is bigger than the skin depth (∽1 μm for most metals at microwave frequencies) the AC magnetization close to its surface will be highly nonuniform. In combination with the exchange interaction, this nonuniformity results in a line-broadening effect proportional to the square root of frequency. Secondly, the spins of itinerant conduction electrons interact with the spin lattice through low-energy four-particle electronmagnon scattering. This process produces magnetic losses which vary linearly in frequency. The overall behavior of a given metal depends on which of these two mechanisms dominates. The room-temperature damping in Permalloy and iron films, for example, is proportional to the square root of frequency, whilst that in nickel is linear [37].

37

Magnon Spintronics

1523

b

a

hs

SMA connectors YIG waveguide Antennae

Microwave transmission lines Grounding plates

bv(t)

YIG

bh(t )

B0 MSSW

Fig. 5 Spin waves may be excited and detected in waveguides inductively using microwave antennae. (a) Photograph of a typical YIG waveguide/antenna system. (b) Schematic elevation of a region of waveguide in the vicinity of an exciting antenna. The excitation process is illustrated for magnetostatic surface spin waves (MSSWs) [40]

A Beginner’s Guide to Practical Magnonics The Excitation and Detection of Magnon Currents Magnons can be excited and detected in magnetic waveguides in a number of ways. Perhaps the most important in the context of magnon spintronics is through the use of microwave circuits incorporating inductive microwave antennae [38–41]. For illustration, Fig. 5a is a photograph of a typical setup for a microwave experiment involving a YIG waveguide. Two short-circuited microstrip antennae – an input and an output – are lithographed several millimeters apart on the surface of an electrically insulating substrate, on top of which the waveguide is placed or glued. The edges of the waveguide are generally sheared at 45 so as to minimize coherent reflections from the ends [42]. As discussed in the section “Spin-Wave Dispersion in Magnetic Films,” in an experiment, such a waveguide is generally magnetized to saturation using an external bias magnetic field and the class or classes of spin waves which may be excited is determined by the orientation of this field relative to its long axis. As an example, Fig. 5b illustrates the process of excitation of magnetostatic surface spin waves (MSSWs, see “Magnetostatic Spin Waves”). In order to conceptualize the spin-wave excitation and reception mechanism, it is useful to imagine the waveguide as a reservoir of quasi-classical spins with precessional axes parallel to the bias field. Application of a microwave signal to the input antenna generates a localized alternating magnetic field b(t). The vertical component of this field bv(t) exerts a torque on the spins in its vicinity, causing them to precess and – when proper conditions of field and frequency are satisfied – stimulates the generation of a propagating wave. In the case of MSSWs, this wave emanates from one side of the antenna only [40]. The mechanism of detection is the inverse of the excitation process: when the spin wave arrives, the small AC magnetization associated with it induces an AC current in the output antenna.

1524

A.D. Karenowska et al.

Discussion of the subtleties of the interaction between a microwave antenna field and a magnon system and how they affect the design and implementation of effective antennae can be found in Serga et al. [9], Ganguly and Webb [38], Kalinikos [39], Schneider [40], Demidov [41], Ishak [42], Vlaminck and Bailleul [43], Huber [44], and Au et al. [45]. If employed creatively, simple setups of the type shown in Fig. 5a are extremely powerful tools in the exploration of magnon physics. Modern time-domain, network, and spectrum analysis instrumentation allows a wealth of linear and nonlinear propagation phenomena to be studied in such systems with relative ease. However, microwave antennae have some limitations; perhaps most importantly, their efficacy is poor at high wavenumbers. The maximum wavenumber accessible with a given antenna is roughly kmax ∽ 1\w, where w is the antenna width. Alternative excitation techniques better suited to the study and application of the high-k part of the spectrum include fast laser pulses [46, 47], parametric excitation (see “Quantifying Damping and Relaxation,” “Amplification in Magnon Systems,” and “Non-Linear Signal Processing”) [21, 32, 48], and spin-transfer torque (“ The Spin-Transfer Torque Effect”) [49, 50]. Aside from the microwave-circuit based approach discussed above, among the most commonly employed techniques for the detection of magnons are Kerr microscopy [45, 51, 52], Brillouin light scattering spectroscopy (see “Quantifying Damping and Relaxation”) [9, 14, 26], ultra-fast laser spectroscopy [46, 47], magnetic resonance force microscopy [53, 54], and spin pumping (see “The SpinPumping Effect”) [48, 55, 56].

Magnon Propagation in Microstructures Magnetic waveguides commonly used in experimental magnonics are of two general varieties: macroscopic ferrite structures, and microscopic ones made from magnetic metals or alloys. Ferrite waveguides are typically a few microns thick and have lateral dimensions in the millimeter to centimeter range. These comparatively large – and therefore easy-to-handle – dimensions make the systems particularly valuable in fundamental studies of magnon dynamics (see Serga et al. [9]). However, in the context of applications-oriented research it is perhaps micro- and nanoscaled metallic structures which are of greatest interest. Permalloy (Py) has long been among experimental magnetics’ most important ferromagnetic materials and is in widespread use in contemporary applied magnonics [57–65]. Permalloy waveguides are typically a few microns wide with lengths limited by the spin-wave damping to a few tens of microns. The thickness dimension – which commonly lies in the range 1–100 nm – can be used to tune the magnon group velocity (the two are close to linearly proportional). Two issues demand particular attention from researchers working with microscale magnonic waveguides: Firstly, the role of demagnetizing fields can be very significant, and sometimes surprisingly so. To take a particular example, the effect of demagnetizing fields on the magnon spectra of macroscopic spin-wave

37

Magnon Spintronics

1525

waveguides magnetized across their width is generally negligible. In a geometrically similar microscopic structure however, the same fields create a substantial internal field gradient, lending a pronounced spatial dependence to the transmission spectrum. This effect can lead to confinement phenomena such as edge modes (modes which propagate freely down the length of the waveguide but are strongly confined in the transverse direction) [52, 57, 59, 65]. The second important consideration is the possibility of multimode excitation (see also “Magnetostatic Spin Waves”). Driving a microscale waveguide at fixed frequency using a simple stripline antenna will generally result in the excitation of several width modes, often producing spatial variations in the spin-wave intensity over its length and width (Fig. 6a) [57–59, 65]. If the AC magnetic field produced by the antenna is uniform across the width of the waveguide, only uniform or odd modes (n = 0, 1, 3, 5. . .) can be excited. However, if the translational symmetry is somehow broken, these odd modes can be converted into even ones with relatively high efficiency (Fig. 6b) [59]. Microstructured planar magnonic waveguides may be used as interconnects in “magnonic circuits” in much the same way as copper tracks are employed in conventional electronics. However, the design of such systems is complicated by the dependence of magnon dispersion on the orientation of the wavevector relative to the steady-state magnetization direction. If isotropic magnon propagation is desired, normally magnetized waveguide systems – in which the in-plane dispersion characteristics are directionally independent – are one attractive route. They do however suffer the disadvantage that they generally require relatively large bias magnetic fields [66]. Alternative solutions include waveguides which have a magnetization direction defined by a pronounced shape anisotropy and are able to function without an external magnetic field, T-structures, which are designed for efficient interconversion between backward and surface waves at the shoulder of the tee [67, 68], and waveguides biased by current-induced magnetic fields [69]. Research into magnon propagation in Py microstructures has expanded rapidly over the last decade and such systems are more and more widely acknowledged to have interesting potential applications within spintronics. However, despite being low in relative terms, the spin-wave damping in Py is still substantial and recent years have seen an expansion in efforts directed towards the search for lower-loss metallic and intermetallic alternatives. One material which – on account of its large saturation magnetization and low damping – has been recognized to be attractive for some time, is cobalt iron boron (Co40Fe40B20) [70–72]. Particular attention is also focused on the family of so-called Heusler alloys: intermetallic compounds which, despite containing no ferromagnetic elements, are themselves ferromagnetic [73]. It has been shown theoretically that as well as having extremely high spin polarization at the Fermi level, some of these materials should also have significantly lower damping than Py [70, 74–82]. The experimental synthesis, characterization, and optimization of Heusler is an ongoing project, but spin polarizations in excess of 85 % have already been measured [75, 76], as have very favorable damping parameters [70, 75–82], resulting in long spin-wave propagation lengths in magnon waveguides [83].

1526

A.D. Karenowska et al.

a

2 μm

Microwave current

Measured Spin-wave intensity map

Permalloy microstripe

Z

Stripe antenna

y BLS Intensity (arb, units)

x

1.5 μm

3

4

5 6 7 8 7 Frequency (GHz)

10 11

Antenna

b Ni81Fe19

4 μm

2.5 μm

1 μm

Antenna

Ni81Fe19

y x

MAX

Signal Intensity

MIN

z

3 μm

Bext = 50.7 mT

Fig. 6 Distributions of spin-wave intensity in a Py waveguide measured with BLS spectroscopy (waveguide of 36 nm thickness and 2 μm width subject to a bias magnetic field B0 = 30 mT). The inset shows the frequency dependence of the excitation efficiency. There is a maximum around 4 GHz at the FMR frequency and two minima (indicated by the red arrows) corresponding to spinwave wavelengths wa/n, where wa is the width of the antenna and n is an integer. (Reprinted with permission from [58]. Copyright (2011) by the American Physical Society). (b) The upper panel shows a spin-wave intensity map in a simple Py waveguide measured with BLS spectroscopy; only odd width modes are excited. The lower panel shows a similar map of a waveguide with broken translational symmetry. Here, interconversion between odd and even width modes is clearly seen [59]. (Reprinted with permission from [58]. Copyright (2011) by the . American Physical Society)

The Control and Manipulation of Magnon Currents The ability to control and manipulate magnon currents in the laboratory is central to fundamental and applied magnonics. The key tool available to the experimentalist for this purpose is engineering of the magnon’s magnetic environment. Against this

37

Magnon Spintronics

1527

background, the propagation of magnons in the presence of externally controlled, inhomogeneous magnetic fields has been studied intensively since the 1960s [84, 85]. Localized magnetic inhomogeneities can substantially alter – and in some cases entirely forbid – magnon propagation (see, for example Serga et al. [9]). The physics governing the dynamics of magnons in the region of a localized magnetic inhomogeneity finds a strong parallel with that which describes the motion of a quantum-mechanical particle in the presence of a potential well or barrier [86–89]. One means by which such an inhomogeneity may be realized experimentally is using the magnetic field from a current-carrying conductor [89]. Of particular interest in the context of signal writing and gating are currentcontrolled dynamic magnetic inhomogeneities; these can be used to perform a range of manipulations, including amplitude and frequency modulation or shifting of spin-wave pulses. Such structures can also be used to realize switchable magnetic mirrors with current-controlled reflectivity [90]. Though the current-based manipulation of magnon systems has many attractions in the laboratory, in a device context it suffers the disadvantage of being inherently dissipative (i.e., it must consume power and create heat). Accordingly, there is growing interest in alternative means; techniques have been developed, for example, to control magnon systems via electric-fields in ferrite-ferroelectric stacks [91, 92], multiferroic materials such as BiFeO3 [93], and magnetic thin films with voltage-controllable anisotropies [94]. The emerging field of spin caloritronics [95] which is concerned with the interplay between heat currents and spin angular momentum transport also promises to make an important contribution to this area [96, 97].

The Magnonic Toolbox The essence of magnon spintronics is the fusion of the principles of traditional electron-based spintronics with those of magnonics. The magnonic theatre plays host to an orchestra of effects which potentially lend functionality to a wide range of devices. In this section, some of the most important instruments on offer are discussed.

Functional Microwave Elements Magnonic systems can lend functionality to a wide range of simple microwave elements. A great deal of device development was undertaken in the 1970s and 1980s; work which represents a valuable resource for contemporary researchers. Simple magnonic conduits or delay lines formed from homogeneous magnetic waveguides can operate over a frequency range between one and several tens of gigahertz. It is also possible to engineer “dispersionless” waveguides in which the propagation speed is independent of the signal frequency, and those in which the speed depends linearly on frequency [98]. Compressive and multichannel receivers

1528

A.D. Karenowska et al.

can also be produced, as well as high-Q filters and oscillators [42, 98–101]. A simple auto-oscillator can be built by connecting together the input and output antennae of a magnonic delay line via a positive-feedback network incorporating an amplifier and a phase shifter. The properties of such an oscillator may be adjusted via the waveguide; either by changing its magnetic environment, or introducing structural features [102–104].

Advanced Magnon Buses The subtleties of magnon dispersion provide the basis for magnon buses with sophisticated functionalities. For example; as described in the section “Magnetostatic Spin Waves,” the dispersion of both MSSWs and BVMSWs depends sensitively on the relative orientation of k and M. As a consequence, the direction of the group velocity of these excitations does not, in general, coincide with that of their wavevector. This feature has interesting implications for the design of two-dimensional spintronic devices. In particular, it leads to the possibility of creating systems which have the magnetic equivalent of negative refractive indices for MSSWs [105], and underpins the formation of MSSW and BVMSW caustics [106, 107]. Caustic formation is a channeling effect which occurs in wave-bearing media whose anisotropy is so pronounced that, along a particular direction (the caustic direction); the group velocity is almost independent of the wavenumber. It has been demonstrated that near-nondiffractive BVMSW beams with widths significantly smaller than their carrier wavelength can be created in magnetic films [106]. The beam direction may be steered by adjusting the orientation of the field, potentially enabling the creation of reconfigurable microwave interconnects, splitters, and combiners. Further possibilities are presented by the ability to generate and manipulate spinwave solitons. Solitons are stable one-dimensional localized wavepackets which – through a fine balance between the competing effects of nonlinearity, dispersion, and diffraction – are able to preserve their shape whilst traveling through nonlinear dispersive media. The propagation both of solitons and of two-dimensional nonlinear quasi-stable excitations called “bullets” (see Fig. 3), has been extensively studied in magnon systems [27–29, 108–110]. The robustness of these waveforms gives them interesting potential as information bearers in magnon spintronic devices.

Amplification in Magnon Systems There exist an almost intimidating range of physical mechanisms by which signals can be amplified in magnon systems. Some, for example quantum amplification [111, 112], and amplification through interaction with drifting carriers [113–115] are extremely interesting at the level of basic physics but, on account of their limited capability and the somewhat restricted applicability, have limited appeal in a device context. Others – most significantly the amplification of magnons

37

Magnon Spintronics

1529

through the spin-transfer torque effect [116–120] (see also “The Spin-Transfer Torque Effect”) – are very attractive from an applications perspective but, at least in magnetic insulators [119, 120], are still in the process of being properly understood [121]. Against this background, the mechanisms of best established and most universal importance are perhaps those of parametric amplification. Parametric amplification can be applied to all regions of the magnon spectrum and maximum gains achieved at room temperature exceed 30 dB [122]. As described in the section “Quantifying Damping and Relaxation,” there are two mechanisms of parametric pumping in magnon systems. Perpendicular pumping involves a microwave pumping field which imparts an alternating magnetization perpendicular to the steady-state orientation of the magnetization vector. Parallel pumping occurs when a mode of frequency ω receives energy via coupling to an alternating magnetic field at 2ω oriented along the magnetization direction (see for example [2], or [9]). In all but a few special cases, parametric amplification of magnons from the magnetostatic part of the spectrum must be performed in a pulsed, rather than continuous, pumping regime. The reason for this is that when pumping is applied, the amplitudes of thermal exchange modes which are degenerate with the magnetostatic magnons of interest start to grow exponentially [123]. In order to avoid undesirable competition between these modes and the amplified signal packet, it is therefore necessary to arrange that the pumping duration is considerably shorter than their characteristic relaxation time [122]. The spatial extent of the pumping field has important consequences for the amplification process. If, for example, the pumping region is very strongly localized, the gain can be varied through external control of the relative phase of the pump and the signal [124, 125].

Nonlinear Signal Processing The use of spin-wave systems in nonlinear microwave electronic systems has a long and distinguished history. Extensive applied research into devices such as convolvers, mixers, signal-to-noise-enhancers, power limiters, and chaotic signal generators was undertaken several decades ago [98–101, 126, 127]. Latterly, advances in experimental techniques and analytical tools have driven forward a surge of interest in the fundamental underpinnings of nonlinear phenomena in magnon systems and how they might be exploited in new information technologies. Of particular interest are mechanisms of wavefront reversal and signal storage.

Wavefront Reversal via Parallel Parametric Pumping A wavefront reversal process is one in which an initial excitation in a wave-bearing medium is used to create a time-reversed version of itself which propagates “back” through the material, eventually reconstructing a copy of the original at its point of origin.

1530

A.D. Karenowska et al.

Parametric wavefront reversal techniques are familiar to many on account of their widespread use in optics. Though broadly similar, the detail of the mechanism available in magnon systems is distinct from the photonic case. Optical wavefront reversal involves second order, four-wave parametric interactions, pumped by counter-propagating optical beams with carrier frequencies close to that of the signal. In magnon systems, the process is achieved through first order, three-wave interactions [27, 112, 128].

Signal Storage and Recovery The ability to exercise precise external control over energy transfer between the modes of magnon systems underwrites a range of linear and nonlinear means to achieve information storage and recovery. In practical experimental magnon systems, phase relaxation typically occurs on a timescale which is short in comparison with the characteristic energy decay time so that excitations of finite amplitude still exist after macroscopic coherence has been lost [129]. This leads to the possibility of re-forming signals from the residue of excitations created as they decohere; a process known as echo formation [129–132]. Several types of spin-wave echo exist. A first can be formed using perpendicular parametric pumping through a mechanism similar to that which underpins the wavefront reversal process described in “Wavefront Reversal via Parallel Parametric Pumping” [130–132]. A second operates through parallel pumping of secondary dipole-exchange waves generated via two-magnon scatter of an initial excitation belonging to the dipolar part of the spectrum [133, 134]. This second process holds particular promise as the basis for magnonic information storage, infinitely long storage times being possible using multiple recovery cycles [135, 136]. A distinct but related means of signal recovery is particular to magnetostatic surface waves (MSSWs). The dispersion curves of MSSWs in magnonic waveguides are intersected by a discrete spectrum of short wavelength, exchange-dominated thickness modes. As a consequence, surface excitations produce a “contrail” of perpendicular standing excitations as they propagate [137]. Localized parallel parametric pumping can be used to restore signals by amplifying this standing mode signature and transferring energy back to a propagating surface wave [123, 137]. The coherence of a dephased magnon signal can also be recovered via a process known as momentum relaxation reversal [138]. This mechanism involves frequency-selective amplification, generally carried out using parallel parametric pumping. Finally, a further storage/recovery mechanism is available using magnonic crystals. These magnetic metamaterials – which are explored in more detail in the next section – retain a memory of spin-wave signals which have propagated through them in the form of low-level excitations of their mode structure. As in momentum relaxation reversal, propagating signals can be recovered from these localized oscillations using parametric pumping [139].

37

Magnon Spintronics

1531

Magnetic Metamaterials and the Magnonic Crystal A metamaterial is a man-made medium with properties which are dominated (or at least strongly influenced) by an engineered mesoscopic texture or featuring. A relatively new area of research, metamaterial systems attract attention in diverse areas of physics; not only magnetics, but also optics, acoustics, and mechanics. Of particular interest within magnetics are the sub-class of metamaterials known as artificial crystals. The defining attribute of an artificial crystal is an “artificial lattice”: a spatial periodicity in transmission properties, much like a mesoscopic version of the atomic-scale lattice of a natural crystal [140–145]. In magnonic crystals – the artificial crystal’s magnetic manifestation – magnon-lattice interactions produce a variety of interesting spectral features, including confined modes and band gaps [144, 145]. There are many ways in which magnonic crystals can be fabricated. One- and two-dimensional structures can be realized by varying the geometry of an otherwise uniform waveguide; for example its width can be modulated (Fig. 7a) [60, 146], or a spatial periodicity in its thickness can be introduced [9, 145, 147, 148]. Alternatively, alternating segments of different magnetic materials [149, 150] or coupled arrays of magnetic elements, can be assembled [51, 151–155] (Fig. 7b, c). Yet further approaches include introducing a periodic variation in the magnetic characteristics of a waveguide using two-dimensional patterning (Fig. 7d) [66, 156], ion implantation [157], metallization [158], surface acoustic waves [159, 160], or a spatially modulated magnetic field (Fig. 7e) [161, 162]. These last two techniques earn a special mention because they allow time-varying crystal structures to be produced. In particular, it has been shown that it is possible to create a magnonic crystal having an artificial lattice (and therefore band gap) which can be switched “on” and “off” on a timescale which is short compared with the time required for a spin-wave signal to propagate through it. This functionality – which is unique in the wider context of experimental artificial crystal studies – makes it possible to use the structure to realize complex spectral transformations such as time reversal [161–165].

Digital Data Processing The development of magnonic digital information processing systems is very much in its infancy. However, a number of significant experimental and theoretical studies have nonetheless been published. Logical gates based on the dynamic current-controlled magnetic mirrors described in the section “The Control and Manipulation of Magnon Currents” have been proposed [166, 167], as have those based on intrinsic nonlinear material mechanisms [168]. Several perspectives have been offered on approaches to integrated spin-wave information processing, including systems based on phase modulation [169–171]. A further topic of contemporary interest is magnon-on-magnon data processing (i.e., information systems in which

1532

A.D. Karenowska et al.

a a =1μm

1.5 μm

b

w0 =2.5 μm 0.25 μm Py

Magnetic field

wa=1μm

Microstrip antenna

2.5 μm

c

Py

Cu

1 μm

2.5 μm

d

Sample 3 Δ= 50 nm

k y

z

M x

−30

Ain(t ) As(t )

B0

Ar(t )

a

I0(t )

Transmission (dB)

e

−40

−50

−60 6300

6400

6500

6600

Frequency (MHz)

Fig. 7 Magnonic crystals can be fabricated in many ways, for example by (a) modulating the width of a waveguide (Reprinted with permission from [60]. Copyright 2009, AIP Publishing LLC), (b) arranging alternating segments of different magnetic materials (Reprinted with permission from [149]. Copyright 2009, AIP Publishing LLC), (c) patterning arrays of small magnetic elements (Reprinted with permission from [154]. Copyright (2011) by the American Physical Society), or (d) two-dimensional structuring of magnetic materials (Reprinted with permission from [66]. Copyright (2012) by the American Physical Society). (e) A further possibility is to place a waveguide in a spatially periodic magnetic field. The top panel of (e) shows a schematic diagram of a dynamic magnonic crystal realized using this technique. The crystal comprises a metallic meander structure separated from the surface of a YIG waveguide by a thin spacer [161–164]. In the absence of a current flowing through the meander structure the transmission characteristics of the system are those of a uniform YIG waveguide and the crystal is “off” (dotted blue line, righthand panel). The crystal is switched “on” by applying a current I0(t) (solid red line)

37

Magnon Spintronics

1533

magnon currents are manipulated using magnon currents); it has been demonstrated, for example, that magnon transistors operating on this principle can be realized [172].

From Magnons to Electrons and Back Again As discussed in preceding sections, one of the key attractions of magnons in the context of spintronics is their ability to transfer spin angular momentum over macroscopic distances. Magnon mean free paths typically exceed the spin-diffusion lengths in metals by several orders of magnitude, potentially giving life to information platforms which are unconstrained by the limitations of traditional spintronic technologies solely reliant on flows of itinerant spin-polarized electrons. A further and no less significant appeal is the scope to creatively exploit the intricacies of magnon dynamics for the purposes of data processing, transport, and storage. In this section, we provide a brief introduction to the family of effects which enable interconversion between spin currents carried by magnons, spin currents carried by electrons, and pure charge (i.e., conventional) currents and, in so doing, underpin the emerging field of magnon spintronics.

The Spin-Hall and Inverse Spin-Hall Effects Fundamental to the practical implementation of spintronics is the ability to manufacture spin-polarized electron currents. One means by which this can be achieved is by so-called spin injection; a technique first proposed theoretically by Aronov in 1976 [173] and verified experimentally nine years later by Johnson and Silsbee [174, 175]. Spin injection relies on the provision of an electrical contact made from a magnetized ferromagnetic metal or alloy. Owing to its strongly spin-asymmetric density of states at the Fermi level, the charge current that results when a potential difference is applied to such a contact is carried almost exclusively by electrons having a single spin polarization. This spin-polarized flow of electrons can be passed to, or injected into, an adjacent nonmagnetic conductive material; either directly, or via an insulating tunnel barrier. However, spin injection is not without disadvantages; in particular, it requires a magnetized ferromagnetic metal, and realizing efficient contacts (that is, contacts which deliver a reliably spin-polarized current) is extremely challenging [176]. An alternative approach which circumvents some of these difficulties is to capitalize on a phenomenon known as the spin-Hall effect: in paramagnets having relatively strong spin-orbit coupling (for example platinum, Pt), the trajectories of current-carrying electrons scattered from impurities have measurable spin dependence. As a result, a pure charge current (i.e., a conventional current) flowing in such a material will lead to the creation of a spin current.

1534

A.D. Karenowska et al.

Fig. 8 (a) The spin-Hall effect in a normal metal film. A charge current, driven by a voltage propagates in-plane, parallel to the z-direction. Spin-dependent scatter of the carriers leads to a spin imbalance in the y-direction. (b) In the inverse spin-Hall effect, asymmetric scatter of a spin current comprising two counter-propagating electron flows gives rise to a net charge current which can be measured as a voltage

The spin-Hall effect (SHE) was predicted by Dyakonov and Perel in 1971 [177, 178]. However, it was not until 1999 – almost three decades later – that its relevance to spintronics was recognized and highlighted by Hirsch [179]. In paramagnetic metals, the main asymmetric scattering mechanisms underpinning the spin-Hall effect are Mott scatter of carriers from impurities (often referred to as skew scattering) and another extrinsic mechanism known as side-jump (see, for example, [180] and references therein). Figure 8a illustrates the phenomenon in a thin slab of conductive material having zero magnetization (e.g., a paramagnetic metal, or a doped semiconductor). A charge current (i.e., a net flow of electrons) propagates in the plane of the film, parallel to the z-direction. Spin-dependent scatter of the carriers leads to an in-plane spin imbalance perpendicular to the direction of current flow. For both spin channels, the Fermi level therefore varies with y between EF ¼ EF , the value in the absence of current flow, and EF ¼ EF  V SHE with the maxima occurring at opposite ends of the sample (i.e., for spin-up carriers EF" ð0Þ ¼ EF  V SHE , EF" ðwÞ ¼ EF , whilst for spin-down carriers EF# ð0Þ ¼ EF , EF# ðwÞ ¼ EF  V SHE) [181]. One means by which the effect can be measured is by exploiting the reverse of the phenomenon that gives rise to it, that is, the inverse spin-Hall effect (ISHE): if the two edges of the slab are electrically connected, the spin imbalance between them drives a spin current comprising two counter-propagating electron flows equal in number but opposite in spin (Fig. 8b). The presence of asymmetry both in the direction of electron scatter and in the direction of propagation leads to the two spin channels being scattered in the same direction, thus giving rise to a net charge current which can be measured as a real voltage. The spin-Hall effect was first measured experimentally in gallium arsenide by Kato et al. in 2004 [182] and has subsequently been observed in a number of paramagnetic metals [183]. Saitoh et al. reported the first measurements of the

37

Magnon Spintronics

1535

inverse spin-Hall effect in 2006 [184] and their experiments, performed in platinum, have since been reproduced in other metals [185]. The inverse spin-Hall effect not only attracts burgeoning interest at the fundamental level, but is now firmly established as a standard technique for the measurement of spin currents [186].

The Spin-Pumping Effect In 2002, Tserkovnyak, Brataas, and Bauer showed that, in theory, magnetic precession within a ferromagnet – i.e., a magnon current – can transfer spin angular momentum across an interface with a nonmagnetic (normal) metal, thus injecting a pure spin current without charge transfer (Fig. 9a) [187]. This effect has come to be known as spin pumping. The price paid for a pumped spin current is an increase in the apparent damping in the ferromagnetic system which drives it. One way of measuring spin pumping, first demonstrated by van Wees and coworkers in 2006, is to use magnons excited in a single piece of ferromagnetic material to symentrically pump two isolated normal metal contacts having different spin-flip relaxation times. In such an arrangement, the presence of the effect is signaled by a DC voltage between the contacts, supported by their contrasting relaxation rates [188]. Alternatively, if a thin film of material having a large spin-Hall angle (for example, platinum [181]) can be deposited on top of the ferromagnet – thus Fig. 9 (a) Spin pumping is the process by which magnetic precession within a ferromagnet transfers spin angular momentum across an interface with a non-magnetic (normal) metal. The price paid for a pumped spin current is an increase in the apparent damping in the ferromagnet (Reprinted with permission from [188]. Copyright (2006) by the American Physical Society). (b) The spintransfer torque (STT) effect is the reverse of spin pumping: the creation of a precessing magnetization via a spin current (Reprinted by permission from Macmillan Publishers Ltd: Nature Materials [200], Copyright (2012))

a H

z y

m × dm dt

θ

Ispump μs

m

x Ferromagnet

Isback Iω Normal metal Spin-transfer torque

b

Field-like torque

Incident electron

Outgoing electron

1536

A.D. Karenowska et al.

forming a ferromagnet/normal metal heterostructure – detection can be performed via the inverse spin-Hall effect: spin pumping from the ferromagnet (which may be electrically conducting or insulating) results in the injection of a spin current into the normal metal, perpendicular to the plane of the interface. This spin current is, in turn, transformed into a charge current, producing a charge accumulation, and thus a measurable in-plane voltage [184]. In other words, taken in concert, the spinpumping and inverse spin-Hall effects provide the means to produce spin and charge currents directly from magnons, functionality which opens doors to a wide range of magnon spintronic devices. The interplay between spin-pumping and the inverse spin-Hall effects in ferromagnet/normal metal heterostructures is a subject of intense interest. Currently, attention is focussed on two types of ferromagnet/normal metal bilayer structure: those in which both layers are metallic (for example Py/Pt), and those in which the nonmagnetic layer is electrically insulating (for example YIG/Pt). The properties of the interface play a crucial role in determining the efficiency of the pumping process, particularly in the case of insulator/metal structures [189, 190]. In order to optimize the efficiency of the inverse spin-Hall effect in the normal metal layer (i.e., the conversion of the spin current pumped through the interface into a voltage) its thickness should be close to the spin-diffusion length. If it is much thinner than this, spin-polarized electrons are back-scattered into the magnetic layer; much thicker, and the metal into which the spin current cannot penetrate acts as a short. For Pt, the optimal thickness is thought to be close to 10 nm [191, 192], though for all-metallic bilayers some studies suggest a smaller figure, likely as a result of the magnetic proximity effect [192]. By contrast, the spin-pumping effect generally scales solely with the area of the interface, the total spin angular momentum transfer being independent on the thickness of the magnetic part of the structure. However, if the magnetic layer becomes very thin, the angular momentum which exits through the interface becomes a significant fraction of the total. As a result, the fractional increase in damping mediated by spin pumping increases with decreasing magnetic layer thicknesses [191, 193, 194]. To date, the majority of experimental studies have focussed on spin pumping by FMR and/or standing spin-wave modes [121, 184, 188–195]. However, it has nonetheless been established that magnons having a wide range of wavenumbers, including those in the dipolar-exchange and exchange regions of the spectrum, can participate in the process. Significantly, parametrically excited exchange magnons having characteristic wavelengths as small as 100 nm have been confirmed to be detectable via spin pumping/ISHE; regions of the spectrum beyond the reach of traditional microwave antenna based measurement techniques [48, 196]. Investigations suggest that the process is driven simply by a finite magnon population in the magnetic material and does not require that population to be a coherent one [48, 55, 196] Inverse spin-Hall effect based detection of spin pumping by propagating magnons has also been demonstrated; a capability which is particularly relevant to spintronic device design [56]. Figure 10a shows how such a measurement may be made. An antenna is located at one end of a YIG waveguide at the other end of

Microwave pulse

DC Oscilloscope

Input antenna

Spin wave

Pt

YIG 200 μm

8 6 4 2 0 4

AC

3 mm

b

2

+B 0

0 −2 −4

Magnetic field B0

Applied pulse

a

1537

SW intensity (arb. units)

Magnon Spintronics

ISHE voltage (μV)

37

−B 0 50 ns 0

100 200 300 400 Time t (ns)

Fig. 10 (a) Schematic diagram of an experiment to measure propagating magnon modes using ISHE based detection of spin pumping. A microwave antenna is located at one end of a YIG waveguide, at the other end is an ISHE detector in the form of a strip of platinum film. Magnons travelling from the antenna to the detector may be measured through the microwave-frequency current they excite in the Pt (top of panel (b)), and through the DC voltage they induce across it as a result of the ISHE (bottom of panel (b)). Reversing the magnetization of the film results in a flip in the sign of the DC signal

which is an ISHE detector in the form of a strip of platinum film. The arrival of magnons at the detector is signaled in two ways: through the microwave-frequency current they excite in the Pt (upper panel of Fig. 10b), and through the DC voltage they induce across it as a result of the ISHE (lower panel of Fig. 10b). Reversing the magnetization of the film results in a flip in the sign of the DC signal, a signature which confirms its origin [56, 197].

The Spin-Transfer Torque Effect As outlined in the previous section, the spin-pumping effect is the creation of a spin current by a precessing magnetization. The spin-transfer torque (STT) effect is the reverse of this process: the creation of a precessing magnetization – or magnon current – via a spin current (Fig. 9b). Spin-transfer torque was first described theoretically by Slonczewski and Berger [198–200] who predicted that the emission of magnons could be stimulated in a structure comprising two parallel ferromagnetic films separated by a thin normal metal spacer by spin angular momentum transfer from a current of spinpolarized electrons flowing through the layers. Their theory has since been extended to include systems in which the magnetic layers are electrically insulating. In this case, momentum is transferred to the electronic system of the magnet not through the direct reception of spin-polarized electrons from the metal, but rather from the reflection of these electrons from the metal/magnet interface. A detailed review of the STT theory is presented in ▶ Chap. 33, “Physical Principles of Spin Torque”, Part XI. Spin-transfer torque underpins the operation of a family of magnon spintronic devices known as spin-torque nanooscillators (STNOs), the study of which has

1538

A.D. Karenowska et al.

almost become a field of research in its own right [200]. The simplest STNO is formed from a stack comprising two metallic ferromagnetic (FM) layers separated by a thin normal metal (NM) spacer one of the FM layers is magnetically hard and magnetized, and the other is soft and has a magnetization vector that is free to rotate. A current is passed through the structure from the hard FM layer to the soft via a metallic contact. Spin-polarized by the first layer, this current exerts a torque on the magnetization of the second which, if the current density is sufficiently high, destabilizes its magnetization and causes it to precess. The precession of the free layer has a frequency close to that of the magnon mode having the lowest damping (generally a few gigahertz) and, since the stack is a giant magnetoresistive system (its DC resistance depends on the relative orientation of the magnetization of the two magnetic layers [201, 202]), can be detected via its effect on the current–voltage characteristic of the contact. The onset of oscillation of an STNO occurs when the torque provided by the spin current is sufficient to balance the Gilbert damping experienced by the lowest loss magnon mode of the free FM layer. (Actually this is almost, but not quite true. In a magnetically asymmetric stack such as the one described here, an extra torque contribution acts to pull the natural frequency of precessional motion slightly away from that which would be predicted from an analysis of the dynamics of the unloaded stack. However, in general, this is a small effect [203, 204].) For practical materials, this requires very large current densities – typically of the order of 107 A cm2 – which can only be achieved if the driving current is concentrated on a nanosized area. Two main types of STNO exist; those based around magnetic nanocontacts [205] and those formed from so-called magnetic nanopillars. In nanocontact STNOs, the free FM layer is laterally unbounded. Some very elegant experiments have confirmed that this arrangement allows magnons excited via STT to propagate away from the contact area (Fig. 11) [49, 50] and a zoology of effects observable in these devices – of interest both for their fundamental physics and their potential applications – is rapidly being complied. It has been shown, for example, that magnons traveling from device to device in arrays of such structures are capable of mediating phase-synchronization, and that confined nonlinear magnon modes with interesting geometries can form in the magnetically inhomogeneous conditions local to the contact. In nanopillar STOs both the hard and soft magnetic layers are of finite lateral extent, typically having a diameter smaller than 100 nm [200, 206]. Owing to their small dimensions, the STT effect can be sufficiently large in these structures not only to support the onset of microwave excitations of the soft layer, but to completely reverse its magnetization; this functionality has important implications for the field of current-induced magnetic switching and has given birth to the development of spin-transfer torque magnetic random access memories (STT-MRAM). Magnetic oscillations can also be driven by STT in nanopillars comprising just a single magnetic film sandwiched between two asymmetric, but nonmagnetic layers [207]. In fact, as in the case of spin pumping, the effects of STT can be observed in still less complicated structures, namely bilayers comprising a magnetic layer in

37

Magnon Spintronics

1539

a d.c current

Probing laser light

Py (5)Pt(8) disk

H

SiO2 Insulator Nanocontact

PD-Cu top electrode Pd-Cu bottom electrode Al coplanar waveguide

l = 16.3 mA

60 l = 16.5 mA

40

l = 17.0 mA

20 7

8 9 10 Frequency (GHz)

l = 17.5 mA 11

λsw (nm)

25

Probing laser light

+/ Optical window

l = 16.1 mA

80

0

Integrated intensity, J(r) (a.u.)

d.c source − +

100

Au(150) top electrodes

1μ m

b

BLS intensity (a.u.)

120

20

300 200

15 40

60 / (mA)

80

10

m-BLS measurements Calculated intensity

Hext

Co81Fe19 fixed layer Cu spacer Ni80Fe20 free layer

5 2.0

2.5

3.0

3.5

4.0

Distance from the contact r (μm)

Fig. 11 (a) Spin waves can be excited using spin-torque nano-oscillators (Reprinted by permission from Macmillan Publishers Ltd: Nature Materials [209], Copyright (2012)). (b) Brillouin light scattering spectroscopy has been used to confirm that the spin waves driven via STT from nanocontacts can be propagating (as opposed to spatially localized) in nature (Reprinted by permission from Macmillan Publishers Ltd: Nature Nanotechnology [50], Copyright (2011))

contact with a normal metal film. Here, a voltage applied in the plane of the normal metal leads to the generation of an out-of-plane spin current via the spin-Hall effect, and thus magnons in the magnetic layer via STT. Recently, this concept has been used to realize spin-Hall nano oscillators (SHNOs): a new class of spin-torque oscillator in which the driving spin currents are produced not by a ferromagnetic polarizer but through the spin-Hall effect in a paramagnetic metal [208]. More generally, when combined with the spin-pumping and inverse spin-Hall effects, this functionality potentially gives life to bilayer-based magnon spintronic devices with sophisticated functionality, controlled entirely by DC voltages [209]. In this vein, a landmark experiment was reported in 2010 in which magnons were excited at one location in a magnetic waveguide using the spin-Hall and spin-transfer torque effects, before being received at a second location as a DC voltage via the spinpumping and inverse spin-Hall effects [210]. Unfortunately however, the SHE/STT based excitation process has since proven challenging to reproduce and it has become clear the much work remains to be done to better understand both the detailed physics which underpins the mechanism, and the factors pertinent to its practical application. Similarly, STT based magnon amplification in ferrite/Pt bilayers – another important result recently reported in the experimental literature [120, 211] – is not yet well understood [121].

1540

A.D. Karenowska et al.

Conclusion This chapter has progressed from a general introduction to the physics of magnon systems to a survey of the principles and potential of the field of magnon spintronics. Whilst a new area of fundamental research activity, magnon spintronics has already proven itself to have much to offer; the subtle aspects of spin-transport physics it illuminates have fast established themselves among the most talked-about topics in contemporary magnetic dynamics. Moreover, as has been explored in the preceding, it is more and more widely acknowledged that this physics holds a rare quality of concrete technological promise. It is with consciousness that this is a journey only just begun, that we look forward to looking back on the decade to come.

References 1. Stancil DD (1993) Theory of magnetostatic waves. Springer, New York 2. Gurevich AG, Melkov GA (1996) Magnetization oscillations and waves. Press, CRC 3. Landau LD, Lifshitz E (1935) On the theory of the dispersion of magnetic permeability in ferromagnetic bodies. Phys Z Sowjet 8:153 4. Gilbert TL (2004) A phenomenological theory of damping in ferromagnetic materials. IEEE Trans Magn 40:3443 5. Heinrich B, Bland JAC (1994) Ultrathin magnetic structures II. Springer, Berlin 6. Plant JS (1977) Spin wave dispersion curves for yttrium iron garnet. J Phys Chem 10:4805 7. Geller S, Gilleo MA (1957) Structure and ferrimagnetism of yttrium and rare-earth-iron garnets. Acta Crystallogr 10:239 8. Glass HL (1988) Ferrite films for microwave and millimeter-wave devices. Proc IEEE 76:151 9. Serga AA, Chumak AV, Hillebrands B (2010) YIG magnonics. J Phys D Appl Phys 43:264002 10. Cherepanov V, Kolokolov I, L’vov V (1993) The saga of YIG: spectra, thermodynamics, interaction and relaxation of magnons in a complex magnet. Phys Rep 229:81 11. Yin LF, Wei DH, Lei N, Zhou LH, Tian CS, Dong GS, Jin XF, Guo LP, Jia QJ, Wu RQ (2006) Magnetocrystalline anisotropy in Permalloy revisited. Phys Rev Lett 97:067203 12. Kalinikos BA, Slavin AN (1986) Theory of dipole-exchange spin wave spectrum for ferromagnetic films with mixed exchange boundary conditions. J Phys C Solid State Phys 19:7013 13. Kalinikos BA, Kozhus NV, Kostylev MP, Slavin AN (1990) The dipole exchange spin wave spectrum for anisotropic ferromagnetic films with mixed exchange boundary conditions. J Phys Condens Matter 2:9861 14. Patton CE (1984) Magnetic excitations in solids. Phys Rep 103:251 15. Damon RW, Van De Vaart H (1965) Propagation of magnetostatic spin waves at microwave frequencies in a normally magnetized disk. J Appl Phys 36:3453 16. Damon RW, Eshbach JR (1961) Magnetostatic modes of a ferromagnet slab. J Phys Chem Solid 19:308 17. Eshbach JR, Damon RW (1960) Surface magnetostatic modes and surface spin waves. Phys Rev 118:1208 18. Demidov VE, Demokritov SO, Rott K, Krzystecko P, Reiss G (2008) Linear and nonlinear spin-wave dynamics in macro- and microscopic magnetic confined structures. J Phys D Appl Phys 41:164012

37

Magnon Spintronics

1541

19. Akhiezer A, Baryakhtar V, Kaganov M (1960) Spin waves in ferromagnets and antiferromagnets. Uspekhi Fiz Nauk 71:108. English translation appears in collected papers in physics (1963) 124:108 20. Safonov VL (2012) Nonequilibrium magnons: theory, experiment and applications. WileyVCH, Weinheim 21. Demokritov SO, Demidov VE, Dzyapko O, Melkov GA, Serga AA, Hillebrands B, Slavin AN (2006) Bose-Einstein condensation of quasi-equilibrium magnons at room temperature under pumping. Nature 443:430 22. Bender SA, Duine RA, Tserkovnyak Y (2012) Electronic pumping of quasiequilibrium BoseEinstein-condensed magnons. Phys Rev Lett 108:246601 23. Kalarickal SS, Krivosik P, Wu M, Patton CE, Schneider ML, Kabos P, Silva TJ, Nibarger JP (2006) Ferromagnetic resonance linewidth in metallic thin films: comparision of measurement methods. J Appl Phys 99:093909 24. Bilzer C, Devolder T, Crozat P, Chappert C, Cardoso S, Freitas PP (2007) Vector network analyzer ferromagnetic resonance of thin films on coplanar waveguides: comparison of different evaluation methods. J Appl Phys 101:074505 25. Kakazei GN, Mewes T, Wigen PE, Hammel PC, Slavin AN, Pogorelov Yu G, Costa MD, Golub VO, Guslienko KY, Novosad V (2008) Probing arrays of circular magnetic microdots by ferromagnetic resonance. J Nanosci Nanotechnol 8:2811 26. Demokritov SO, Hillebrands B, Slavin AN (2001) Brillouin light scattering studies of confined spin waves: linear and nonlinear confinement. Phys Rep 348:442 27. Serga AA, Hillebrands B, Demokritov SO, Slavin AN, Wierzbicki P, Vasyuchka V, Dzyapko O, Chumak A (2005) Parametric generation of forward and phase-conjugated spin-wave bullets in magnetic films. Phys Rev Lett 94:167202 28. Serga AA, Demokritov SO, Hillebrands B, Slavin AN (2004) Self-generation of two-dimensional spin-wave bullets. Phys Rev Lett 92:117203 29. Serga AA, Kostylev MP, Hillebrands B (2008) Formation of guided spin-wave bullets in ferrimagnetic film stripes. Phys Rev Lett 101:137204 30. Bloembergen N, Damon RW (1952) Relaxation effects in ferromagnetic resonance. Phys Rev 85:699 31. Suhl H (1957) The theory of ferromagnetic resonance at high signal power. J Phys Chem Solid 1:209 32. Schlo¨mann E, Green J, Milano V (1960) Recent development in ferromagnetic resonance at high power levels. J Appl Phys 31:386S 33. Schlo¨mann E, Joseph RI (1961) Instability of spin waves and magnetostatic modes in a microwave magnetic field applied parallel to DC field. J Appl Phys 32:1006 34. Sparks M (1964) Ferromagnetic relaxation theory. McGraw-Hill, New York 35. Dzyapko O, Kurebayashi H, Demidov VE, Evelt M, Ferguson AJ, Demokritov SO (2013) Effect of the magnetic film thickness on the enhancement of the spin current by multimagnon processes. Appl Phys Lett 102:252409 36. Agrawal M, Vasyuchka VI, Serga AA, Karenowska AD, Melkov GA, Hillebrands B (2013) Direct measurement of magnon temperature: new insight into magnon-phonon coupling in magnetic insulators. Phys Rev Lett 111:1072 37. Gurevich AG, Melkov GA (1996) Magnetization oscillations and waves. CRC Press, Boca Raton, Chap 14 38. Ganguly AK, Webb DC (1975) Microstrip excitation of magnetostatic surface waves: theory and experiment. IEEE Trans Microwave Theory Tech 23:998 39. Kalinikos BA (1980) Excitation of propagating spin waves in ferromagnetic films. IEE Proc H Microwave Opt Antennas 127:1 40. Schneider T, Serga AA, Neumann T, Hillebrands B, Kostylev MP (2008) Phase reciprocity of spin-wave excitation by a microstrip antenna. Phys Rev B 77:214411 41. Demidov VE, Kostylev MP, Rott K, Krzysteczko P, Reiss G, Demokritov SO (2009) Excitation of microwaveguide modes by a stripe antenna. Appl Phys Lett 95:112509

1542

A.D. Karenowska et al.

42. Ishak WS, Reese E, Huijer E (1985) Magnetostatic wave devices for UHF band applications. Circuits Devices Syst IEE Proc 4:285 43. Vlaminck V, Bailleul M (2010) Spin-wave transduction at the submicrometer scale: experiment and modeling. Phys Rev B 81:014425 44. Huber R, Krawczyk M, Schwarze T, Yu H, Duerr G, Albert S, Grundler D (2013) Reciprocal Damon-Eshbach-type spin wave excitation in a magnonic crystal due to tunable magnetic symmetry. Appl Phys Lett 102:012403 45. Au Y, Ahmad E, Dmytriiev O, Dvornik M, Davison T, Kruglyak VV (2012) Resonant microwave-to-spin-wave transducer. Appl Phys Lett 100:182404 46. Au Y, Dvornik M, Davison T, Ahmad E, Keatley PS, Vansteenkiste A, Van Waeyenberge B, Kruglyak VV (2013) Direct excitation of propagating spin waves by focused ultrashort optical pulses. Phys Rev Lett 110:097201 47. Lenk B, Ulrichs H, M€ unzenberg M (2011) The building blocks of magnonics. Phys Rep 507:107 48. Sandweg CW, Kajiwara Y, Chumak AV, Serga AA, Vasyuchka VI, Jungfleisch MB, Saitoh E, Hillebrands B (2011) Spin pumping by parametrically excited exchange magnons. Phys Rev Lett 106:216601 49. Demidov VE, Urazhdin S, Demokritov SO (2010) Direct observation and mapping of spin waves emitted by spin-torque nano-oscillators. Nat Mater 9:984 50. Madami M, Bonetti S, Consolo G, Tacchi S, Carlotti G, Gubbiotti G, Mancoff FB, Yar MA, ˚ kerman J (2011) Direct observation of a propagating spin wave induced by spin-transfer A torque. Nat Nanotechnol 6:635 51. Neusser S, Bauer HG, Duerr G, Huber R, Mamica S, Woltersdorf G, Krawczyk M, Back CH, Grundler D (2011) Tunable metamaterial response of a Ni80Fe20 antidot lattice for spin waves. Phys Rev B 84:184411 52. Park JP, Eames P, Engebretson DM, Berezovsky J, Crowell PA (2002) Spatially resolved dynamics of localized spin-wave modes in ferromagnetic wires. Phys Rev Lett 89:277201 53. Zhang Z, Hammel PC, Wigen PE (1996) Observation of ferromagnetic resonance in a microscopic sample using magnetic resonance force microscopy. Appl Phys Lett 68:2005 54. Klein O, Loubens G, Naletov VV, Boust F, Guillet T, Hurdequint H, Leksikov A, Slavin AN, Tiberkevich VS, Vukadinovic N (2008) Ferromagnetic resonance force spectroscopy of individual submicron-size samples. Phys Rev B 78:144410 55. Kurebayashi H, Dzyapko O, Demidov VE, Fang D, Ferguson AJ, Demokritov SO (2011) Spin pumping by parametrically excited short-wavelength spin waves. Appl Phys Lett 99:162502 56. Chumak AV, Serga AA, Jungfleisch MB, Neb R, Bozhko DA, Tiberkevich VS, Hillebrands B (2012) Direct detection of magnon spin transport by the inverse spin Hall effect. Appl Phys Lett 100:082405 57. Demidov VE, Demokritov SO, Rott K, Krzysteczko P, Reiss G (2008) Mode interference and periodic self-focusing of spin waves in permalloy mi-crostripes. Phys Rev B 77:064406 58. Demidov VE, Kostylev MP, Rott K, Krzysteczko P, Reiss G, Demokritov SO (2011) Generation of the second harmonic by spin waves propagating in microscopic stripes. Phys Rev B 83:054408 59. Clausen P, Vogt K, Schultheiss H, Scha¨fer A, Obry B, Wolf G, Pirro P, Leven B, Hillebrands B (2011) Mode conversion by symmetry breaking of propagating spin waves. Appl Phys Lett 99:162505 60. Chumak AV, Pirro P, Serga AA, Kostylev MP, Stamps RL, Schultheiss H, Vogt K, Hermsdoerfer SJ, Laegel B, Beck PA, Hillebrands B (2009) Spin-wave propagation in a microstructured magnonic crystal. Appl Phys Lett 95:262508 61. Mathieu C, Jorzick J, Frank A, Demokritov SO, Hillebrands B, Slavin AN, Bartenlian B, Chappert C, Decanini D, Rousseaux F, Cambril E (1998) Lateral quantization of spin waves in micron size magnetic wires. Phys Rev Lett 81:3968

37

Magnon Spintronics

1543

62. Perzlmaier K, Buess M, Back CH, Demidov VE, Hillebrands B, Demokritov SO (2005) Spinwave eigenmodes of permalloy squares with closure domain structure. Phys Rev Lett 94:057202 63. Schultheiss H, Scha¨fer S, Candeloro P, Leven B, Hillebrands B, Slavin AN (2008) Observation of coherence and partial decoherence of quantized spin waves in nano-scaled magnetic ring structures. Phys Rev Lett 100:047204 64. See also the cluster issue on magnonics (2010) J Phys D Appl Phys 43 65. Demidov VE, Demokritov SO, Rott K, Krzysteczko P, Reiss G (2007) Self-focusing of spin waves in Permalloy microstripes. Appl Phys Lett 91:252504 66. Schwarze T, Huber R, Duerr G, Grundler D (2012) Complete band gaps for magnetostatic forward volume waves in a two-dimensional magnonic crystal. Phys Rev B 85:134448 67. Tkachenko VS, Kuchko AN, Dvornik M, Kruglyak VV (2012) Propagation and scattering of spin waves in curved magnonic waveguides. Appl Phys Lett 101:152402 68. Bra¨cher T, Pirro P, Westermann J, Sebastian T, La¨gel B, Van de Wiele B, Vansteenkiste A, Hillebrands B (2013) Generation of propagating backward volume spin waves by phase-sensitive mode conversion in two-dimensional microstructures. Appl Phys Lett 102:132411 69. Vogt K, Schultheiss H, Jain S, Pearson JE, Hoffmann A, Bader SD, Hillebrands B (2012) Spin waves turning a corner. Appl Phys Lett 101:042410 70. Oogane M, Wakitani T, Yakata S, Yilgin R, Ando Y, Sakuma A, Miyazaki T (2006) Magnetic damping in ferromagnetic thin films. Jpn J Appl Phys 45:3889 71. Ikeda S, Hayakawa J, Ashizawa Y, Lee YM, Miura K, Hasegawa H, Tsunoda M, Matsukura F, Ohno H (2008) Tunnel magnetoresistance of 604 % at 300 K by suppression of Ta diffusion in CoFeB/MgO/CoFeB pseudo-spin-valves annealed at high temperature. Appl Phys Lett 93:082508 72. Conca A, Greser J, Sebastian T, Klingler S, Obry B, Leven B, Hillebrands B (2013) Low spinwave damping in amorphous Co40Fe40B20 thin films. J Appl Phys 113:213909 ¨ ber die Synthese ferromagnetischer Manganlegierungen. Verhandlungen 73. Heusler F (1903) U der Deutschen Physikalischen Gesellschaft 5:217 74. de Groot RA, Mueller FM, van Engen PG, Buschow KHJ (1983) New class of materials: halfmetallic ferromagnets. Phys Rev Lett 50:2024 75. Trudel S, Gaier O, Hamrle J, Hillebrands B (2010) Magnetic anisotropy, exchange and damping in cobalt-based full-Heusler compounds: an experimental review. J Phys D Appl Phys 43:193001 76. Shan R, Sukegawa H, Wang WH, Kodzuka M, Furubayashi T, Ohkubo T, Mitani S, Inomata K, Hono K (2009) Demonstration of half-metallicity in Fermi-level-tuned Heusler alloy Co2FeAl0.5Si0.5 at room temperature. Phys Rev Lett 102:246601 77. Sakuraba Y, Hattori M, Oogane M, Ando Y, Kato H, Sakuma A, Miyazaki T, Kubota H (2006) Giant tunneling magnetoresistance in Co2MnSi/Al–O/Co2MnSi magnetic tunnel junctions. Appl Phys Lett 88:192508 78. Kubota T, Tsunegi S, Oogane M, Mizukami S, Miyazaki T, Naganuma H, Ando Y (2009) Half-metallicity and Gilbert damping constant in Co2FexMn1xSi Heusler alloys depending on the film composition. Appl Phys Lett 94:122504 79. Oogane M, Yilgin R, Shinano M, Yakata S, Sakuraba Y, Ando Y, Miyazaki T (2007) Magnetic damping constant of Co2FeSi Heusler alloy thin film. J Appl Phys 101:09J501 80. Yilgin R, Oogane M, Ando Y, Miyazaki T (2007) Gilbert damping constant in polycrystalline Co2MnSi Heusler alloy films. J Magn Magn Mater 310:2332 81. Yilgin R, Oogane M, Yakata S, Ando Y, Miyazaki T (2005) Intrinsic Gilbert damping constant in Co2MnAl Heusler alloy films. IEEE Trans Magn 41:2799 82. Mizukami S, Watanabe D, Oogane M, Ando Y, Miura Y, Shirai M, Miyazaki T (2009) Low damping constant for Co2FeAl Heusler alloy films and its correlation with density of states. J Appl Phys 105:07D306

1544

A.D. Karenowska et al.

83. Sebastian T, Ohdaira Y, Kubota T, Pirro P, Bra¨cher T, Vogt K, Serga AA, Naganuma H, Oogane M, Ando Y, Hillebrands B (2012) Low-damping spin-wave propagation in a microstructured Co2Mn0.6Fe0.4Si Heusler waveguide. Appl Phys Lett 100:112402 84. Schlo¨mann E (1963) Generation of spin waves in nonuniform DC magnetic fields. I. Conversion of electromagnetic power into spinwave power and vice versa. J Appl Phys 35:159 85. Schlo¨mann E, Joseph RI (1963) Generation of spin waves in nonuniform DC magnetic fields. II. Calculation of the coupling length. J Appl Phys 35:167 86. Schneider T, Serga AA, Chumak AV, Hillebrands B, Stamps RL, Kostylev MP (2010) Spinwave tunnelling through a mechanical gap. Europhys Lett 90:27003 87. Stamps RL, Camley RE, Hillebrands B, G€ untherodt G (1993) Spin-wave propagation on imperfect ultrathin ferromagnetic films. Phys Rev B 47:5072 88. Kostylev M, Serga A, Schneider T, Neumann T, Leven B, Hillebrands B, Stamps R (2007) Resonant and nonresonant scattering of dipole-dominated spin waves from a region of inhomogeneous magnetic field in a ferromagnetic field. Phys Rev B 76:184419 89. Demokritov SO, Serga AA, Andre´ A, Demidov VE, Kostylev MP, Hillebrands B (2004) Tunneling of dipolar spin waves through a region of inhomogeneous magnetic field. Phys Rev Lett 93:047201 90. Serga AA, Neumann T, Chumak AV, Hillebrands B (2009) Generation of spin-wave pulse trains by current-controlled magnetic mirrors. Appl Phys Lett 94:112501 91. Ustinov AB, Kolkov PI, Nikitin AA, Kalinikos BA, Fetisov YK, Srinivasan G (2011) Ferrite-ferroelectric phase shifters controlled by electric and magnetic fields. Tech Phys 56:821 92. Fetisov YK, Srinivasan G (2006) Electric field tuning characteristics of a ferrite-piezoelectric microwave resonator. Appl Phys Lett 88:143503 93. Rovillain P, de Sousa R, Gallais Y, Sacuto A, Measson MA, Colson D, Forget A, Bibes M, Barthelemy A, Cazayous M (2010) Electric-field control of spin waves at room temperature in multiferroic BiFeO3. Nat Mater 9:975 94. Nozaki T, Shiota Y, Miwa S, Murakami S, Bonell F, Ishibashi S, Kubota H, Yakushiji K, Saruya T, Fukushima A, Yuasa S, Shinjo T, Suzuki Y (2012) Electric-field-induced ferromagnetic resonance excitation in an ultrathin ferromagnetic metal layer. Nat Phys 8:491 95. Bauer GEW, Saitoh E, van Wees BJ (2012) Spin caloritronics. Nat Mater 11:391 96. Obry B, Vasyuchka VI, Chumak AV, Serga AA, Hillebrands B (2012) Spin-wave propagation and transformation in a thermal gradient. Appl Phys Lett 101:192406 97. Kolokoltsev O, Qureshi N, Mejia-Uriarte E, Ordonez-Romero CL (2012) Hot spin-wave resonators and scatterers. J Appl Phys 112:013902 98. Adam JD, Collins JH (1976) Microwave magnetostatic delay devices on epitaxial yttrium iron garnet. Proc IEEE 64:794 99. Ishak WS (1988) Magnetostatic wave technology: a review. Proc IEEE 76:171 100. Owens JM, Collins JH, Carter RL (1985) System applications of magnetostatic wave devices. Circuits Dev Syst IEE Proc 4:317 101. Adam JD (1988) Analog signal processing with microwave magnetics. Proc IEEE 76:159 102. Wu M, Kalinikos BA, Carr LD, Patton CE (2006) Observation of spin-wave soliton fractals in magnetic film active feedback rings. Phys Rev Lett 96:187202 103. Demokritov SO, Serga AA, Demidov VE, Hillebrands B, Kostylev MP, Kalinikos BA (2003) Experimental observation of symmetry-breaking nonlinear modes in an active ring. Nature 426:159 104. Karenowska AD, Chumak AV, Serga AA, Gregg JF, Hillebrands B (2010) Magnonic crystal based forced dominant wavenumber selection in a spin-wave active ring. Appl Phys Lett 96:082505 105. Lock EH (2008) The properties of isofrequency dependences and the laws of geometrical optics. Uspekhi Fizicheskih Nauk 178:397

37

Magnon Spintronics

1545

106. Schneider T, Serga AA, Chumak AV, Sandweg CV, Trudel S, Wolff S, Kostylev MP, Tiberkevich VS, Slavin AN, Hillebrands B (2010) Nondiffractive subwavelength wave beams in a medium with externally controlled anisotropy. Phys Rev Lett 104:197203 107. Demidov VE, Demokritov SO, Birt D, O’Gorman B, Tsoi M, Li X (2009) Radiation of spin waves from the open end of a microscopic magnetic-film waveguide. Phys Rev B 80:014459 108. Kalinikos BA, Kovshikov NG, Slavin AN (1990) Experimental-observation of magnetostatic wave envelope solitons in yttrium-iron-garnet films. Phys Rev B 42:8658 109. Bagada AV, Melkov GA, Serga AA, Slavin AN (1997) Parametric interaction of dipolar spin wave solitons with localized electromagnetic pumping. Phys Rev Lett 79:2137 110. Kalinikos BA, Kovshikov NG, Patton CE (1998) Self-generation of microwave magnetic envelope soliton trains in Yttrium Iron Garnet thin fims. Phys Rev Lett 80:4301 111. Balinskii MG, Danilov VV, Nechiporuk AY (1993) Experimental investigation of the quantum amplification effect for magnetostatic waves in ferrite-paramagnet structures. Zh Techn Fiz 63:122 112. Danilov VV, Nechiporuk AY (2002) Experimental investigation of the quantum amplification effect for magnetostatic waves in ferrite-paramagnet structures. Tech Phys Lett 28:369 113. Schlo¨mann E (1969) Amplification of magnetostatic surface waves by interaction with drifting charge carriers in crossed electric and magnetic fields. J Appl Phys 40:1422 114. Bini M, Filetti PL, Millanta L, Rubino N (1978) Amplification of surface magnetic waves in transversely magnetized ferrite slabs. J Appl Phys 49:3554 115. Chang NS, Yamada S, Matsuo Y (1976) Amplification of magnetostatic surface waves in a layered structure consisting of metals, dielectrics, a semiconductor, and YIG. J Appl Phys 47:385 116. Ando K, Takahashi S, Harii K, Sasage K, Ieda J, Maekawa S, Saitoh E (2008) Electric manipulation of spin relaxation using the spin Hall effect. Phys Rev Lett 101:03660 117. Seo S-M, Lee K-J, Yang H, Ono T (2009) Current-induced control of spin-wave attenuation. Phys Rev Lett 102:147202 118. Demidov VE, Urazhdin S, Edwards ERJ, Demokritov SO (2011) Wide-range control of ferromagnetic resonance by spin Hall effect. Appl Phys Lett 99:172501 119. Lu L, Sun YY, Jantz M, Wu MZ (2012) Control of ferromagnetic relaxation in magnetic thin films through thermally induced interfacial spin transfer. Phys Rev Lett 108:257202 120. Wang ZH, Sun YY, Wu MZ, Tiberkevich V, Slavin A (2011) Control of spin waves in a thin film ferromagnetic insulator through interfacial spin scattering. Phys Rev Lett 107:146602 121. Hahn C, de Loubens G, Klein O, Viret M, Naletov VV, Ben Youssef J (2013) Comparative measurements of inverse spin Hall effects and magnetoresistance in YIG/Pt and YIG/Ta. Phys Rev B 87:174417 122. Melkov GA, Serga AA, Slavin AN, Tiberkevich VS, Oleinik AN, Bagada AV (1999) Parametric interaction of magnetostatic waves with a nonstationary local pump. J Exp Theor Phys 89:1189 123. Chumak AV, Serga AA, Melkov GA, Tiberkevich V, Slavin AN, Hillebrands B (2009) Parametrically-stimulated recovery of a microwave signal using standing spin-wave modes of a magnetic film. Phys Rev B 79:014405 124. Melkov GA, Serga AA, Tiberkevich VS, Kobljanskij Yu V, Slavin AN (2001) Nonadiabatic interaction of a propagating wave packet with localized parametric pumping. Phys Rev E Stat Nonlin Soft Matter Phys 63:066607 125. Serga AA, Kostylev MP, Kalinikos BA, Demokritov SO, Hillebrands B, Benner H (2006) Parametric generation of soliton like spin-wave pulses in ring resonators based on ferromagnetic films. J Exp Theor Phys 102:497 126. Hagerstrom AM, Tong W, Wu M, Kalinikos BA, Eykholt R (2009) Excitation of chaotic spin waves in magnetic film feedback rings through three-wave nonlinear interactions. Phys Rev Lett 102:207202 127. Wu M, Hagerstrom AM, Kondrashov A, Kalinikos B (2009) Excitation of chaotic spin waves through modulational instability. Phys Rev Lett 102:237203

1546

A.D. Karenowska et al.

128. Melkov GA, Serga AA, Tiberkevich VS, Oliynyk AN, Slavin AN (2000) Wave front reversal of a dipolar spin wave pulse in a nonstationary three-wave parametric interaction. Phys Rev Lett 84:3438 129. Korpel A, Chatterjee M (1981) Nonlinear echoes, phase conjugation, time reversal, and electronic holography. Proc IEEE 69:1539 130. Kaplan DE, Hill RM, Hermann GF (1969) Amplified ferrimagnetic echoes. J Appl Phys 40:1164 131. Danilov VV, Tychinskii AV, Sugakov VI (1973) On the nature of the amplified spin echo in garnets. Russ Phys J 16:316 132. How H, Vittoria C (1991) Theory of amplified ferrimagnetic echoes. Phys Rev Lett 66:1626 133. Melkov GA, Dzyapko AD, Chumak AV, Slavin AN (2004) Two-magnon relaxation reversal in ferrite spheres. JETP Lett 99:1193 134. Melkov GA, Vasyuchka VI, Kobljanskyj Yu V, Slavin AN (2004) Wavefront reversal in a medium with inhomogeneities and an anisotropic wave spectrum. Phys Rev B 70:224407 135. Melkov GA, Vasyuchka VI, Chumak AV, Slavin AN (2005) Double-wavefront reversal of dipole-exchange spin waves in yttrium-iron garnet films. J Appl Phys 98:074908 136. Smith KR, Vasyuchka VI, Wu M, Melkov GA, Patton CE (2007) Cloning and trapping of magnetostatic spin-wave pulses by parametric pumping. Phys Rev B 76:054412 137. Serga AA, Chumak AV, Andre´ A, Melkov GA, Slavin AN, Demokritov SO, Hillebrands B (2007) Parametrically stimulated recovery of a microwave signal stored in standing spinwave modes of a magnetic film. Phys Rev Lett 99:227202 138. Melkov GA, Kobljanskyj Yu V, Serga AA, Slavin AN, Tiberkevich VS (2001) Reversal of momentum relaxation. Phys Rev Lett 86:4918 139. Chumak AV, Vasyuchka VI, Serga AA, Kostylev MP, Tiberkevich VS, Hillebrands B (2012) Storage-recovery phenomenon in magnonic crystal. Phys Rev Lett 108:257207 140. Joannopoulos JD, Villeneuve PR, Fan S (1997) Photonic crystals: putting a new twist on light. Nature 386:143 141. Yang S, Page JH, Liu Z, Cowan ML, Chan CT, Sheng P (2004) Focusing of sound in a 3D phononic crystal. Phys Rev Lett 93:024301 142. Baba T (2008) Slow light in photonic crystals. Nat Photonics 2:465 143. Croe¨nne C, Manga ED, Morvan B, Tinel A, Dubus B, Vasseur J, Hladky-Hennion A-C (2011) Negative refraction of longitudinal waves in a two-dimensional solid-solid phononic crystal. Phys Rev B 83:054301 144. Gulyaev Yu V, Nikitov SA (2001) Magnonic crystals and spin waves in periodic structures. Dokl Phys 46:687 145. Chumak AV, Serga AA, Hillebrands B, Kostylev MP (2008) Scattering of backward spin waves in a one-dimensional magnonic crystal. Appl Phys Lett 93:022508 146. Lee K-S, Han D-S, Kim S-K (2009) Physical origin and generic control of magnonic band gaps of dipole-exchange spin waves in width-modulated nanostrip waveguides. Phys Rev Lett 102:127202 147. Beginin EN, Filimonov YA, Pavlov ES, Vysotskii SL, Nikitov SA (2012) Bragg resonances of magnetostatic surface spin waves in a layered structure: magnonic crystal-dielectric-metal. Appl Phys Lett 100:252412 148. Ustinov AB, Drozdovskii AV, Kalinikos BA (2010) Multifunctional nonlinear magnonic devices for microwave signal processing. Appl Phys Lett 96:142513 149. Wang ZK, Zhang VL, Lim HS, Ng SC, Kuok MH, Jain S, Adeyeye AO (2009) Observation of frequency band gaps in a one-dimensional nanostructured magnonic crystal. Appl Phys Lett 94:083112 150. Tacchi S, Duerr G, Klos JW, Madami M, Neusser S, Gubbiotti G, Carlotti G, Krawczyk M, Grundler D (2012) Forbidden band gaps in the spin-wave spectrum of a two-dimensional bicomponent magnonic crystal. Phys Rev Lett 109:137202 151. Gubbiotti G, Tacchi S, Madami M, Carlotti G, Adeyeye AO, Kostylev M (2010) Brillouin light scattering studies of planar metallic magnonic crystals. J Phys D Appl Phys 43:264003

37

Magnon Spintronics

1547

152. Kruglyak VV, Hicken RJ, Kuchko AN, Gorobets VY (2005) Spin waves in a periodically layered magnetic nanowire. J Appl Phys 98:014304 153. Gubbiotti G, Tacchi S, Carlotti G, Singh N, Goolaup S, Adeyeye AO, Kostylev M (2007) Collective spin modes in monodimensional magnonic crystals consisting of dipolarly coupled nanowires. Appl Phys Lett 90:092503 154. Zivieri R, Montoncello F, Giovannini L, Nizzoli F, Tacchi S, Madami M, Gubbiotti G, Carlotti G, Adeyeye AO (2011) Collective spin modes in chains of dipolarly interacting rectangular magnetic dots. Phys Rev B 83:054431 155. Krawczyk M, Puszkarski H (2008) Plane-wave theory of three-dimensional magnonic crystals. Phys Rev B 77:054437 156. Neusser S, Grundler D (2009) Magnonics: spin waves on the nanoscale. Adv Mater 21:2927 157. Obry B, Meyer T, Pirro P, Bra¨cher T, Lagel B, Osten J, Strache T, Fassbender J, Hillebrands B (2013) Microscopic magnetic structuring of a spin-wave waveguide by ion implantation in a Ni81Fe19 layer. Appl Phys Lett 102:022409 158. Ustinov AB, Grigoryeva NY, Kalinikos BA (2008) Observation of spin-wave envelope solitons in periodic magnetic film structures. JETP Lett 88:31 159. Chumak AV, Dhagat P, Jander A, Serga AA, Hillebrands B (2010) Reverse Doppler effect of magnons with negative group velocity scattered from a moving Bragg grating. Phys Rev B 81:140404(R) 160. Kryshtal RG, Medved AV (2012) Surface acoustic wave in yttrium iron garnet as tunable magnonic crystals for sensors and signal processing applications. Appl Phys Lett 100:192410 161. Chumak AV, Neumann T, Serga AA, Hillebrands B, Kostylev MP (2009) A currentcontrolled, dynamic magnonic crystal. J Phys D Appl Phys 42:205005 162. Chumak AV, Karenowska AD, Serga AA, Hillebrands B (2012) The dynamic magnonic crystal: new horizons in artificial crystal based signal processing. In: Demokritov SO, Slavin AN (eds) Topics in applied physics, vol 125. Springer, New York 163. Chumak AV, Tiberkevich VS, Karenowska AD, Serga AA, Gregg JF, Slavin AN, Hillebrands B (2010) All-linear time reversal by a dynamic magnonic crystal. Nat Commun 1:141 164. Karenowska AD, Tiberkevich VS, Chumak AV, Serga AA, Gregg JF, Slavin AN, Hillebrands B (2012) Oscillatory energy exchange between waves coupled by a dynamic artificial crystal. Phys Rev Lett 108:015505 165. Sivan Y, Pendry JB (2011) Broadband time-reversal of optical pulses using a switchable photonic-crystal mirror. Opt Express 19:14502 166. Kostylev MP, Serga AA, Schneider T, Leven B, Hillebrands B (2005) Spin-wave logical gates. Appl Phys Lett 87:153501 167. Schneider T, Serga AA, Leven B, Hillebrands B, Stamps RL, Kostylev MP (2008) Realization of spin-wave logic gates. Appl Phys Lett 92:022505 168. Hansen UH, Demidov VE, Demokritov SO (2009) Dual-function phase shifter for spin-wave logic applications. Appl Phys Lett 94:252502 169. Hertel R, Wulfhekel W, Kirschner J (2004) Domain-wall induced phase shifts in spin waves. Phys Rev Lett 93:257202 170. Khitun A, Bao M, Wang KL (2008) Spin wave magnetic nanofabric: a new approach to spinbased logic circuitry. IEEE Trans Magn 44:2141 171. Khitun A, Bao MQ, Wang KL (2010) Magnonic logic circuits. J Phys D Appl Phys 43:264005 172. Chumak AV, Serga AA, Hillebrands B (2014) Magnon transistors for all-magnon data processing. Nat Commun 5:4700 173. Aronov AG (1976) Spin injection and polarization of excitations and nuclei in superconductors. Ah Eksp Teor Fiz 71:371 174. Johnson M, Silsbee RH (1985) Interfacial charge-spin coupling: injection and detection of spin magnetization in metals. Phys Rev Lett 55:1790 175. Taniyama T, Wada E, Itoh M, Yamaguchi M (2011) Electrical and optical spin injection in ferromagnet/semiconductor heterostructures. NPG Asia Mater 3:65

1548

A.D. Karenowska et al.

176. van Roy W, van Dorpe P, Motsnyi V, Liu Z, Borghs G, de Boeck J (2004) Spin-injection in semiconductors: materials challenges and device aspects. Phys Status Solidi B 241:1470 177. Dyakonov MI, Perel VI (1971) Current-induced spin orientation of electrons in semiconductors. Phys Lett A 35:459 178. Dyakonov MI, Perel VI (1971) Possibility of orienting electron spins with current. JETP Lett 13:467 179. Hirsch JE (1999) Spin Hall effect. Phys Rev Lett 83:1834 180. Rashba EI (2008) Side jump contribution to spin-orbit mediated Hall effects and Berry curvature. Semiconductors 42:905 181. Mosendz O, Pearson JE, Fradin FY, Bauer GEW, Bader SD, Hoffmann A (2010) Quantifying spin Hall angles from spin pumping: experiments and theory. Phys Rev Lett 104:046601 182. Kato YK (2004) Observation of the spin Hall effect in semiconductors. Science 306:1105514 183. Valenzuela SO, Tinkham M (2006) Direct electronic measurement of the spin Hall effect. Nature 442:176 184. Saitoh E, Ueda M, Miyajima H, Tatara G (2006) Conversion of spin current into charge current at room temperature: inverse spin-Hall effect. Appl Phys Lett 88:182509 185. Ando K, Kajiwara Y, Sasage K, Uchida K, Saitoh E (2010) Inverse spin-Hall effect induced by spin pumping in various metals. IEEE Trans Magn 46:1331 186. Jungwirth T, Wunderlich J, Olejnik K (2012) Spin Hall effect devices. Nat Mater 11:382 187. Tserkovnyak Y, Brataas A, Bauer GEW (2002) Enhanced Gilbert damping in thin ferromagnetic films. Phys Rev Lett 88:117601 188. Costache MV, Sladkov M, Watts SM, van der Wal CH, van Wees BJ (2006) Electrical detection of spin pumping due to the precessing magnetization of a single ferromagnet. Phys Rev Lett 97:216603 189. Burrowes C, Heinrich B, Kardasz B, Montoya EA, Girt E, Sun Y, Song Y-Y, Wu M (2012) Enhanced spin pumping at yttrium iron garnet/Au interfaces. Appl Phys Lett 100:092403 190. Jungfleisch MB, Lauer V, Neb R, Chumak AV, Hillebrands B (2013) Improvement of the yttrium iron garnet/platinum interface for spin pumping-based applications. Appl Phys Lett 103:022411 191. Nakayama H, Ando K, Harii K, Yoshino T, Takahashi R, Kajiwara Y, Uchida K, Fujikawa Y, Saitoh E (2012) Geometry dependence on inverse spin Hall effect induced by spin pumping in Ni81Fe19/Pt films. Phys Rev B 85:144408 192. Ulrichs H, Demidov VE, Demokritov SO, Lim WL, Melander J, Ebrahim-Zadeh N, Urazhdin S (2013) Optimization of Pt-based spin-Hall-effect spintronic devices. Appl Phys Lett 102:132402 193. Castel V, Vlietstra N, Ben Youssef J, van Wees BJ (2012) Platinum thickness dependence of the inverse spin-Hall voltage from spin pumping in a hybrid yttrium iron garnet/platinum system. Appl Phys Lett 101:132414 194. Castel V, Vlietstra N, Ben Youssef J, and van Wees BJ (2014) YIG thickness and frequency dependence of the spin-charge current conversion in YIG/Pt systems. Phys Rev B 90:214434 195. Jungfleisch MB, Chumak AV, Kehlberger A, Lauer V, Kim DH, Onbasli MC, Ross CA, Kla¨ui M, and Hillebrands B (2015) Thickness and power dependence of the spin-pumping effect in Y3Fe5O12/Pt heterostructures measured by the inverse spin Hall effect. Phys Rev B 91:134407 196. Jungfleisch MB, Chumak AV, Vasyuchka VI, Serga AA, Obry B, Schultheiss H, Beck PA, Karenowska AD, Saitoh E, Hillebrands B (2011) Temporal evolution of inverse spin Hall effect voltage in a magnetic insulator-nonmagnetic metal structure. Appl Phys Lett 99:182512 197. d’Allivy Kelly O, Anane A, Bernard R, Ben Youssef J, Hahn C, Molpeceres AH, Carretero C, Jacquet E, Deranlot C, Bortolotti P, Lebourgeois R, Mage J-C, de Loubens G, Klein O, Cros V, Fert A (2013) Inverse spin Hall effect in nanometer-thick yttrium iron garnet/Pt system. Appl Phys Lett 103:082408

37

Magnon Spintronics

1549

198. Slonczewski JC (1995) Current-driven excitation of magnetic multilayers. J Magn Magn Mater 159:L1–L7 199. Berger L (1996) Emission of spin waves by a magnetic multilayer traversed by a current. Phys Rev B 54:9353 200. Brataas A, Kent AD, Ohno H (2012) Current-induced torques in magnetic materials. Nat Mater 11:372 201. Fert A (2008) Nobel lecture: origin, development, and future of spintronics. Rev Mod Phys 80:1517 202. Gr€unberg PA (2008) Nobel lecture: from spin waves to giant magnetoresistance. Rev Mod Phys 80:1531 ¨ zyilmaz B, Chen W, Kent AD, Sun JZ, Rooks MJ, Koch RH (2004) Current203. Zimmler MA, O induced effective magnetic fields in Co/Cu/Co nanopillars. Phys Rev B 70:184438 204. Xia K, Kelly PJ, Bauer GEW, Brataas A, Turek I (2002) Spin torques in ferromagnetic/ normal-metal structures. Phys Rev B 65:220401(R) 205. Schultheiss H, Janssens X, van Kampen M, Ciubotaru F, Hermsdoerfer SJ, Obry B, Laraoui A, Serga AA, Lagae L, Slavin AN, Leven B, Hillebrands B (2009) Direct current control of three magnon scattering processes in spin-valve nanocontacts. Phys Rev Lett 103:157202 206. Katine JA, Albert FJ, Buhrman RA, Myers EB, Ralph DC (2000) Current-driven magnetization reversal and spin-wave excitations in Co /Cu /Co Pillars. Phys Rev Lett 84:3149 ¨ zyilmaz B, Kent AD, Sun JZ, Rooks MJ, Koch RH (2004) Current-induced excitations in 207. O single cobalt ferromagnetic layer nanopillars. Phys Rev Lett 93:176604 208. Liu RH, Lim WL, Urazhdin S (2013) Spectral characteristics of the microwave emission by the spin Hall nano-oscillator. Phys Rev Lett 110:147601 209. Demidov VE, Urazhdin S, Ulrichs H, Tiberkevich V, Slavin A, Baither D, Schmitz G, Demokritov SO (2012) Magnetic nano-oscillator driven by pure spin current. Nat Mater 11:1028 210. Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Mizuguchi M, Umezawa H, Kawai H, Ando K, Takanashi K, Maekawa S, Saitoh E (2010) Transmission of electrical signals by spin-wave interconversion in a magnetic insulator. Nature 464:262 211. Wang ZH, Sun YY, Song YY, Wu MZ, Schultheiss H, Pearson JE, Hoffmann A (2011) Electric control of magnetization relaxation in thin film magnetic insulators. Appl Phys Lett 99:162511

Part XIII Devices and Applications: Thermal Effect in Spintronics

Thermal Effects in Spintronics: Physics and Applications

38

Hiroto Adachi and Sadamichi Maekawa

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Seebeck Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Spin Injection by Localized Spins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnon-Driven Spin Seebeck Effect and the Concept of Effective Temperature . . . . . . . Phonon-Drag Spin Seebeck Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Longitudinal Spin Seebeck Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Thermal Spin Effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Injection Due to the Spin-Dependent Seebeck Effect and the Reciprocal Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Seebeck Effect in Magnetic Tunnel Junctions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnon-Drag Thermopile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Spin-Transfer Torque . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermally Driven Spin-Wave Amplification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Anomalous Nernst Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Thermal Hall Effect of Phonons and Magnons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1554 1555 1555 1559 1563 1566 1568 1568 1570 1570 1571 1571 1571 1572 1573 1573

Abstract

Recent progress in investigation of the interplay of spin and heat is reviewed. A special emphasis is placed on the newly discovered example of the thermospin phenomenon termed “spin Seebeck effect” which enables the thermal injection

H. Adachi (*) • S. Maekawa Advanced Science Research Center (ASRC), Japan Atomic Energy Agency (JAEA), Tokai, Japan CREST, Japan Science and Technology Agency, Tokyo, Japan e-mail: [email protected]; [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_54

1553

1554

H. Adachi and S. Maekawa

of spin currents from a ferromagnet into attached nonmagnetic metals over a macroscopic scale of several millimeters. The theoretical basis for understanding the spin Seebeck effect is presented, and other thermal spin effects are briefly discussed as well.

Introduction Investigation of the interplay of spin and heat has a long history. One example can be observed in the thermoelectric phenomena in Kondo systems [1, 2], where the Seebeck coefficient is found to be strongly enhanced [3]. Because the Seebeck coefficient is a measure of the entropy flow in electron systems [4], the larger the internal entropy of a system, the larger its Seebeck coefficient. Recall that the precursor to the low-temperature Kondo singlet formation accompanies a strong hybridization of the localized spins with the conduction electrons. Therefore, the enormous enhancement of the Seebeck effect in Kondo systems can be viewed as a consequence of an extra entropy addition from the localized spins to the conduction electrons. In this way, the interplay of spin and heat manifests itself in a physical quantity such as the Seebeck coefficient. The interplay of spin and heat has been discussed in the field of spintronics as well. In 1987, Johnson and Silsbee published the seminal theoretical study [5] on the interfacial thermomagnetoelectric effect, in which they considered the generalization of the interfacial thermoelectric effect to include magnetization transport. This study initiated numerous number of studies on thermally driven spin-polarized currents in a heterostructure composed of metallic ferromagnets [6–15]. In the context of modern spintronics, the pure spin current, i.e., a spin current unaccompanied by a charge flow, is quite important because the pure spin current is considered to be free from the noises associated with charge fluctuations. The recent demonstration of a thermally driven pure spin-current injection from a ferromagnet into a nonmagnetic metal [16] or semiconductor [17] is an example of the thermal manipulation of the pure spin current. It is important to note that the “spin-dependent Seebeck effect” plays a crucial role in such metallic (semiconducting) magnetic heterostructures. In 2008, Uchida et al. demonstrated that when a ferromagnetic film is placed under the influence of a temperature gradient, a spin current is injected from the ferromagnetic film into attached nonmagnetic metals with the signal observed over a macroscopic scale of several millimeters [18]. This phenomenon, termed the spin Seebeck effect, surprised the community because the length scale seen in the experiments was extraordinarily longer than the spin-flip diffusion length of conduction electrons. While the “spin-dependent Seebeck effect” [16, 17] is described within the framework proposed by Johnson and Silsbee [5], an understanding of the spin Seebeck effect requires several new ideas and notions. In this chapter, the main focus is the spin Seebeck effect, and the basic ideas to understand this intriguing

38

Thermal Effects in Spintronics: Physics and Applications

1555

phenomenon are introduced. In addition, a brief summary of other thermospin phenomena, in which the interplay of spin and heat is of crucial importance, is also presented. The field of thermal spintronics is sometimes called spin caloritronics [19].

Spin Seebeck Effect Thermal Spin Injection by Localized Spins Spin Seebeck effect refers to the generation of a spin voltage caused by a temperature gradient in a ferromagnet. Here, the spin voltage is a potential for electrons’ spin to drive spin currents. More concretely, when a nonmagnetic metal is attached on top of a material with a finite spin voltage, a nonzero spin injection is obtained. The spin Seebeck effect is now established as a universal aspect of ferromagnets because this phenomenon is observed in various materials ranging from the metallic ferromagnets Ni81Fe19 [18] and Co2MnSi [20], the semiconducting ferromagnet (Ga, Mn)As [21], to the insulating magnets LaY2Fe5O12 [22] and (Mn, Zn) Fe2O4 [23]. Figure 1 shows the experimental setup for the first observation of the spin Seebeck effect in Ni81Fe19 [18]. Here, a Pt strip is attached on top of a Ni81Fe19 film in a static magnetic field H 0 z^ð anisotropy fieldÞ, which aligns the localized magnetic moment along ẑ. First, a temperature gradient ∇T is applied along the z-axis, which induces a spin voltage across the Ni81Fe19/Pt interface. Then this spin voltage injects a spin current Is into the Pt strip (or ejects it from the Pt strip). A part of the injected/ejected spin current Is is converted into a charge voltage through the so-called inverse spin Hall effect [24]: V ISHE ¼ ΘH ðjejI s Þðρ=wÞ; Fig. 1 Schematic of the experimental setup for observing the spin Seebeck effect [18]. Inset: spatial dependence of the observed voltage. The data are measured by changing the position of the Pt strip

(1)

VISHE z ~ 6 mm V w ∇T

x

H z I

Pt

y Ni81Fe19

1556

H. Adachi and S. Maekawa

Fig. 2 Side-view schematic of a ferromagnet (F)/ nonmagnetic metal (N ) junction. F(N ) has the local temperature TF(TN)

Nonmagnetic metal (N)

Isback TN

Jsd TF

Ferromagnet (F)

pump

Is

where jej, ΘH, ρ, and w are the absolute value of electron charge, the spin Hall angle, the electrical resistivity, and the width of the Pt strip (see Fig. 1). Hence, the observed charge voltage VISHE is a measure of the injected/ejected spin current Is. As shown in the inset of Fig. 1, the spatial dependence of the spin Seebeck effect can be measured by changing the position of the Pt strip. Note that the signal has a quasi-linear spatial dependence, with the signal changing signs at both ends of the sample and vanishing at the center of the sample. It has already been shown that the conduction electrons alone cannot explain the spin Seebeck effect, because the conduction electrons’ short spin-flip diffusion length (~ several nanometers in a NiFe alloy) fails to explain the long length scale (~ several millimeters) observed in the experiment [25, 26]. This interpretation is further supported by the following two experiments. In Ref. [27], using a ferromagnetic insulator yttrium iron garnet, it was demonstrated that spin currents can be carried by low-lying collective excitations of the localized spins, i.e., spin waves or magnons. Subsequently, it was reported that, despite the absence of the conduction electrons, the spin Seebeck effect can be observed in LaY2Fe5O12, a magnetic insulator [22]. These experiments suggest that, contrary to the conventional wisdom gathered over the last two decades that the spin current is carried by conduction electrons [28], the magnon is a promising candidate as a carrier for the spin Seebeck effect. Because the conduction electrons are excluded from the possible scenario for the spin Seebeck effect, the remaining scenario is based on the dynamics of the localized spins in the ferromagnet. To understand the spin Seebeck effect from this viewpoint, it is helpful to first consider the model for the thermal spin injection shown in Fig. 2. In this model, a ferromagnet (F) with the local temperature TF and a nonmagnetic metal (N ) with the local temperature TN are interacting weakly through interface s-d coupling Jsd. For simplicity, it is assumed here that F and N are sufficiently small such that the spatial variations of any physical quantities can be neglected and that the size of the localized spin is unified. It is also assumed

38

Thermal Effects in Spintronics: Physics and Applications

1557

that each segment is initially in local thermal equilibrium; then, the s-d interactions are switched on, and the nonequilibrium dynamics of the system is calculated. The physics of the ferromagnet F is described by the localized moment M, for which the dynamics is modeled by the Landau-Lifshitz-Gilbert equation:   d J sd α M ¼ γ ðHeff þ hÞ  s Mþ M  @t M; dt Ms ħ

(2)

where Heff is the effective field, γ is the gyromagnetic ratio, α is the Gilbert damping constant, and Ms is the saturation magnetization. In the above equation, the noise field h represents the thermal fluctuations in F, and by the fluctuation-dissipation theorem, it is assumed to obey the following Gaussian ensemble [29]: < hi ðtÞ >¼ 0; < hi ðtÞhj ðt0 Þ >¼

2kB T F α δij δðt  t0 Þ; γa3S Ms

(3) (4)

where a3S ¼ ħγ=Ms is the cell volume of the ferromagnet. The physics of the nonmagnetic metal N is described by the itinerant spin density s, and its dynamics is modeled by the Bloch equation:   d 1 M J sd M s¼ s  s0  s þ l;  dt τsf Ms ħ Ms

(5)

where τsf is the spin-flip relaxation time and s0 ¼ χ N J sd is the local equilibrium spin density [30] with the paramagnetic susceptibility χ N in N. In this equation, the noise source l is introduced [31] as a Gaussian ensemble: < li ðtÞ >¼ 0; < li ðtÞlj ðt0 Þ >¼

2kB T N χ N δij δðt  t0 Þ; τsf

(6) (7)

to satisfy the fluctuation-dissipation theorem [32]. From now on, focus is placed on the spin-wave region, where the magnetization M fluctuates only weakly around the ground state value Msẑ and M=Ms ¼ z^ þ m is established to separate small fluctuations m from the ground state value. The spin current Is induced in the nonmagnetic metal N can be calculated as the rate of change of the spin density in N as I s ¼< dtd sz ðtÞ > . Performing the perturbative approach in terms of Jsd, Is(t) is calculated to be I s ðtÞ ¼

J sd ℑ m < sþ ðtÞm ðt0 Þ >t0 !t ħ

(8)

1558

H. Adachi and S. Maekawa

from Eq. 5, where sð ¼ sx  isy and m ¼ mx  imy . Introducing the Fourier dω representation f ðtÞ ¼ f ω eiωt and employing the fact that the right-hand side 2π

of Eq. 8 is only a function of t  t0 in the steady state, the following is obtained: J sd Is ¼ ℑm ħ

ð1

dω   sþ (9) ω mω ; 1 2π    0 þ  where the average      is defined by sþ ω mω0 ¼ 2πδðω þ ω Þ  sω mω . To evaluate the right-hand side of Eq. 9, the transverse components of Eqs. 2 and 5 are linearized with respect to s and m . Then, to the lowest order in Jsd, the following is obtained:   1 s0 τ1 þ þ sf γh ; ¼ lω þ ω0 þ ω  iαω ω iω þ τ1 sf   1 J sd   γh ¼ þ l m ω ω ω ; ω0  ω  iαω iω þ τ1 sf sþ ω

(10)

(11)

where ω0 ¼ γH eff, h ¼ hx  ihy, and l ¼ lx  ily. From the above equations, it is seen that s and m are affected by both the noise field h in F and the noise source l in N through the s-d interaction Jsd at the interface. Substituting the above equations into Eq. 9, the spin current injected into N can be expressed as follows: I s ¼ I pump  I back ; s s

(12)

where Ipump and Iback are respectively defined by s s I pump s

J sd s0 ¼ ħτsf

I back s

ð1

dω ω   γhþ ω γhω ; 2 2π jω  ω0 þ iαωj2 jiω  τ1 sf j

1

αJ 2 ¼  2sd ħ

ð1 1

dω ω   lþ ω lω : 2 2π jω  ω0 þ iαωj2 jiω  τ1 j sf

(13)

(14)

represents the spin current pumped It is readily seen in this expression that Ipump s into N by the thermal noise field h in F (the so-called pumping component [33]), while Iback represents the spin current coming back into F from the thermal noise s source l in N (the so-called backflow component [34]). Employing the two fluctuation-dissipation relationships (Eqs. 4 and 6), the pumping and backflow components can be summarized into a single expression: 2 2ατ1 sf χ N J sd Is ¼  ħ2

ð1 1

  dω ω kB ðT F  T N Þ; 2 2π jω  ω0 þ iαωj2 jiω  τ1 sf j

(15)

38

Thermal Effects in Spintronics: Physics and Applications

1559

where vS Ms ¼ ħγ is employed. Using the condition τ1 sf  ω0 and performing the ω integration, the following is finally obtained: I s ¼ Gs

kB ðT F  T N Þ; ħ

(16)

where Gs ¼ J 2sd χ N τsf =ħ is introduced and the negative sign before Gs arises from defining the positive direction of Is. Interestingly, when the z component of < m  dtd m > is calculated from Eq. 2, it can be shown that the pumping component  z can be expressed as I pump ¼ Gs < m  dtd m >. This expression means that the s thermal spin injection into N can be calculated based on the Landau-LifshitzGilbert equation (Eq. 2). The backflow component Iback , which must be subtracted s from Ipump to evaluate the net spin injection, can be obtained by calculating Ipump at s s local thermal equilibrium. This procedure was used in Ref. [35] to perform the numerical simulation on the spin Seebeck effect. Equations 12 and 16 indicate that when both F and N are in local thermal equilibrium (i.e., TF = TN), there is no net spin injection into the attached nonmagnetic metal N. However, conversely, that means that if the ferromagnet F deviates from the local thermal equilibrium for some reason, a finite spin current is injected into (or ejected from) the attached nonmagnetic metal N. This consideration leads to the following simple picture for the spin Seebeck effect. Namely, the essence of the spin Seebeck effect is that the localized spins in the ferromagnet are excited by the heat current flowing through the ferromagnet, which then generate finite spin injections because of the imbalance between the pumping component Ipump and the backflow component Iback . It is important to note here s s that the heat current that excites the localized spins has two contributions: the magnon heat current and the phonon heat current. Accordingly, there are two relevant processes in the spin Seebeck effect. The first, in which the localized spins are excited by the magnon heat current, corresponds to the magnon-driven spin Seebeck effect discussed in Refs. [36, 37]. The second, in which the localized spins are excited by the phonon heat current, corresponds to the phonon-drag spin Seebeck effect discussed in Ref. [38]. Note that for these two processes to occur, the s-d exchange interaction Jsd at the F/N interface is indispensable.

Magnon-Driven Spin Seebeck Effect and the Concept of Effective Temperature In the magnon-driven spin Seebeck effect, the localized spins in the ferromagnet are excited by the magnon heat current flowing through the ferromagnet, thereby producing a nonzero spin injection into the attached nonmagnetic metal. This process is considered to be relevant to the “spin Seebeck insulator” [22], where the spin Seebeck effect is observed in an insulating magnet LaY2Fe5O12 despite the

1560

H. Adachi and S. Maekawa

absence of the conduction electrons. Here, the magnon damping is sufficiently weak such that the long-range magnon propagation may be possible. To date, two approaches to this process have been proposed; one is based on scattering theory, [36] and the other is based on linear-response theory [37]. While both describe the same physics in principle, there are a few differences in detail, particularly in the definition and interpretation of the effective temperature. This difference mainly originates from the assumptions of the two approaches; the linear-response approach considers the deviation from the local equilibrium conditions following the standard argument of the linear response [32], whereas the scattering approach considers the deviation from the global equilibrium conditions with a uniform temperature distribution [39]. Here, the basic ideas regarding the linear-response formulation given in Ref. [37] are discussed. Note that in Ref. [37], a calculation in terms of the quantum action was presented, which is consistent with the stochastic Landau-Lifshitz-Gilbert equation (Eq. 2) combined with the Bloch equation (Eq. 5). An example of the correspondence between the effective action formulation and the stochastic model formulation can be seen in Ref. [40]. Consider the model shown in Fig. 3, where the ferromagnet (F) and the nonmagnetic metals (N ) are divided into three temperature domains of F1/N1, F2/N2, and F3/N3. An important point in this model is that there is no temperature difference between the ferromagnet and the attached nonmagnetic metals, i.e., T N1 ¼ T F1 ¼ T 1, T N2 ¼ T F2 ¼ T 2, and T N3 ¼ T F3 ¼ T 3. As before, it is assumed that each domain is initially in local thermal equilibrium without interactions with the neighboring domains. The interactions are then switched on among the domains, and the nonequilibrium dynamics of the system is calculated. Here and hereafter, the diagrammatic representation of each elementary process [41] is used. In Fig. 3a, the thin solid lines with arrows (bold lines without arrows) represent the electron propagators (magnon propagators). First, consider the process P1 shown in Fig. 3a, where the magnons travel around the ferromagnet F1 without sensing the temperature difference between F1 and F2. This situation corresponds to the process discussed in the previous subsection, and the condition T N1 ¼ T F1 results in zero spin injection (see Eq. 16), which means that, through the “local” process P1 shown in Fig. 3a, the spin current is not injected into the nonmagnetic metal N1 when F1 and N1 have the same temperature. That is, the “local” process cannot explain the experimental results obtained when no temperature difference exists between the ferromagnetic film and the attached Pt film. To account for these results, it is necessary to next consider the process P10 in Fig. 3a, in which magnons sense the temperature difference between F1 and F2. In this case, the magnons deviate from the local thermal equilibrium condition because they sense not only the temperature T1 but also the temperature T2 and produce a finite spin injection. Evaluating the diagram P10 by making use of the procedure as in the previous subsection, the spin Seebeck signal is calculated to be [37]:

I s ¼ Gs ω0 τm

k B ðT 1  T 2 Þ kB ¼ Gs ω0 τm aS ∇T; ħðΛ=aS Þ ħ

(17)

38

Thermal Effects in Spintronics: Physics and Applications

a

N1

P2

N2

1561 N3

P'2

P'1 F1 P1

TN1 =TF1 =T1

b

TN2 =TF2 =T2

TN3 =TF3 =T3

Is

Is(z3) z1

z2

z3

Is(z2)

Is(z1)

c

P3

F3

F2

z

T loc−eq T

eff

Tm z1

z2

z3

z

Fig. 3 (a) System composed of a ferromagnet (F) and nonmagnetic metals (N ) divided into the three temperature domains of F1/N1, F2/N2, and F3/N3 with local temperatures of T1, T2, and T3, respectively. The thin solid lines with arrows (bold lines without arrows) represent electron propagators (magnon propagators). (b) Spatial profile of the spin currents induced in nonmagnetic metals. (c) Spatial profile of the “effective” magnon temperature Teff m and local equilibrium temperature T loceq . Because the spin accumulation in the nonmagnetic metal senses the local equilibrium temperature T loceq , the spin Seebeck effect picks up the temperature difference between T loceq and Teff m (the shaded area)

where Λ is the size of the ferromagnet along the temperature gradient. Note that the signal is proportional to the magnon lifetime τm ¼ 1=ðαω0 Þ, because the carriers of the heat current in this process are magnons. The spin current Is(z3) injected into the right terminal N3 can be calculated in the same manner by considering the process P3, which gives I s ðz3 Þ ¼ I s ðz1 Þ because of the relationship T 1  T 2 ¼ ðT 3  T 2 Þ. The spin current Is(z2) injected into the middle terminal N2 vanishes because the two relevant processes (P2 and P20 ) cancel out. Therefore, the spatial profile of the injected spin current is obtained as shown in Fig. 3b. Note that the effects from the spatial variations in the magnetization M[T(r)] through the local temperatures T(r) are considered in this treatment, because the temperature dependence of M in the magnon region is automatically described by the number of thermal magnons discussed here. To gain an intuitive understanding of the results obtained above, it is very useful to introduce the concept of effective temperature, which characterizes the nonequilibrium state. The key in the present discussion is the existence of a local thermal equilibrium temperature T loceq that can be identified, for example, as the

1562

H. Adachi and S. Maekawa

temperature of optical phonons having a localized nature with a large specific heat but small thermal conductivity. Note that most of the phonon heat current is carried by acoustic phonons. The present definition of the effective temperature employs the idea discussed by Hohenberg and Shraiman [42], in which the distribution function of a nonequilibrium state is mimicked by a distribution function of an approximate equilibrium state with an effective temperature. Then, the effective temperature in a nonequilibrium system is defined by the following relationship: T eff m ¼ lim

q!q0

Cðq, t ¼ 0Þ ; Rs ð qÞ

(18)

where Cðq, t ¼ 0Þ is the equal-time correlation function of magnons, Rs(q) is the static response function of theðmagnons calculated from the dynamic response dω function R(q, ω) as Rs ðqÞ ¼ P ℑ mRðq, ωÞ=ω with P denoting the principal π

value [43], and q0 is the inverse of the thermal de Broglie length. First, consider the magnons in process P1, where the magnons sense only the temperature T1 and stay in the local equilibrium condition. The corresponding

1 response function is given by Rðq, ωÞ ¼ ω  ωq  iαω , and through the fluctuation-dissipation relationship, Cðq, ωÞ ¼ ð2T 1 =ωÞℑ mRðq, ωÞ is given. In this situation, the effective magnon temperature (Eq. 18) coincides with the local equilibrium temperature T1. Next, consider the process P10 , where the magnons sense not only the temperature T1 but also the temperature T2. As expected, the effective magnon temperature in F1 becomes the linear combination of T1 and T2 as T eff m ðz1 Þ ¼ ð1  r ÞT 1 þ rT 2 ;

(19)

where r  α1 ðaS =ΛÞ. In the same manner, the effective magnon temperature in F3 is given by T eff m ðz3 Þ ¼ ð1  r ÞT 3 þ rT 2 . Finally, the effective magnon temperature in F2 is not renormalized (i.e., T eff m ðz2 Þ ¼ T 2 ) because of the cancelation of the two processes P2 and P20 . These results are summarized in Fig. 3c. Here, Teff m is the effective magnon loceq temperature, and T is the local equilibrium temperature that can be identified as the temperature of the localized (optical) phonons modeled by Einstein phonons. The spin accumulation in the nonmagnetic metal senses the local equilibrium temperature T loceq because the nonmagnetic metal is isolated and not extended in the direction parallel to the temperature gradient. Therefore, this effective temperature difference between T loceq and Teff m produces the thermal spin injection following Eq. 16. In other words, the spin Seebeck effect picks up the difference in the effective temperature corresponding to the shaded area in Fig. 3c.

38

Thermal Effects in Spintronics: Physics and Applications

1563

Phonon-Drag Spin Seebeck Effect At the end of section “Thermal Spin Injection by Localized Spins,” it was discussed that the heat current flowing through the ferromagnet excites the localized spins, which then causes the spin Seebeck effect. Moreover, it was pointed out that there are two relevant processes underlying the spin Seebeck effect, because both magnon and phonon heat currents can excite localized spins. The latter, in exciting the localized spins and producing finite thermal spin injection, gives rise to the phonon-drag contribution to the spin Seebeck effect [38]. Again, a diagrammatic representation of the elementary process is used. Consider first the process P1 in Fig. 4a. In this process, the magnons themselves do not sense the temperature difference between T1 and Τ2 but interact with phonons that sense the temperatures T1 and T2 and fall into nonequilibrium. These nonequilibrium phonons disturb the local equilibrium conditions of the magnons in F1 and cause finite spin injection into the nonmagnetic metal N1. a

N1

P2

N2

N3

P'2

P1

P3 F1

b

F3

F2

I sdrag

I drag (z3) s z1

z2 z3

I drag (z2) s

z

I drag (z1) s

c

T loc−eq T

T peff

eff Tm

z1

z2

z3

z

Fig. 4 Diagrammatic representation of the contribution of phonon drag to the spin Seebeck effect. (a) Phonon-drag process. The dashed line represents a phonon propagator. The meanings of the other lines are the same as those in Fig. 3. (b) Spatial profile of the spin currents induced in nonmagnetic metals by the phonon-drag process. (c) Spatial profile of the effective magnon eff temperature Teff m , the effective phonon temperature Tp , and the local equilibrium temperature T loceq in the phonon-drag process. The spin Seebeck effect picks up the temperature difference T loceq and Teff m (the shaded area)

1564

H. Adachi and S. Maekawa 1.8

VISHE(T) / VISHE(50K)

1.6 1.4 1.2 1 0.8 0.6 phonon drag + magnon

0.4 0.2 0

magnon 0

50

100

150 T [K]

200

250

300

Fig. 5 Temperature dependence of the spin Seebeck effect in LaY2Fe5O12 [38]. The solid circles show the experimental data, and the solid curve represents the theoretical fit to the experimental data. The dashed curve represents results based on the magnon-driven spin Seebeck effect

This process gives a thermal spin injection with the strength  ¼ Gspin Γ2mp I drag s

 τp kB B aS ∇T; τsf ħ

(20)

where Υ mp is the effective magnon-phonon coupling constant and τp is the phonon lifetime. In the above equation, B is given by B ¼ B1  B2 with B1 ¼ ðT=T D Þ5=4 ð T D =T ð T M =T

du 6 dv 7=2 9=2 2 u and B u = sin h ¼ ð T=T Þ v =ðev  1Þ, where TD is the 2 M 3 2 2 0



0



Debye temperature and TM is the temperature corresponding to the high-energy magnon cutoff. The important point of Eq. 20 is that the spin Seebeck signal due to phonon drag is proportional to the phonon lifetime τp, because the carriers of the heat current in this process are phonons. Because it is well known that the phonon lifetime is strongly enhanced at low temperatures (typically below 100 K) due to a rapid suppression of the Umklapp scattering, Eq. 20 suggests that the spin Seebeck effect is enormously enhanced at low temperatures. In contrast, the signal at zero temperature should vanish because of the third law of thermodynamics. Therefore, the phonon-drag spin Seebeck effect must have a pronounced peak at low temperatures (see Fig. 5 and discussion below). Note that although the possibility of the similar enhancement of the magnon lifetime in the magnon-driven spin Seebeck effect (Eq. 17) is not conclusively excluded, judging from the ferromagnetic resonance linewidth in Y3Fe5O12 [44] as a measure of the inverse magnon lifetime, it does not seem to be the case.

38

Thermal Effects in Spintronics: Physics and Applications

1565

As in the case of the magnon-driven spin Seebeck effect, the spin current Idrag (z3) s injected into the right terminal N3 can be calculated by considering the process P3, drag which gives I drag s ðz3 Þ ¼ I s ðz1 Þ because of the relationship T 1  T 2 ¼ ðT 3  T 2 Þ. drag The spin current Is (z2) injected into the middle terminal N2 vanishes because the two relevant processes (P2 and P20 ) cancel out. Therefore, the spatial profile of the injected spin current can be obtained, as shown in Fig. 4b. For an intuitive understanding of the phonon-drag spin Seebeck effect, the notion of the effective temperature is again quite useful. As before, the strength of the thermal spin injection is proportional to the difference between the effective loceq magnon temperature Teff . But m and the local thermal equilibrium temperature T eff loceq in this case, the deviation of Tm from T is caused by nonequilibrium phonons that push the magnons away from the local thermal equilibrium conditions through the magnon-phonon interaction. Repeating the same argument as in the previous eff section, the effective magnon temperature Teff m and phonon temperature Tp can be obtained, as shown in Fig. 4c. Note that the effective phonon temperature has a smaller slope than the effective magnon temperature because acoustic phonons usually have a greater thermal conductivity. Note, also, that the spin accumulation in the nonmagnetic metal senses the local equilibrium temperature T loceq and does not equilibrate with the effective phonon temperature Teff p , because the nonmagnetic metal is isolated and does not extend in the direction parallel to the temperature gradient. Therefore, the spin Seebeck effect picks up the difference in the effective temperature corresponding to the shaded area in Fig. 4c. One must also take note that the discussion by Sanders and Walton [39] does not consider the phonon-drag process. To date, there have been two experimental findings that support the existence of the phonon-drag spin Seebeck effect. The first is the observation of the predicted low-temperature peak in the temperature dependence of the spin Seebeck effect [45, 46]. In Ref. [38], the earliest experimental data on the spin Seebeck effect in LaY2Fe5O12 were theoretically analyzed, and the theory predicted that the spin Seebeck effect must show a pronounced peak at low temperatures as is discussed above. In Ref. [45], the temperature dependence of the spin Seebeck effect was measured in (Ga, Mn)As, and the data showed a pronounced peak at low temperatures consistent with the theory prediction [38]. In Ref. [46], the same trend was confirmed for yttrium iron garnet. The other experimental finding that supports the scenario of the phonon-drag spin Seebeck effect is the observation of a spin Seebeck effect that is unaccompanied by a global spin current. In Ref. [21], by cutting the magnetic coupling in (Ga, Mn)As while maintaining the thermal contact, it was demonstrated that the spin Seebeck effect can be observed even in the absence of global spin current flowing through (Ga, Mn)As. The scenario of the phonon-drag spin Seebeck effect can explain the “scratch” test experiment as is shown in Fig. 6a, although the idea of a magnon-driven spin Seebeck fails to explain the experiment. Moreover, a recent study [47], in which an isolated Ni81Fe19 on top of a sapphire substrate was used to measure the spin Seebeck effect (Fig. 6b), excluded the possibility of a dipole-magnon-driven spin Seebeck effect

1566 Fig. 6 Diagrammatic representation of the phonondrag spin Seebeck effect caused by the substrate phonons. This spin Seebeck effect is unaccompanied by a global spin current. (a) “Scratch” test experiment in Ref. [21]. (b) Observation of the spin Seebeck effect using the “isolated” ferromagnet in Ref. [47]

H. Adachi and S. Maekawa

a

Block spin current N1

N2

F1

N3

F2

T1


T NiFe . Thermally induced spin accumulation in the silicon strip is detected by the Hanle effect

H. Adachi and S. Maekawa

V

Lead & Heat sink NI80Fe20 M

Cr/Au Bz

∇T

SiO2/Al2O3 Bz

Cr/Au

Bz

Si

Joule heating current

Seebeck Effect in Magnetic Tunnel Junctions The tunneling magneto-thermopower ratio of magnetic tunnel junctions, which was discussed analytically [52] and computed by a first-principle calculation [53], has been measured by several groups. Walter et al. [54] and Liebing et al. [55] observed the tunneling magneto-thermopower in a CoFe/MgO/CoFe magnetic tunnel junction. The signal is caused by the spin-dependent Seebeck effect.

Magnon-Drag Thermopile It has been well known that in magnetic metals, two drag effects contribute to the thermoelectric effect: one is the phonon-drag process in which the momentum transfer occurs from nonequilibrium phonons to conduction electrons and produces thermopower, and the other is the magnon-drag process in which the momentum transfer occurs from nonequilibrium magnons to conduction electrons [56]. However, the magnon-drag effect is easily masked by the phonon-drag effect, and in general, it is quite difficult to investigate only the magnon-drag effect. Costache et al. [57] recently overcame this difficulty and proposed a device named the “magnon-drag thermopile” which provides information about the magnon-drag effect. The device is shown in Fig. 10 where a large number of pairs of NiFe wires are connected electrically in series with Ag wires but placed thermally in parallel. When the two magnetizations in a pair of NiFe wires are in the parallel configuration, the thermopower is zero because the contributions of each wire are of the same magnitude but opposite signs. However, when the two magnetizations in a pair of NiFe wires are in the antiparallel configuration, there is a difference in the magnon states between the two wires, and the resultant thermopower is nonzero. Note that, in principle, although any electron-magnon scattering process other than the magnon-drag process can contribute to the observed thermopower, the magnon-drag process can dominate the signal when the energy dependence of the electron lifetime can be neglected.

38

Thermal Effects in Spintronics: Physics and Applications

Fig. 10 Schematic of the magnon-drag thermopile proposed in Ref. [57]. A large number of pairs of NiFe wires are connected electrically in series with Ag wires

NiFe

1571 Ag

M ∇ T,H

V

Thermal Spin-Transfer Torque Thermal spin-transfer torque is also a highly debated topic. Hatami et al. [58] discussed the thermal spin-transfer torque in magnetic nanostructures of metals, and Jia et al. [59] recently developed a first-principle estimation of the same process. This effect is relevant to the thermally driven domain wall motion discussed analytically by Kovalev et al. [60] and computed numerically by Yuan et al. [61]. Thermal spin-transfer torque has also been discussed in the context of magnetic insulators. Slonczewski [62] discussed the thermal spin-transfer torque resulting from the longitudinal spin Seebeck effect in ferrite. Hinzke et al. [63] discussed the role of magnonic thermal spin-transfer torque. Experimentally, an evidence for the thermal spin-transfer torque was reported by Yu et al. [64].

Thermally Driven Spin-Wave Amplification Another interesting subject is the dynamics of magnon wave packets under the influence of a temperature gradient. Padro´n-Hernández et al. [65] found that magnon wave packets propagating along an yttrium iron garnet film are amplified when a temperature gradient is applied perpendicular to the yttrium iron garnet film (Fig. 11). This experiment implies that the magnon damping term is canceled by the action of the temperature gradient, which leads to an amplification of the magnon wave packet. The observed result was interpreted by the authors in terms of the magnonic spintransfer torque [63, 66] in the longitudinal spin Seebeck effect configuration.

Anomalous Nernst Effect The anomalous Nernst effect refers to the generation of a voltage gradient ∇V k ^  ∇ by applying a temperature gradient ∇ in a ferromagnetic material with a m

1572 Fig. 11 Schematic of the experimental setup for the thermal-driven spin-wave amplification reported in Ref. [65]. A temperature gradient is applied to a hybrid system of yttrium iron garnet (YIG) and gadolinium gallium garnet (GGG) using the Joule heating in either of the attached Pt films

H. Adachi and S. Maekawa

Pt

jc(Joule heat)

∇T

Magnon wavepacket

or Pt

GGG YIG

jc(Joule heat)

Excitation antenna

Detection antenna

^ This phenomenon has been studied systematically magnetic polarization vector m. in various ferromagnetic metals by Miyasato et al. [67], in (Ga, Mn)As by Pu et al. [68], and in Ni80Fe20 lateral spin valve by Slachter et al. [69]. It is important to note that if a thermal conductivity mismatch between the substrate and the ferromagnetic film exists when measuring the transverse spin Seebeck effect in the case of a conducting magnet, there can be a parasitic contribution from the anomalous Nernst effect as pointed out in Ref. [20]. This issue was recently discussed again in Ref. [70]. Quite recently, Chien and collaborators argued that the longitudinal SSE in a Pt/insulating magnet hybrid system is contaminated by the anomalous Nernst effect because of a strong magnetic proximity effect of Pt at the Pt/insulating magnet interface [71].

Thermal Hall Effect of Phonons and Magnons When the time-reversal symmetry is broken by a magnetic field or a magnetic ordering, a finite Hall response can occur in principle even in the case of chargeneutral excitations such as phonons and magnons. Recently, the thermal Hall effect of phonons and magnons has been reported. Strohm et al. observed the thermal Hall effect of phonons in a paramagnetic insulator of terbium gallium garnet [72]. The result was explained by the interaction of local magnetic ions with the local orbital angular momentum of oscillating surrounding ions [73, 74]. The thermal Hall effect of magnons was also observed in an insulating ferromagnet LU2V2O7 with pyrochlore structure [75], and the result was explained in terms of a Dzyaloshinskii-Moriya interaction. The Hall effect of magnons was also discussed theoretically in Refs. [76–78].

38

Thermal Effects in Spintronics: Physics and Applications

1573

Summary The physics of the spin Seebeck effect has been discussed as well as a brief summary of other thermospin phenomena has been presented in which the interplay of spin and heat is of importance. Regarding the spin Seebeck effect, the important role played by nonequilibrium magnons and phonons has been clarified. From a theoretical viewpoint, one of the open questions in the spin Seebeck effect is the role of spin-polarized conduction electrons in the metallic and semiconducting ferromagnets, especially in interpreting the experiment reported in Ref. [79]. From an experimental viewpoint, on the other hand, one of the most challenging issues is clarifying to what extent the spin Seebeck effect can be applied. A small but a firm step is already in progress [80–82]. More generally, one of the driving forces for investigating thermal effects in spintronics is the desire to deal with heating problems in modern solid-state devices. From this viewpoint, the thermo-spintronics is still in its infancy, and many issues still remain unclear. For example, the relationship between the pure spin current and dissipation [83] needs to be investigated extensively. Although the practical application of thermo-spintronics looks remote at present, it can be definitely said that the interplay of spin and heat manifests itself in state-of-theart experiments and involves interesting physics. Acknowledgments We are grateful for the fruitful discussions with E. Saitoh, K. Uchida, S. Takahashi, J. Ohe, J. P. Heremans, and G. E. W. Bauer. This study was supported by a Grantin-Aid for Scientific Research from MEXT, Japan.

References 1. Kondo J (1965) Giant thermo-electric power of dilute magnetic alloys. Prog Theor Phys 34:372–382 2. Maekawa S, Kashiba S, Tachiki M, Takahashi S (1986) Thermopower in Ce Kondo systems. J Phys Soc Jpn 55:3194–3198 3. Takabatake T, Sasakawa T, Kitagawa J, Suemitsu T, Echizen Y, Umeo K, Sera M, Bando Y (2003) Thermoelectric properties of Ce-based Kondo semimetals and semiconductors. Physica B 328:53–57 4. Callen HB (1948) The application of Onsager’s reciprocal relations to thermoelectric, thermomagnetic, and galvanomagnetic effects. Phys Rev 73:1349–1358 5. Johnson M, Silsbee RH (1987) Thermodynamic analysis of interfacial transport and of the thermomagnetoelectric system. Phys Rev B 35:4959–4972 6. Sakurai J, Horie H, Araki S, Yamamoto H, Shinjo T (1991) Magnetic field effects on thermopower of Fe/Cr and Cu/Co/Cu/Ni(Fe) multilayers. J Phys Soc Jpn 60:2522–2525 7. Conover MJ, Brodsky MB, Mattson JE, Sowers CH, Bader SD (1991) Magnetothermopower of Fe/Cr superlattices. J Magn Magn Mater 102:L5–L8 8. Piraux L, Fert A, Schroeder PA, Loloee R, Etienne P (1992) Large magnetothermoelectric power in Co/Cu, Fe/Cu and Fe/Cr multilayers. J Magn Magn Mater 110:L247–L253

1574

H. Adachi and S. Maekawa

9. Shi J, Pettit K, Kita E, Parkin SSP, Nakatani R, Salamon MB (1996) Field-dependent thermoelectric power and thermal conductivity in lultilayered and granular giant magnetoresistive systems. Phys Rev B 54:15273–15283 10. Baily S, Salamon MB, Oepts W (2000) Magnetothermopower of cobalt/copper multilayers with gradient perpendicular to planes. J Appl Phys 87:4855–4857 11. Wegrowe JE (2000) Thermokinetic approach of the generatized Landau-Lifshitz-Gilbert equation with spin-polarized current. Phys Rev B 62:1067–1074 12. Fukushima A, Yagami K, Tulapurkar AA, Suzuki Y, Kubota H, Yamamoto A, Yuasa A (2005) Peltier effect in sub-micron-size metallic junctions. Jpn J Appl Phys 44:L12–L14 13. Gravier L, Serrano-Guisan S, Reuse F, Ansermet JP (2006) Thermodynamic description of heat and spin transport in magnetic nanostructures. Phys Rev B 73:024419 14. Tsyplyatyev O, Kashuba O, Fal’ko VI (2006) Thermally excited spin current and giant magnetothermopower in metals with embedded ferromagnetic nanoclusters. Phys Rev B 74:132403 15. Dubi Y, Ventra MD (2009) Thermospin effects in a quantum dot connected to ferromagnetic leads. Phys Rev B 79:081302 16. Slachter A, Bakker FL, Adam JP, van Wees BJ (2010) Thermally driven spin injection from a ferromagnet into a non-magnetic metal. Nat Phys 6:879–883 17. Le Breton J, Sharma S, Saito H, Yuasa S, Jansen R (2011) Thermal spin current from a ferromagnet to silicon by Seebeck spin tunneling. Nature 475:82–85 18. Uchida K, Takahashi S, Harii K, Ieda J, Koshibae W, Ando K, Maekawa S, Saitoh E (2008) Observation of the spin Seebeck effect. Nature 455:778–781 19. Bauer GEW, Saitoh E, van Wees BJ (2012) Spin caloritronics. Nat Mater 11:391–399 20. Bosu S, Sakuraba Y, Uchida K, Saito K, Ota T, Saitoh E, Takanashi K (2011) Spin Seebeck effect in thin films of the Heusler compound Co2MnSi. Phys Rev B 83:224401 21. Jaworski CM, Yang J, Mack S, Awschalom DD, Heremans JP, Myers RC (2010) Observation of the spin-Seebeck effect in a ferromagnetic semiconductor. Nat Mater 9:898–903 22. Uchida K, Xiao J, Adachi H, Ohe J, Takahashi S, Ieda J, Ota T, Kajiwara Y, Umezawa H, Kawai H, Bauer GEW, Maekawa S, Saitoh E (2010) Spin Seebeck insulator. Nat Mater 9:894–897 23. Uchida K, Nonaka T, Ota T, Nakayama H, Saitoh E (2010) Longitudinal spin-Seebeck effect in sintered polycrystalline (Mn, Zn)Fe2O4. Appl Phys Lett 97:262504 24. Saitoh E, Ueda M, Miyajima H, Tatara G (2006) Conversion of spin current into charge current at room temperature: inverse spin-Hall effect. Appl Phys Lett 88:182509 25. Hatami M, Bauer GEW, Takahashi S, Maekawa S (2010) Thermoelectric spin diffusion in a ferromagnetic metal. Solid State Commun 150:480–484 26. Nunner TS, von Oppen F (2011) Quasilinear spin-voltage profiles in spin thermoelectrics. Phys Rev B 84:020405, Nunner et al. argued that an inclusion of an inelastic spin flip scattering could give longer length scales for conduction electrons 27. Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Mizuguchi M, Umezawa H, Kawai H, Ando K, Takanashi K, Maekawa S, Saitoh E (2010) Transmission of electrical signals by spinwave interconversion in a magnetic insulator. Nature 464:262–266 28. Maekawa S (ed) (2006) Concepts in spin electronics. Oxford University Press, Oxford 29. Brown WF Jr (1963) Thermal fluctuations of a single-domain particle. Phys Rev 130:1677–1686 30. Zhang S, Li Z (2004) Roles of nonequilibrium conduction electrons on the magnetization dynamics of ferromagnets. Phys Rev Lett 93:127204 31. Ma S, Mazenko GF (1975) Critical dynamics of ferromagnets in 6-ε dimensions: general discussion and detailed calculation. Phys Rev B 11:4077–4100 32. Kubo R, Toda M, Hashitsume N (1991) Statistical physics II: nonequilibrium statistical mechanics. Springer, Heidelberg 33. Tserkovnyak Y, Brataas A, Bauer GEW, Halperin BI (2005) Nonlocal magnetization dynamics in ferromagnetic heterostructures. Rev Mod Phys 77:1375–1421

38

Thermal Effects in Spintronics: Physics and Applications

1575

34. Foros J, Brataas A, Tserkovnyak Y, Bauer GEW (2005) Magnetization noise in magnetoelectronic nanostructures. Phys Rev Lett 95:016601 (1–4) 35. Ohe J, Adachi H, Takahashi S, Maekawa S (2010) Numerical study on the spin Seebeck effect. Phys Rev B 83:115118 36. Xiao J, Bauer GEW, Uchida K, Saitoh E, Maekawa S (2010) Theory of magnon-driven spin Seebeck effect. Phys Rev B 81:214418 37. Adachi H, Ohe J, Takahashi S, Maekawa S (2011) Linear-response theory of spin Seebeck effect in ferromagnetic insulators. Phys Rev B 83:094410 38. Adachi H, Uchida K, Saitoh E, Ohe J, Takahashi S, Maekawa S (2010) Gigantic enhancement of spin Seebeck effect by phonon drag. Appl Phys Lett 97:252506 39. Sanders DJ, Walton D (1977) Effect of magnon-phonon thermal relaxation on heat transport by magnons. Phys Rev B 15:1489–1494 40. Ussishkin I, Sondhi SL, Huse D (2002) Gaussian superconducting fluctuations, thermal transport, and the nernst effect. Phys Rev Lett 89:287001 41. Ma SK (1976) Modern theory of critical phenomena. Benjamin/Cummings, Reading 42. Hohenberg PC, Shraiman BI (1989) Chaotic behavior of an extended system. Physica D 37:109–115 43. Chaikin PM, Lubensky TC (1995) Principles of condensed matter physics. Cambridge University Press, Cambridge 44. Vittoria C, Lubitz P, Hansen P, Tolksdorf W (1985) FMR linewidth measurements in bismuthsubstituted YIG. J Appl Phys 57:3699–3700 45. Jaworski CM, Yang J, Mack S, Awschalom DD, Myers RC, Heremans JP (2011) Spin-Seebeck effect: a phonon driven spin distribution. Phys Rev Lett 106:186601 46. Uchida K, Ota T, Adachi H, Xiao J, Nonaka T, Kajiwara Y, Bauer GEW, Maekawa S, Saitoh E (2011) Thermal spin pumping and magnon-phonon-mediated spin-Seebeck effect. J Appl Phys 111:103903 47. Uchida K, Adachi H, An T, Ota T, Toda M, Hillebrands B, Maekawa S, Saitoh E (2011) Longrange spin Seebeck effect and acoustic spin pumping. Nat Mater 10:737–741 48. Uchida K, Adachi H, Ota T, Nakayama H, Maekawa S, Saitoh E (2010) Observation of longitudinal spin-Seebeck effect in magnetic insulators. Appl Phys Lett 97:172505 49. Adachi H, Maekawa S (2013) Linear-response theory of the longitudinal spin Seebeck effect. J Korean Phys Soc 62:1753–1758 50. Slack GA, Oliver DW (1971) Thermal conductivity of garnets and phonon scattering by rareearth ions. Phys Rev B 4:592 51. Flipse J, Bakker FL, Slachter A, Dejene FK, van Wees BJ (2012) Direct observation of the spin-dependent Peltier effect. Nat Nanotechnol 7:166–168 52. Hatami M, Bauer GEW, Zhang QF, Kelly PJ (2009) Thermoelectric effects in magnetic nanostructures. Phys Rev B 79:174426 53. Czerner M, Bachmann M, Heiliger C (2011) Spin caloritronics in magnetic tunnel junctions: Ab initio studies. Phys Rev B 83:132405 (1–4) 54. Walter M, Walowski J, Zbarsky V, M€ unzenberg M, Scha¨fers M, Ebke D, Reiss G, Thomas A, Peretzki P, Seibt M, Moodera JS, Czerner M, Bachmann M, Heiliger C (2011) Seebeck effect in magnetic tunnel junctions. Nat Mater 10:742–746 55. Liebing N, Serrano-Guisan S, Rott K, Reiss G, Langer J, Ocker B, Schumacher HW (2011) Tunneling magnetothermopower in magnetic tunnel junction nanopillars. Phys Rev Lett 107:177201 56. Blatt FJ, Schroeder PA, Foiles CL, Greig D (1976) Thermoelectric power of metals. Plenum Press, New York 57. Costache MV, Bridoux G, Neumann I, Valenzuela SO (2011) Magnon-drag thermopile. Nat Mater 11:199–202 58. Hatami M, Bauer GEW, Zhang QF, Kelly PJ (2007) Thermal spin-transfer torque in magnetoelectronic devices. Phys Rev Lett 99:066603

1576

H. Adachi and S. Maekawa

59. Jia X, Xia K, Bauer GEW (2011) Thermal spin transfer in Fe-MgO-Fe tunnel junctions. Phys Rev Lett 107:176603 60. Kovalev AA, Tserkovnyak Y (2009) Thermoelectric spin transfer in textured magnets. Phys Rev B 80:100408 61. Yuan Z, Wang S, Xia K (2010) Thermal spin-transfer torques on magnetic domain walls. Solid State Commun 150:548–551 62. Slonczewski JC (2010) Initiation of spin-transfer torque by thermal transport from magnons. Phys Rev B 82:054403 63. Hinzke D, Nowak U (2011) Domain wall motion by the magnonic spin Seebeck effect. Phys Rev Lett 107:027205 64. Yu H, Granville S, Yu DP, Ansermet JP (2010) Evidence for thermal spin-transfer torque. Phys Rev Lett 104:146601 65. Padro´n Hernández E, Azevedo A, Rezende SM (2011) Amplification of spin waves by thermal spin-transfer torque. Phys Rev Lett 107:197203 66. Yan P, Wang XS, Wang XR (2011) All-Magnonic spin-transfer torque and domain wall propagation. Phys Rev Lett 107:177207 67. Miyasato T, Abe N, Fujii T, Asamitsu A, Onoda S, Onose Y, Nagaosa N, Tokura Y (2007) Crossover behavior of the anomalous Hall effect and anomalous nernst effect in itinerant ferromagnets. Phys Rev Lett 99:086602 68. Pu Y, Chiba D, Matsukura F, Ohno H, Shi J (2008) Mott relation for anomalous Hall and Nernst effects in Ga1xMnxAs ferromagnetic semiconductors. Phys Rev Lett 101:117208 69. Slachter A, Bakker FL, van Wees BJ (2011) Anomalous Nernst and anisotropic magnetoresistive heating in a lateral spin valve. Phys Rev B 84:020412(R) 70. Huang SY, Wang WG, Lee SF, Kwo J, Chien CL (2011) Intrinsic spin-dependent thermal transport. Phys Rev Lett 107:216604 71. Huang SY, Fan X, Qu D, Chen YP, Wang WG, Wu J, Chen TY, Xiao JQ, Chien CL (2012) Transport magnetic proximity effects in platinum. Phys Rev Lett 109:107204 72. Strohm C, Rikken GLJA, Wyder P (2005) Phenomenological evidence for the phonon Hall effect. Phys Rev Lett 95:155901 73. Sheng L, Sheng DN, Ting CS (2006) Theory of the phonon Hall effect in paramagnetic dielectrics. Phys Rev Lett 96:155901 74. Kagan Y, Maksimov LA (2008) Anomalous Hall effect for the phonon heat conductivity in paramagnetic dielectrics. Phys Rev Lett 100:145902 75. Onose Y, Ideue T, Katsura H, Shiomi Y, Nagaosa N, Tokura Y (2010) Observation of the magnon Hall effect. Science 329:297 76. Fujimoto S (2009) Hall effect of spin waves in frustrated magnets. Phys Rev Lett 103:047203 77. Katsura H, Nagaosa N, Lee PA (2010) Theory of the thermal Hall effect in quantum magnets. Phys Rev Lett 104:066403 78. Matsumoto R, Murakami S (2011) Theoretical prediction of a rotating magnon wave packet in ferromagnets. Phys Rev Lett 106:197202 79. Jaworski CM, Myers RC, Johnston-Halperin E, Heremans JP (2012) Giant spin Seebeck effect in a non-magnetic material. Nature 487:210–213 80. Kirihara A, Uchida K, Kajiwara Y, Ishida M, Nakamura Y, Manako T, Saitoh E, Yorozu S (2012) Spin-current-driven thermoelectric coating. Nat Mater 11:686–689 81. Uchida K, Kirihara A, Ishida M, Takahashi R, Saitoh E (2011) Local spin-Seebeck effect enabling two-dimensional position sensing. J Appl Phys 50:120211 82. Uchida K, Nonaka T, Yoshino T, Kikkawa T, Kikuchi D, Saitoh E (2012) Enhancement of spin-Seebeck voltage by spin-Hall thermopile. Appl Phys Express 5:093001 83. Tulapurkar AA, Suzuki Y (2011) Boltzmann approach to dissipation produced by a spinpolarized current. Phys Rev B 83:012401

Lateral Spin Transport (Diffusive Spin Current)

39

Takashi Kimura

Contents Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Generation, Diffusion, and Detection of Pure Spin Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Advantage of Lateral Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Spin Absorption Effect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Influence of an Additional Contact . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Transverse Spin Current Absorption into Ferromagnetic Metal . . . . . . . . . . . . . . . . . . . . . . . . . . Reabsorption Effect of Spin Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Magnetization Switching Due to Injection of Pure Spin Current . . . . . . . . . . . . . . . . . . . . . . . . . . . . Conclusion and Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

1577 1578 1581 1585 1585 1586 1588 1591 1594 1594

Abstract

The transport properties of the diffusive pure spin current induced in the metallic nanostructures are discussed. The author introduces the methods for the efficient generation, manipulation, and detection of the pure spin current in laterally configured ferromagnetic/nonmagnetic metal hybrid structures. The experimental demonstration of the magnetization switching using the pure spin current is also introduced.

Introduction Ferromagnetic metal (FM)/nonmagnetic metal (NM) hybrid nanostructures show intriguing electrical transports in association with the spin accumulation and the spin momentum transfer [1–3]. In such spin-dependent transports, spin current, a T. Kimura (*) Department of Physics, Inamori Frontier Research Center, Kyushu University, Fukuoka, Japan e-mail: [email protected] # Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5_55

1577

1578

T. Kimura

flow of the spin angular momentum, is the key ingredient [4]. Therefore, the study on the transport properties of the spin current is essential for deeply understanding the fundamental physics of the spin-dependent transports as well as for further developing the spintronic devices. In order to investigate the spin current property, till to date, most experiments by other groups have been carried out in a vertical structure called the current-perpendicular-to-plane (CPP) configuration [5, 6]. It is, however, difficult to fabricate multiterminal devices with vertical structures, so one can obtain only limited information about the series resistance of the magnetic multilayers. On the other hand, laterally configured FM/NM hybrid nanostructures have great advantage for developing the multiterminal spintronic devices because of their flexible configuration [7, 8]. Especially, a pure spin current created by a nonlocal spin injection is a powerful tool for detecting the electric signals purely related to the spin transports [9–12] as in this case, the charge-current-induced spurious signals such as anisotropic magnetoresistance and the anomalous Hall effect can be removed. In this chapter, the author describes the transport property of the diffusive spin current in lateral nanostructures and introduces unique techniques for the efficient manipulation of spin current.

Generation, Diffusion, and Detection of Pure Spin Current A laterally configured ferromagnetic (F)/nonmagnetic (N) hybrid structure combined with a nonlocal spin injection allows to create a flow of spins without a flow of electrical charges, i.e., pure spin current [7–11, 13, 14]. Figure 1 shows a schematic illustration of the nonlocal spin injection. A bias voltage for the spin injection is applied between the ferromagnet and left-hand side nonmagnet. In this case, the spin-polarized electrons are injected from the ferromagnet and are extracted from the left-hand side of the nonmagnet. This results in the accumulation of the nonequilibrium spins in the vicinity of the F/N junctions. Since the electrochemical potential in the left-hand side is lower than that underneath the F/N junction, the electron flows by the electric field. In the right-hand side, although there is no electric field, the diffusion process from the nonequilibrium into the equilibrium state induces the motion of the electrons. Since the excess up-spin electrons exist underneath the F/N junction, the up-spin electrons diffuse into the right-hand side. On the other hand, the deficiency of the down-spin electrons induces the incoming flow of the down-spin electrons opposite to the motion of the up-spin electron. Thus, a pure spin current, which carries the spin angular momentum without electrical charges, can be induced by the nonlocal spin injection. The induced pure spin current can be detected by using another FM voltage probe. Here, a four-terminal structure, in which two ferromagnetic electrodes are separately connected to a NM strip, is considered, as shown in Fig. 2a. When the pure spin current is injected into the FM, a shift in the electrostatic potential of the FM is induced because of the spin-dependent conductivity. The sign of the potential shift depends on the relative angle between the spin direction of the injecting spin

39

Lateral Spin Transport (Diffusive Spin Current)

1579

FM Ie Is

Flowing direction

NM Is Flowing direction

eV

eV

Fig. 1 Schematic illustration of the nonlocal spin injection together with the density of states for the up-spin and down-spin electrons in nonmagnetic metal for left-hand, center, and right-hand sides

current and the magnetization direction. When the direction of the injecting spin is parallel to the majority (minority) spin for the spin detector, the electrostatic potential of the spin detector shifts positively (negatively). Therefore, when the voltage between the FM and the right-hand side of the NM is measured with sweeping the magnetic field, a clear voltage change is observed. The voltage normalized by the injecting current is known as the spin signal [7–11, 13, 14]. Figure 2b shows a representative nonlocal signal as a function of the external magnetic field observed in the Py/Cu lateral nonlocal spin valve measured at 77 K. Here, the center-center distance between the Py injector and detector is 800 nm.

1580

T. Kimura

a

b

c

Fig. 2 (a) Schematic illustration of the nonlocal spin injection and detection together with a SEM image of a typical lateral spin valve consisting of Py and Cu wires. (b) Typical nonlocal spin-valve signal for the Py/Cu device with a distance of 800 nm. (c) Position dependence of the nonlocal spin-valve signals at RT and 77 K

The field dependence of the nonlocal spin signal exhibits a clear spin-valve effect corresponding to parallel (high) and antiparallel (low) states. By changing the distance between the injector and detector, one can experimentally estimate the spin diffusion length of the Cu wire. As can be seen in Fig. 2c, the spin signal monotonically decreases with increasing the distance d both at RT and 77 K because of the spin relaxation phenomenon. From the one-dimensional spin diffusion model with the assumption of the transparent interface [14, 15], the dependence of the spin signal on the distance d between the injector and the detector can be calculated as follows: ΔRs 

SN P2F σ 2F λ2F ,  2 Sinj Sdet 1  P2 ρN λN sinhðd=λN Þ F

(1)

where ρF and ρN are the electrical resistivities for the Py and Cu, respectively. λF and λN are the spin diffusion length for Py and Cu, respectively. Sinj, Sdet, and SN are the size of the injecting junction, the detecting junction, and the cross section of the

39

Lateral Spin Transport (Diffusive Spin Current)

1581

Cu wire, respectively. PF is the spin polarization. From the fitting, one finds that the spin diffusion length for the Cu wire is 500 nm at RT and 1.5 μm at 77 K. In the nonmagnetic metals with weak spin orbit interactions such as Cu, Al, and Ag, the spin diffusion length is a few hundred nm at RT [8–10, 13, 16–18]. This means that one can manipulate the spin current in the Cu even in lateral configuration by using advanced nano-fabrication techniques. On the other hand, in some metals with a strong spin-orbit interaction and most of FMs, the spin diffusion length is known to be a few nm or a few 10 nm [19]. This implies that the manipulation of the spin current is difficult in such metals using the lateral configurations. However, as introduced later, by the combination between the lateral configurations and the unique transport properties of the spin current, one can effectively manipulate the spin current even in such metals.

Advantage of Lateral Configuration The conventional electrical spin injection is performed by injecting the spinpolarized current from a single FM injector into NM [7, 8, 10]. In such cases, the direction of the spin accumulation in the NM is fixed by the magnetization of the FM injector. When two or more FM injectors are utilized, one may have greater control of the spin current and spin accumulation. Taking the advantage of the flexible probe configuration in lateral geometry, one can easily expand the simple nonlocal spin-valve concept to a multiterminal device. This provides high tunability and the attractive device performance [10]. Here, two representative advantages using multiterminal spin injection are introduced. The first demonstration is a direction control of the spin current using noncollinear dual spin injectors [20]. A lateral spin valve consisting of two Permalloy injectors Py1 and Py2 and a detector Py3, bridged by a Cu strip, has been prepared, as shown in a scanning electron microscope (SEM) image of Fig. 2. Here, the Py injectors are patterned into needle shapes so as to prevent the influence of the demagnetizing field in the remanent state. The easy axes of the Py injectors are tilted from the horizontal axis. The direction of the accumulated spin under the dual spin injection is detected by the Py strip with a horizontal easy axis as a nonlocal spin-valve signal. The nonlocal spin-valve signal curves for various injected current fraction are shown in Fig. 3c. In the measurement, the magnetic field was swept between 600 and 400 Oe, to fix the magnetization direction of the detector Py3 during the sweep. In the nonlocal spin-valve signal under the dual injection shown in Fig. 3, the accumulated spin is not collinear with the detector, except when ϕ = 0 for i2 = i2, where ϕ is the angle of the accumulated spin with respect to x axis. The spin signal ΔRs for noncollinear structures is defined as ΔRS 

ΔV ðϕÞ ΔV ðϕÞ ¼ , I 0 ðϕÞ I s ðϕÞ=p

(2)

1582

a

b

T. Kimura

c

d

Fig. 3 (a) SEM image of the lateral spin valve with the noncollinear dual spin injectors, (b) schematic illustration for electrical control of the direction of the spin accumulation using the noncollinear dual spin injectors, (c) nonlocal spin signal ΔV/I0 for various current fraction, and (d) relationship between the direction of the accumulated spin and the spin signal

where Is (ϕ) is the magnitude of the spin current as a function of ϕ and p is the spin polarization. As shown in Fig. 3d, under the condition that the charge current is held fixed as ϕ is varied, one finds that ΔRs varies as cos ϕ. Thus, by injecting current through two magnetic wires with noncollinear configuration, it is possible to control electrically the polarization direction (axis) of the spin current. In this manner, one can rotate the polarization axis of the spin accumulation in the normal Cu wire while maintaining the magnetization direction of the spin detector fixed. Then, the author focuses on the generation of the giant spin current using multiterminal spin injectors [21], which is the second advantage of the multiterminal injection. Since the conventional direct spin injection produces an extra Joule heating induced by the charge current, the magnitude of the injecting spin current is limited by the maximum tolerance of the charge current. On the other hand, the pure spin current does not include any charge current. Therefore, the maximum tolerance of the pure spin current is much larger than that of the spinpolarized current. This implies that one can inject giant spin currents, which cannot

39

Lateral Spin Transport (Diffusive Spin Current)

1583

be realized by the conventional direct spin injection. Moreover, pure spin current does not produce current-induced Oersted field. Thus, pure spin current is advantageous compared with the spin current induced by the conventional direct spin injection. Another example in this regard is that one may reverse the magnetization in the large dimension of the NM by the pure spin current injection [22–25]. Since the switching speed of the magnetization is proportional to the magnitude of the injecting spin current [26], the generation of the giant pure spin current may open the door for the ultrahigh-speed operation of the spintronic devices. The pure spin current is, in general, created by a nonlocal spin injection, and the magnitude is limited by the maximum allowed current in a ferromagnetic spin injector. If the pure spin current from two or more ferromagnetic injectors can be superimposed, one obtains a giant pure spin current, which is unachievable in the conventional spin injection. Therefore, here, a method for generating giant pure spin currents using multiterminal spin injection is introduced. To demonstrate the multiterminal spin injection, a lateral spin valve consisting of the quadruple spin injectors (Py1, Py2, Py3, and Py4) has been fabricated. Figure 4a shows the scanning electron microscope image of the fabricated device together with its schematic. In order to create the spin current in Cu, the current IC is injected from each injector. This means that totally 4IC current is provided from the current source. The spin signal can be evaluated by measuring the voltage between

a V

V I+I

I+I

b

Fig. 4 (a) Concept for multiterminal spin injection and SEM image of the multiterminal spin injection device. (b) Typical nonlocal spin-valve signal under quadruple spin injection

1584

T. Kimura

the middle Py and the Cu wire, as schematically shown in Fig. 4a. Figure 4b shows a typical nonlocal spin signal under the quadruple-terminal spin injection. The vertical axis corresponds to the value of the induced voltage divided by the injecting current IC from each electrode. The signal shows large clear spin-valve effect consisting of four downward jumps and one upward jump. The four downward resistance jumps correspond to the magnetization switching of the four spin injectors. The spin current injecting into the middle Py wire is roughly estimated from the following equation [14, 22]: IS ¼

1  P2Py Sdet ΔRS I C : 2PPy ρPy λPy

(3)

This means that the injection spin current is proportional to the current in each terminal. Since the maximum tolerance current in the lateral spin-valve device is, in general, limited by the electromigration of the FM injectors, the maximum magnitude of the pure spin current is further increased by using thicker spin injectors. One should also consider the influence of the heating under the high bias current injection because the heating of the sample strongly affects the spin transport. Therefore, the bias current dependence of the nonlocal spin-valve signal with varying number of the injectors has been investigated. In this experiment, the thickness of the Py injector was set to 25 nm, in order to heat the sample effectively. As shown in Fig. 5, if a single injector is used, at 1.5 mA, the spin signal reduces to 93 % of that at near-zero bias. On the other hand, for four-injector configuration, spin signal remains almost unattenuated at this injection level. This is due to the less heating of the Py injector for multiterminal case, compared to the single terminal case. It can be clearly confirmed that the critical current, where the spin signal starts to reduce, is improved by increasing the number of the spin injector. Thus, by using multiterminal spin injector, the Joule heating problem and electromigration issue in nonlocal spin injection can be tackled.

V

V

I+I

I

V

V

I+I

I

I+I

I+I

Fig. 5 Bias dependence of the spin signals under single, dual, triple, and quadruple spin injections together with the schematics for each injection configuration

39

Lateral Spin Transport (Diffusive Spin Current)

1585

Spin Absorption Effect Influence of an Additional Contact The driving force of the pure spin current is a diffusion of the nonequilibrium electrons into the equilibrium state. If one considers the spin current in a single FM/NM junction shown in Fig. 6a, the spatial distribution of the spin accumulation in the NM symmetrically decays from the junction. Therefore, the spin current flows also symmetrically into both sides. On the other hand, when an additional material is connected in the right-hand side of the NM through the low resistive ohmic junction as in Fig. 6b, the spatial distribution of the spin accumulation is strongly modified. When the spin relaxation rate for the connected material is much larger than that for the NM, the nonequilibrium spins are preferably absorbed into the connected material. Thus, one can selectively extract the spin current. This is known as the spin absorption effect [15]. To demonstrate the above spin current absorption effect, two kinds of the lateral spin valves have been prepared [27]. One is a conventional lateral spin valve consisting of the Py injector and the detector bridged by a Cu strip (device A). The other one is a lateral spin valve with a middle Py wire (device B). Here, the center-center distance between the injector and the detector for device A is 600 nm, while that for device B is 460 nm. Although the geometrical disorder due to the additional ferromagnetic contact may also violate the spin coherence and the spin accumulation, such an effect should be negligible because of large difference in thickness between Cu and Py. Figure 7a shows the spin signal observed in device A, where a spin-valve signal with the magnitude of 0.2 mΩ is clearly observed. Since the center-center distance between the injector and detector for device B is shorter than that for device A, one may naively expect that the larger spin signal is expected to be observed in device B. However, as in Fig. 7b, a quite small spin signal less than 0.05 mΩ is observed in device B. This is due to the influence of the spin current absorption into the middle Py wire and clearly support that the spin accumulation in the Cu is strongly suppressed by the middle Py2 wire connected to the Cu. It was also demonstrated that the spin accumulation in the Cu is suppressed by connecting the nonmagnetic wire with a strong spin relaxation [15]. Figure 8 shows the spin signals with various nonmagnetic middle wires. Here, the center-center distance between the injector and detector is fixed at 600 nm. For the middle Cu

a

b

Fig. 6 Schematic illustrations of the flow of the spin current in (a) single F/N junction and (b) an F/N junction with an F contact

1586

T. Kimura

a

b

Fig. 7 (a) Nonlocal spin-valve signal for a conventional lateral spin valve and that for a lateral spin valve with a middle Py wire. The insets show SEM images of the measured device and the probe configurations for the nonlocal spin-valve measurements

Fig. 8 Nonlocal spin-valve signals for Cu, Au, and Pt middle wire measured at room temperature

wire, the obtained spin signal is 0.18 mΩ, which is almost the same as that without the middle wire. The large reductions of the spin signals are observed in the Au and Pt middle wires. These indicate that the nonequilibrium spin currents are strongly relaxed by the Pt and Au wires while the Cu has weak relaxation of the spin current. Thus, one can evaluate the magnitude of the spin relaxation of a material from the magnitude of the spin signal.

Transverse Spin Current Absorption into Ferromagnetic Metal Another interesting phenomenon is expected in the spin absorption into an FM. When the magnetization of the spin absorber is parallel or antiparallel to the injected spins (longitudinal spin absorption), the effective spin polarization is the same as that of the bulk spin polarization. This situation is known as a collinear configuration, which corresponds to most of the experimental situations in the

39

Lateral Spin Transport (Diffusive Spin Current)

a

1587

b

c

Fig. 9 (a) Scanning electron microscope (SEM) image of the specially fabricated Py/Cu lateral spin valve consisting of two V-shaped nanowires with a middle strip. Expected domain structures in the Py wires and spin accumulation in a Cu channel for the longitudinal configuration (b) and the transverse configuration (c)

lateral spin-valve systems [8–11, 13, 17, 18]. On the other hand, when the magnetization of the spin absorber is normal to the direction of the spin current (transverse spin absorption), the effective spin polarization becomes zero [15]. Since the spin relaxation rate decreases with increasing the spin polarization, the spin relaxation rate for the transverse spin current becomes larger than that for the longitudinal spin current. Moreover, in the FM, the spin relaxation length for the transverse spin current is known to be shorter than that for the longitudinal one [28–32]. Since the spin relaxation rate is inversely proportional to the spin relaxation length, the shorter transverse spin relaxation length induces the further enhancement of the spin absorption into the middle Py wire. Therefore, one expects that the spin absorption can be tuned by the direction of the injected spin. In order to evaluate the longitudinal and transverse spin current absorptions precisely, a lateral spin valve (LSV) using V-shaped ferromagnetic injector and detector shown in Fig. 9a has been fabricated [33]. Here, an FM strip, which plays a role of the spin absorber, is located in the middle of the V-shaped wires. The three ferromagnetic Py wires are bridged by a nonmagnetic Cu strip. It should be noted that the V-shaped Py wires are connecting with the Cu strip at the corners of the V-shaped wires. Therefore, the directions of the injecting and detecting spins reflect the domain structures at the corners. When the strong magnetic field is applied to the sample along the x direction, all of the magnetizations in the V-shaped wires and the strip are aligned with the x direction as shown in Fig. 9b. In this situation, the direction of the generated spins in the Cu strip is parallel to the magnetization of the spin absorber. This situation corresponds to the longitudinal spin current absorption. On the other hand, when the magnetic field decreases to zero, the domain structure of each FM wire reflects its own shape, as shown in Fig. 9c. The magnetization of the middle strip is aligned with the wire direction (y direction) because of the shape anisotropy. However, the magnetizations of the V-shaped wire around the corner maintain the field direction (x direction) even at the remanent

1588

a

T. Kimura

b

Fig. 10 Nonlocal spin-valve signals for V-shaped LSV without a middle Py strip (a) and with a middle strip (b). Here, the magnetic field is applied along the x direction

state [34]. In this situation, the injecting spin is perpendicular to the magnetization of the spin absorber, meaning the transverse spin current absorption. Note that the magnetic configuration between the injector and the detector should be roughly still in parallel at the remanent state. Therefore, the difference in the spin signal between two situations should be mainly caused by the change of the spin relaxation rate due to the middle Py wire. By comparing the nonlocal spin voltages between two situations, one can fairly evaluate the longitudinal and transverse spin current absorptions. Figure 10a, b shows the nonlocal spin-valve signals for the V-shaped LSV with and without a middle ferromagnetic injector, respectively. The overall resistance change was estimated to be 0.3 mΩ, which is strongly reduced from the V-shaped LSV without the middle Py insertion (1.2 mΩ). This is due to the spin absorption effect into the middle Py strip [27]. More interestingly, in the LSV with the middle wire, the spin signal at the remanent state shows the significant reduction from the value at the high magnetic field. As mentioned above, the relative angle between the injecting spin and the magnetization direction of the middle wire becomes 90 at zero magnetic field. Therefore, the reduction of the spin signal in the low magnetic field is caused by the stronger spin absorption due to the transverse spin current. From the analysis based on one-dimensional spin diffusion model, the transverse spin relaxation length is 1.7 nm, which is much shorter than the longitudinal one (5 nm). Thus, it was experimentally demonstrated that the transverse spin current is absorbed by the FM more strongly than the longitudinal one.

Reabsorption Effect of Spin Current One should also take into account the spin absorption into the spin injector (reabsorption effect). By using the electrical spin injection, the nonequilibrium

39

Lateral Spin Transport (Diffusive Spin Current)

1589

spin accumulation is induced at the interface and diffused into the equilibrium state. In that case, the spin current diffuses not only into the NM but also into the FM injector. Notably, as mentioned above, the conventional ferromagnetic injector has much faster spin relaxation rate than that for the NM. Therefore, the injected spin current in the NM mainly returns back to the FM (Fig. 11a). This phenomenon gives rise to an extremely low injection efficiency of the spin current in the N [15, 35, 36]. However, if one utilizes a highly spin-polarized ferromagnet (HSF) as a spin injector, so-called half-metallic ferromagnet (HMF) [37], the spin-polarized electrons can be efficiently injected into the N, and the backflow of the spin currents can be strongly suppressed, resulting in a dramatical improvement of the injection efficiency of the spin currents in the N (Fig. 11b). This is because the spin relaxation rate for the FM is proportional to 1  P2. In this scheme, the use of HSF spin injectors is critical for generating a giant pure spin current in the NM (Fig. 11c). Recently, significant improvement of the generation efficiency of the pure spin current has been achieved by using the spin injector consisting of the Heusler compound [38–40].

a

b

c

Fig. 11 Schematic illustrations of the electrical spin injection from a conventional FM (a) and a HMF (b) into an NM. (c) Generation of a pure spin current by using nonlocal spin injection and spatial distributions of the spin-dependent electrochemical potentials in the N

1590

T. Kimura

The above-explained reabsorption effect can be characterized by the generation efficiency η given by the following equation [15]: η¼P

 ρF λ F = 1  P2 : ρN λ N þ ρF λ F = 1  P2

(4)

Here, ρNλN and ρFλF/(1  P2) correspond to the difficulty of the spin relaxations for the NM and FM, respectively. Therefore, the reabsorption effect can be understood as the competition of the spin relaxation strengths between FM and NM. Since the spin diffusion length for the ferromagnet λF is extremely short, η becomes very small in a conventional FM/NM junction. However, as explained above, by using a HMF with P  1, the difficulty of the spin relaxation becomes very high. As a result, η is dramatically improved. The difficulty of the electrical spin injection in an FM/semiconductor interface known as a conductance mismatch can be similarly understood by the spin reabsorption effect [36]. Since the electrical resistivity for the semiconductor is much larger than that for the FM, η becomes very small. A similar obstacle occurs in the case for the spin injection into the molecular materials because of their high resistivity. As explained above, a spin injector with a perfect HMF property can solve this issue. The spin injection efficiency is also known to be improved by inserting the tunnel barrier at the interface instead of the use of the highly spinpolarized material [41]. Since the insertion of the tunnel barrier strongly prevents the diffusion of the spin current into the FM injector, the difficulty of the spin relaxation for the FM is effectively enhanced. The preparation of a high-quality tunnel barrier without reducing the spin polarization at the interface is required for the effective spin injection. Since a long spin diffusion length is expected in silicon- and carbon-based organic materials, the efficient generation of the spin current in such materials may open a new avenue for the spintronic devices based on pure spin currents. A contact size in the FM/NM junction is also an important factor for the spin injection, absorption, and reabsorption [42]. So far, the distance between the spin injector and detector is known as the most important geometrical parameter for the spin injection and detection. However, by reducing the size of the injecting junction, the generation efficiency of the pure spin current is drastically improved. This is because the spin relaxation rate in the FM is inversely proportional to the junction size S. To demonstrate this, the junction-size dependence of the spin signal has been investigated. Here, the junction size for the lateral spin valve is changed from 0.006 μm2 to 0.2 μm2 although the edge-to-edge distance between the injector and detector is fixed to 800 nm. Since the spin relaxation rate of the vertical Cu arms is small, the spin current diffusions into the horizontal Cu arms can be neglected. Then, the spin signal for the present LSV is roughly obtained by Eq. As mentioned above, reducing the size of the ohmic junction between the Py pad and the Cu wire decreases the spin relaxation rate in the Py pad. To change the junction size between the Py pad and the Cu wire, the length of the Cu wire on the Py pad is adjusted, as seen in the inset of Fig. 12c. The junction-size dependence of the spin signal has

39

a

Lateral Spin Transport (Diffusive Spin Current)

1591

c

b

Fig. 12 Nonlocal spin-valve signals of (a) the large-junction device and (b) the small-junction device with the probe configurations. (c) Spin signal in the NLSV measurement as a function of the junction size lpw. The dotted curve is the best fitting to the data points using Eq. 4

been investigated by changing the size of the detecting junction with keeping the same electrode spacing of 600 nm. The obtained spin signal is plotted as a function of the junction size in Fig. 12c. The spin signal increases with reducing the junction size and is well reproduced by Eq. 1, where the spin signal is inversely proportional to the junction size.

Magnetization Switching Due to Injection of Pure Spin Current The switching mechanism due to spin torque is explained with a model proposed by Slonczewski in which the torque exerted on the magnetization is proportional to the injected spin current. This clearly indicates that the spin current is essential to realize the magnetization switching due to the spin injection. Most of the present spin-transfer devices consist of vertical multilayered nanopillars in which typically two magnetic layers are separated by a nonmagnetic metal layer [43, 44]. In such vertical structures, the charge current always flows together with the spin current; thereby, undesirable Joule heat is generated. As mentioned above, by optimizing the junction, the pure spin current can be effectively injected into the nanomagnet because of the spin absorption [42]. Therefore, the magnetization of nanomagnet can be switched nonlocally. To test this idea, a nanoscale ferromagnetic particle is configured for a lateral nonlocal spin injection device as in Fig. 13a, b [22–25]. The device for the present study consists of a large Permalloy (Py) pad 30 nm in thickness, a Cu cross 100 nm in width and 80 nm in thickness, and a Py nanoscale particle, 50 nm in width, 180 nm in length, and 6 nm in thickness. A gold wire 100 nm in width and 40 nm in thickness is connected to the Py particle to increase the effective spin relaxation rate, resulting in high spin current absorption into the Py particle. The magnetic field is applied along the easy axis of the Py particle.

1592

T. Kimura

a

c

b

d

Fig. 13 (a) Scanning electron microscope image of the fabricated lateral spin valve. (b) Schematic illustration of nonlocal spin injection using lateral spin-valve geometry. (c) Field dependence of the nonlocal spin signal. (d) Nonlocal spin-valve signal after the pulsed current injection as a function of the current amplitude with corresponding magnetization configurations

Here, the dimensions of Py pad and Cu wires are chosen large so that the charge current up to 15 mA can flow through them. To confirm that the spin current from the Py injector is injected into the Py particle, the nonlocal spin-valve measurements are performed. As in Fig. 13c, the field dependence shows a clear spin signal with a magnitude of 0.18 mΩ, assuring that the spin current reaches the Py particle. Then, the effect of the nonlocal spin injection into the Py particle has been examined with using the same probe configuration. Before performing the nonlocal spin injection, the magnetization configuration is set in the antiparallel configuration by controlling the external magnetic field. The nonlocal spin injection is performed by applying large pulsed currents up to 15 mA in the absence of magnetic field. As shown in Fig. 13d, when the magnitude of the pulsed current is increased positively in the antiparallel state, no signal change is observed up to 15 mA. On the other hand, for the negative scan, the abrupt signal change is observed at 14 mA. The change in resistance at 14 mA is 0.18 mΩ, corresponding to that of the transition from antiparallel to parallel states. This means that the magnetization of the Py particle is switched only by the spin

39

Lateral Spin Transport (Diffusive Spin Current)

1593

a

V/I (mΩ)

b

3

P

c

3

2

2

1

1

0

0

−1 −2

AP 50 100 −100 −50 0 Magnetic field (mT)

−1

P

AP

−2 −8 −6 −4 −2 0 2 I dc (mA)

4

6

8

Fig. 14 (a) SEM image and schematic illustration of the improved nonlocal spin injection device. (b) Giant spin signal and (c) the reversible magnetization switching by the pure spin current injection observed in the improved device

current induced by the nonlocal spin injection. The responsible spin current for switching is estimated from the experiment to be about 200 μA, which is reasonable compared with the values obtained for conventional pillar structures. However, the switching from the parallel to antiparallel state has not been achieved in the present device. This is mainly due to the low spin-injection efficiency. To improve the efficiency of the injecting spin current, a newly designed sample has been fabricated, as shown in Fig. 14a [23]. The new sample consists of two Py/Au nanopillars on a Cu wire. As shown in Fig. 14a, the junction size between the Py and Cu in the new sample is effectively diminished, leading to the efficient generation of the pure spin current. Figure 14b shows the nonlocal spin-valve signal as a function of the external field. The obtained spin signal is around 4 mΩ, much larger than that of the previous device. Then, nonlocal spin injection with variable DC current is applied to perform the magnetization switching. The sample is preset to a parallel state at which both magnetizations are aligned in the positive field direction. As can be seen in Fig. 14c, when the current is increased, the nonlocal spin-valve signal sharply decreases at about 4.5 mA, indicating a clear magnetization reversal. According to the change in the nonlocal spin-valve signal, the parallel state is transformed into an antiparallel state which is switched back to the parallel state by a negative DC current of 5 mA. Thus, reversible magnetization switching between antiparallel and parallel states is realized by means of nonlocal spin injection with the specially developed device consisting of perpendicular nanopillars and lateral magnetic nanostructures.

1594

T. Kimura

Very recently, Zou and Ji demonstrate the nonlocal switching of the Py nanodot by using a specially developed LSV structure [24]. They prepared a lateral spin valve with a 5-nm-thick ferromagnetic Py detector. This structure enables to inject the pure spin current entirely in the Py detector. As a result, the magnetization of the Py detector is reversed by a sufficiently large spin torque. The interesting thing is that the structure includes the interface barriers both at the injecting and detecting junctions. According to the spin diffusion model, the interface resistance strongly suppresses the spin current diffusion into the ferromagnet. To understand the result more quantitatively, other effects such as the magnetic interface anisotropy may have to be considered.

Conclusion and Outlook The author described the transport properties of the diffusive spin currents by introducing the experimental studies on the electrical spin injection in metallic nanostructures. The author shows that a nonlocal spin injection in a lateral spinvalve structure enables to create a pure spin current. Electrical control of the direction of the spin accumulation and the generation of the giant pure spin current were demonstrated by the multiterminal spin injections. The author also shows that the diffusion process of the spin current is strongly affected by the spin absorption and reabsorption effects. The magnetization switching of the ferromagnetic nanodot due to the pure spin current injection was demonstrated. A novel manipulation and sensitive detection techniques of the pure spin currents have been developed recently by using the spin Hall effect [45–47]. Moreover, the spin current is found to be generated from the heat flow [48] and to transport even in electrical insulator [49]. These novel techniques may open up possibilities for new spintronic devices with ultralow power consumptions. However, the application feasibility of the pure spin currents is still low at moment because of the low generation efficiency of the pure spin current. Further improvements should be achieved for the practical application of the pure spin current. Very recently, a room-temperature magnetization switching using the pure spin current induced by the spin Hall effect has been demonstrated [50]. This innovative demonstration may revolutionize the realization of more functional spin devices utilizing pure spin currents. Acknowledgment The author would like to thank Prof. Otani for the valuable discussions and the financial supports from NEDO and CREST.

References 1. Wolf SA et al (2001) Spintronics: A Spin-Based Electronics Vision for the Future. Science 294:1488 2. Zutic I, Fabian I, Das Sarma S (2004) Spintronics: Fundamentals and applications. Rev Mod Phys 76:323 3. Chappert C, Fert A, Van Dau Nguyen F (2007) The emergence of spin electronics in data storage. Nat Mater 6:813–823

39

Lateral Spin Transport (Diffusive Spin Current)

1595

4. Maekawa S, Valenzuela SOV, Saitoh E, Kimura T (eds) Spin current. Oxford University Press 5. Pratt WP Jr, Lee S-F, Slaughter JM, Loloee R, Schroeder PA, Bass J (1991) Perpendicular Giant Magne- toresistances of Ag/Co Multilayers. Phys Rev Lett 66:3060 6. Brataas A, Kent AD, Ohno H (2012) Current-induced torques in magnetic materials. Nature Mater. Nat Mater 11:372–381 7. Johnson M, Silsbee RH (1987) Thermodynamic analysis of interfacial transport and of the thermomagne- toelectric system. Phys Rev B 35:4959 8. Jedema FJ, Filip AT, van Wees BJ (2001) Electrical spin injection and accumulation at room temperature in an all-metal mesoscopic spin valve. Nature (London) 410:345 9. Valenzuela SO (2009) Nonlocal Electronic Spin Detection, Spin Accumulation and the Spin Hall effect. Int J Mod Phys B 23:2413 10. Kimura T, Otani Y (2007) Spin transport in lateral ferromagnetic/nonmagnetic hybrid structures. J Phys J Phys Cond Mat 19:165216; Otani Y, Kimura T (2011) Spin current related phenomena in metallic nano-structures. Physica E 43:735 11. Hoffmann A (2007) Pure Spin-Currents. Phys Stat Sol (c) 4:4236 12. Bader SD, Parkin SSP (2010) Spintronics. Annu Rev Condens Matter Phys 1:71 13. Urech M, Korenivski V, Poli N, Haviland DB (2006) Direct Demonstration of Decoupling of Spin and Charge Currents in Nanostructures. Nano Lett 6:871 14. Takahashi S, Maekawa S (2003) Spin injection and detection in magnetic nanostructures. Phys Rev B 67:052409 15. Kimura T, Hamrle J, Otani Y (2005) Estimation of spin-diffusion length from the magnitude of spin- current absorption: Multiterminal ferromagnetic/nonferromagnetic hybrid structures. Phys Rev B 72:014461 16. Mihajlovic G et al (2010) Enhanced spin signals due to native oxide formation in Ni80Fe20/Ag lateral spin valves. Appl Phys Lett 97:112502 17. Garzon S, Zutic I, Webb RA (2005) Temperature-Dependent Asymmetry of the Nonlocal Spin-Injection Resistance: Evidence for Spin Nonconserving Interface Scattering. Phys Rev Lett 94:176601 18. van Staa A, Wulfhorst J, Vogel A, Merkt U, Meier G (2008) Spin precession in lateral all-metal spin valves: Experimental observation and theoretical description. Phys Rev B 77:214416 19. Bass J, Pratt WP Jr (2007) Spin-diffusion lengths in metals and alloys, and spin-flipping at metal/metal interfaces: an experimentalist’s critical review. J Phys Condens Matter 19:183201 20. Kimura T, Otani YC, Levy Phys PM (2007) Electrical Control of the Direction of Spin Accumulation. Rev Lett 99:166601 21. Nonoguchi S, Nomura T, Kimura T (2012) Electrical manipulation of spin polarization and generation of giant spin current using multi terminal spin injectors. J Appl Phys 111:07C505 22. Kimura T, Otani Y, Hamrle J (2006) Switching Magnetization of a Nanoscale Ferromagnetic Particle Using Nonlocal Spin Injection. Phys Rev Lett 96:037201 23. Yang T, Kimura T, Otani Y (2008) Giant spin-accumulation signal and pure spin-currentinduced re- versible magnetization switching. Nat Phys 4:851 4 24. Zou H, Ji Y (2011) Temperature evolution of spin-transfer switching in nonlocal spin valves with dipolar coupling. J Magn Magn Mater 323:2448; Zou H, Chen S, Ji Y (2012) Reversal and excitations of a nanoscale magnetic domain by sustained pure spin currents. Appl Phys Lett 100:012404 25. Sun JZ et al (2009) Publisher’s Note: A three-terminal spin-torque-driven magnetic switch. Appl Phys Lett 95:083506 26. Sun JZ (2000) Spin-current interaction with a monodomain magnetic body: A model study. Phys Rev B 62:570–578 27. Kimura T, Hamrle J, Otani Y, Tsukagoshi K, Aoyagi Appl Y (2004) Spin-dependent boundary resistance in the lateral spin valve structure. Phys Lett 85:3501 28. Zhang S, Levy PM, Fert A (2002) Mechanisms of Spin-Polarized Current-Driven Magnetization Switch- ing. Phys Rev Lett 88:236601 29. Stiles MD, Zangwill A (2002) Anatomy of spin-transfer torque. Phys Rev B 66:014407

1596

T. Kimura

30. Zhang J, Levy PM, Zhang S, Antropov V (2004) Identification of Transverse Spin Currents in Noncollinear Magnetic Structures. Phys Rev Lett 93:256602 31. Taniguchi T, Yakata S, Imamura H, Ando Y (2008) Penetration depth of transverse spin current in ferromagnetic metals. IEEE Trans Magn 44:2636 32. Taniguchi T, Yakata S, Imamura H, Ando Y (2008) Determination of Penetration Depth of Transverse Spin Current in Ferromagnetic Metals by Spin Pumping. Appl Phys Exp 1:0313011-3 33. Nonoguchi S, Nomura T, Kimura T (2010) Longitudinal and transverse spin current absorptions in a lateral spin-valve structure. Phys Rev B 86:104417 34. Taniyama T, Nakatani I, Namikawa T, Yamazaki Y (1999) Resistivity due to Domain Walls in Co Zigzag Wires. Phys Rev Lett 82:2780 35. van Son CP, van Kempen H, Wyder P (1987) Boundary resistance of the ferromagneticnonferromagnetic metal interface. Phys Rev Lett 58:2271–2273 36. Schmidt G, Ferrand D, Molenkamp WL, Filip TA, van Wees JB (2000) Fundamental obstacle for electrical spin injection from a ferromagnetic metal into a diffusive semiconductor. Phys Rev B 62:R4790–R4793 37. Balke B, Wurmehl S, Fecher HG, Felser C, Kubler J (2008) Rational design of new materials for spintronics: Co2FeZ (Z = Al, Ga, Si, Ge). Sci Technol Adv Mater 9:014102 38. Kimura T, Hashimoto N, Yamada S, Miyao M, Hamaya K (2012) Room-temperature generation of giant pure spin currents using Co2FeSi spin injectors. NPG Asia Mater 4:e9. doi:10.1038/am.2012.16. http://www.nature.com/am/journal/v4/n3/full/am201216a.html 39. Bridoux G, Costache MV, Van de Vondel J, Neumann I, Valenzuela SO (2011) Enhanced spin signal in nonlocal devices based on a ferromagnetic CoFeAl alloy. Appl Phys Lett 99:102107 40. Takahashi YK, Kasai S, Hirayama S, Mitani S, Hono K (2012) All-metallic lateral spin valves using Co2Fe(Ge0.5Ga0.5) Heusler alloy with a large spin signal. Appl Phys Lett 100:052405 41. Rashba EI (2000) Theory of electrical spin injection: Tunnel contacts as a solution of the conductivity mismatch problem. Phys Rev B 62, R16267 42. Kimura T, Otani Y, Hamrle Phys J (2006) Enhancement of spin accumulation in a nonmagnetic layer by reducing junction size. Rev B 73:132405 43. Tsoi M, Jansen AGM, Bass J, Chiang W-C, Seck M, Tsoi V, Wyder Phys P (1998) Excitation of a Magnetic Multilayer by an Electric Current. Rev Lett 80:4281 44. Albert FJ, Emley NC, Myers EB, Ralph DC, Buhrman Phys RA (2002) Quantitative Study of Magnetization Reversal by Spin-Polarized Current in Magnetic Multilayer Nanopillars. Rev Lett 89:226802 45. Saitoh E, Ueda M, Miyajima H, Tatara G (2006) Conversion of spin current into charge current at room temperature: Inverse spin-Hall effect. Appl Phys Lett 88:182509 46. Valenzuela SO, Tinkham M (2006) Direct electronic measurement of the spin Hall effect. Nature (London) 442:176 47. Kimura T, Otani Y, Sato T, Takahashi S, Maekawa S (2007) Room-Temperature Reversible Spin Hall Effect. Phys Rev Lett 98:156601 48. Slachter A, Bakker FL, Adam JP, van Wees BJ (2010) Thermally driven spin injection from a ferromagnet into a non-magnetic metal. Nat Phys 6:879 49. Kajiwara Y, Harii K, Takahashi S, Ohe J, Uchida K, Mizuguchi M, Umezawa H, Kawai H, Ando K, Takanashi K, Maekawa S, Saitoh E (2010) Transmission of electrical signals by spinwave interconversion in a magnetic insulator. Nature (London) 464:262 50. Liu L, Pai C-F, Li Y, Tseng H-W, Ralph DC, Buhrman RA (2012) Spin torque switching with the giant spin Hall effect of tantalum. Science 336:555

Index

A Advanced spin-based NVRAM circuits, 1111–1113 Air bearing surface (ABS), 996 All spin logic (ASL) scheme, 1283 operational principle, 1283–1284 AlO based magnetic tunnel junction, 1136 applications, 210–216 dilute magnetic semiconductor composite, 188–189 double barrier structure, 186–187 granular film composite, 190–191 growth and fabrication, 183–185 half-metal, 187, 188 inelastic electron tunneling spectroscopy, 195–198 magnetoresistance effect, 192–195 magnetoresistance oscillation effect, 200–203 nano-ring, 191–192 perpendicular anisotropy, 187–188 quantum well effect, 198–200 sandwich structure, 185–186 spin-dependent Coulomb blockade, 205–207 spin-scattering effect and spin-flip length, 203–205 spin transfer torque, 183, 207–210 spin valve pinned structure, 186 superconductors composite, 189–190 Angle evaporation technique, 689 Angle-resolved photoemission spectroscopy (ARPES), 442–445, 838 Angular dependence of exchange bias hysteretic behavior, 270–274 PD rotation in hysteretic effect, 274–276 Angular-resolved photoemission, 343 Anisotropic magneto-resistance (AMR), 980 effect, 603–604

Annealing process, 588 Anomalous Nernst effect, 1571–1572 Anti-damping SOT contribution, 502 Antiferromagnetic exchange coupling, 651 Artificial crystals, 1531 Asymmetric multipole wiggler, 714 Asynchronous/synchronous pseudo SRAMs, 1107 Atomic layer deposition (ALD), 993 Atomic-scale spintronics spin-polarized STM (see Spin-polarized scanning tunneling microscopy (SP-STM)) spin logic device, 774–780 Auger electron generation, 714 Axial induced moment (AIM), 1093 B Backward volume magnetostatic spin waves (BVMSWs), 1514 Ballistic tunneling, Fe/MgO/Fe majority spin transmission, 53–56 minority spin transmission, 56–59 tunneling conductance, thickness dependence of, 59–61 Band structure calculations, 344 and edge states evolution, 441 Barkhausen jumps, 747 Beam equivalent pressure (BEP), 588 Bi2Se3 crystal structure and band structure, 445–448 Bismuth antimony alloys, 443–444 Bit lines, 1102 Bit-per-inch (BPI), 1011 Bloch equations, 88, 1449 Bloch states, 1136 Blocking temperature, 986

# Springer Science+Business Media Dordrecht 2016 Y. Xu et al. (eds.), Handbook of Spintronics, DOI 10.1007/978-94-007-6892-5

1597

1598 Boltzmann equation for CPP, 34–37 plane geometry, 27–29 semi-classical Boltzmann transport equation, 23–27 Bragg angle, 932 Bragg’s law, 930 Break-junction technique, 662 Bridgman methods, 451 Brillouin spectroscopy, 109 Bulk energy bands, HgTe and CdTe, 438 Bulk ferromagnet, 1454 Bulk single crystals, 451 C Capacitive mechanism, 1128 Capacitors, 485–487 Carbon nanotubes experimental results, 683–686 theoretical analysis, 698–699 Channel-magnet interface region, 1300–1302 Charge–transfer ferromagnetism (CTF), 580 Cherenkov process, 1521 Chromium dioxide, 883–884 Circularly polarized light, 503–507 Circular polarization, 410–411 Clamped-reference scheme, 1113 Coherent magnons, 1506–1507 Coherent spin transport, 525 Collinear configuration, 1586–1587 Compensated antiferromagnets, 351–352 Complex band structure, 49–50 Complimentary-metal-oxide-semiconductor (CMOS), 1244, 1264 inverters, 1285–1286 Configuration-interaction approach, 416 Conjugated honeycomb chained-trimer, 863 Content-addressable memories (CAMs), 1095, 1160–1161 Controlled NOT (CNOT) gate, 76–77 COPHEE apparatus, 845 Cr/Ni/V-doped SnO2 films, 569–571 Crystal field theory, 338 Curie temperature (TC) and doping trends, 472–476 low-temperature annealing process, 588 Mn-doping, effect of, 588–590 nanoscale patterning, 591–601 p-d Zener model, 587 Current induced domain wall motion, 742–745 Current induced torques, 492–495 Current-in-plane (CIP), 8, 33–34

Index Current-in-plane giant magneto-resistance (CIP-GMR), 982 Current-perpendicular-to-plane (CPP), 8, 128, 993, 1578 Boltzmann equation, 34–37 parallel-resistors-in-series model, 9–12 D Damon-Eshbach (DE) mode, 109, 1513 Debye model, 657 Decoherence, 84 Decoupling charge and spin quantities, 1290–1291 Density Functional Theory (DFT) calculations, 766 Density of states (DOS), 350, 470 Depinning field, 1422 Deutsch algorithm, 77 Digital information processing, 1531–1533 Diluted magnetic semiconducting oxides (DMSO) Cr/Ni/V-doped SnO2 films, 569–571 description, 565 TM-doped TiO2 films, 568–569 TM–ZnO thin films, 571–572 V/Cr/Fe/Co/Ni-doped In2O3 thin films, 571 Dilute magnetic semiconductor composite magnetic tunnel junction, 188–189 Dirac equation, 6 Dirac fermion, 451–453, 702–703 Distorted wave born (DWB) approximation, 939 Domain pattern transfer, 377–380 Domain walls current-driven domain wall motion, 1415–1420 discontinuous depinning and oscillations, 745–749 field driven domain wall motion, 1409–1411 in Heusler alloys, 1400–1401 in low anisotropy materials, 1394–1396 in LSMO, 1399–1400 in magnetite, 1397–1399 memory devices, 1425–1431 nucleation, 1403–1409 out-of-plane magnetized materials, 1401–1402 temperature assisted domain wall motion, 1420–1423 wall width determination, 1393 Domain-wall based MRAM, 1113 Dopant segregation technique, 1255

Index Double barrier magnetic tunnel junctions (DBMTJs), 186–187 Double-decker phthalocyaninato lanthanide complexes, 641–645 Dynamical mean-field theory, 875 Dynamic random-access memory (DRAM), 1035 E Echo formation, 1530 Electrical spin injection intershell exchange energies, 412–419 into InAs/GaAs wetting layer, 409–412 at room temperature, 406–409 spin population, 412–419 spin-orbit interaction, 419–425 Electrochemistry, 624 Electroluminescence spectra, 412–413 Electron-beam co-evaporation, 537 Electron beam polarisation, 843 Electron distribution function, 23 Electron-magnon interaction, 1470 Electron micrography, 240 Electron spin, 5–7 Electron spin resonance (ESR), 82, 1206 Elementary specific moments Heusler thin films, 736–738 Energy dependency primary, 951 secondary electron, 950 Energy-efficient integrated circuit applications, 1263–1274 Energy electron loss spectroscopy (EELS), 989 Energy relaxation time, 74 Equalized reference scheme, 1114 Exchange bias (EB) effect, 255 in CoO-based bilayers, 255 FM/AFM system, 255 orientational dependence, 263–267 T dependence, 259–263 thermal stability, 267–268 training effect, 268–270 Exchange-biased spin-valve (EBSV), 153, 161 Exchange interaction, 80 Exchange scattering polarimetry, 845–849 Exchange spin waves, 1515 F Fe atoms vibronic modes, 765 Cu(111), 775–777

1599 Landau Level asymmetry, 760–764 DFT calculations, 766 Fe78B13Si9 amorphous ribbon, 962–965 Fermi velocity, 12 Ferrimagnetic insulator, 1499 Ferro-and antiferromagnets, magnetic coupling, 968–969 Ferroelectric RAM (FeRAM), 1036 Ferromagnetic resonance (FMR), 1006 Ferroelectric tunnel junctions (FTJs). 383–386 Ferromagnet (bulk) region, 1299–1300 Ferromagnetic conductors, 1346 Ferromagnetic/ferroelectric heterostructures domain pattern transfer, 377–380 electric-field driven magnetic domain wall motion, 382–383 local magnetic switching and magnetic domain patterns, 380–382 ME coupling (see Magnetoelectric (ME) coupling) Ferromagnetic insulator (FI), 1462–1465 Ferromagnetic material, 1294 Ferromagnetic metal/diluted magnetic semiconductor bilayers, 728–731 Ferromagnetic metal/insulator interfacial magnetization, 727–728 Ferromagnetic metal-insulator matrix films, 240–241 Ferromagnetic metal interface, 1352–1353 Ferromagnetic metal/semiconductor interfacial magnetization, 723–727 Ferromagnetic proximity polarization (FPP) effect, 311 Ferromagnetic resonance (FMR), 589–590, 1486, 1489, 1507 Ferromagnetic SCMs, 655–657 Ferromagnetic sheet magnetization, 1449 Ferromagnetic (III,Mn)V semiconductors, 494–495 Ferromagnetism, 526, 529–531 pristine oxides, 573–580 TM-doped TiO2 films, 568–569 ZnO system, 572 Field-controlled shift register, 1426, 1427 Field-displacement non-reciprocity, 1514 Field effect transistors, 213–215 Figure-of-merit (FOM), 843 Filling factor, 433 5-transistor, 2-magnetic tunnel junction (5T2MTJ), 1109–1110 FMI/TI bilayers, 731–734 FM/TI bilayers, 731–734

1600 FOCUS SPLEED polarimeter, 850 Fokker-Planck equation, 1363 FORK wiring design, 1105–1107 Forward volume magnetostatic spin waves (FVMSWs), 1513 4-component interface conductance matrix, 1317–1323 4-component spin circuit representation, non-collinear magnet structures, 1317–1323 Free electrons with random point scatterers (FERPS)model, 18–23 Full width at half maximum (FWHM), 438 G (Ga,Mn)As AlOx barrier, 606 Curie temperature (see Curie temperature (TC)) magnetic tunneling junctions, 605–608 Mr-T curves, 588 quantum localization effect, 601–605 spin polarization of, 605 Giant magnetoresistance (GMR), 117–124, 128, 239, 287, 355–356 Boltzmann equation (see Boltzmann equation) boundary conditions, interfaces, 30–33 CIP (see Current-in-plane (CIP)) CPP (see Current-perpendicular-to-plane (CPP)) diffuse interfacial scattering, 37–39 electronic structure, 33–34 FERPS model, 18–23 ferromagnetic metals, spin-dependent resistivity of, 12–14 geometries of, 8 parallel-resistors-in-series model, 9–12, 14–15 sensors, 1015 spin-flip scattering and drift-diffusion model, 15–18 Giant spin Hall effect, 1151–1153 Glauber dynamics (GD), 654, 659 Gold-catalyzed vapor liquid solid (VLS) technique, 451 Granular film composite magnetic tunnel junction, 190–191 Graphene experimental results, 687–694 hyperfine interaction in, 98–100 Spin Qubits In, 92–97

Index spin relaxation in, 97–98 theoretical analysis, 699–702 Grazing incidence in-plane X-ray diffraction (GIIXD), 943–944 Grazing incidence X-ray scattering diffuse scattering, 941 high angle scattering, 920–921 in-plane length scale and correlation length, 938–940 interference, thin layers, 929–931 periodic structures, 932–933 reciprocal space mapping, 940 soft X-ray reflectivity, 933–935 specular scattering geometry, 924 surface and interface roughness, 935–938 surface roughness and interface width, 928–929 Gyromagnetic ratio, 1510 H Hadamard gate, 78 Half-metallic ferromagnets, 342–351 Half-metallic ferromagnet source/drain technology, 1251–1256 Half-metal magnetic tunnel junction, 187, 188 Half metals, XMCD, 734–741 Half select instability, 1069 Hall resistance (RHall), 594, 597 Hanle effect, 688 Hanle-effect spin transistor, 1257 Head-media-spacing (HMS), 997 Heat assisted magnetic recording (HAMR), 798–801 Heisenberg coupling, 79 Heisenberg model, 580 Helical edge state and Berry’s phase, 434–436 Heusler compounds alloys, 164, 288, 887–888, 1400–1401 compensated antiferromagnets, 351–352 giant magneto resistance, 355–356 half-metallic ferromagnets, 342–351 magnetic moment, 337 perpendicular magnetic anisotropy, 357–358 Slater-Pauling rule, 338–342 spin injection, 358 tetragonally distorted, 338 thin films, 735–738 tunneling magnetoresistance, 353–355 High angle diffraction, 941–944 Highly spin-polarized ferromagnet (HSF), 1589

Index High-performance system-on-chips (SoCs), 1108 Hikami-Larkin-Nagaoka (HLN) theory, 457 Hubbard model, 79 Hybrid magnetic/semiconductor (HMS), 288 I Idealized devices, 1373–1375 Incoherent thermal magnons, 1506–1507 Inelastic electron tunneling spectroscopy (IETS), 195–198, 765 In-plane MTJ devices, 1143–1144 In-plane torque, 1355 Instrumental asymmetry, 853–855 Integer quantum Hall effect (IQHE), 433–434 Interdiffusion, 267 Inter-dimer antiferromagnetic interaction, 629 Interfacial anisotropy, 1147 Interlayer exchange coupling (IEC), 110–117 Inter-shell electron relaxation times, 413 Intershell exchange energies, 412–419 Intrinsic magnon-phonon relaxation, 1521–1522 Inverse spin-Hall effect (ISHE), 1462, 1484, 1534, 1555 Ising model, 658 J Jahn-Teller distortion, 338 Julliere model, 39–40, 180, 982, 983 Klein paradox, 93 Kondo effect, 662 K€ubler rule, 341, 351 L Landauer-B€ uttiker formalism, 441 Landauer formula, 40–42 Landau–Lifshitz equation, 1510 Landau–Lifshitz–Gilbert (LLG), 1228 equation, 501, 1288, 1302, 1409–1410, 1448–1449, 1488 magnetization-dynamics simulator, 1303–1304 Lanthanide β-diketone complex, 649–650 Lanthanum strontium manganite, 886, 888 La1.4Sr1.6Mn2O7 965–966 Lateral confinement energies, 415–416 Lateral spin orbit coupling (LSOC), 1234–1235 Lateral spin valve (LSV), 1587–1588

1601 LDA + U method, 347 LEX polarimeter, 848 Light induced torques, 503–511 Linearly polarized light, 507–511 Linear spin-Hall magnetoresistance (LSMR) phenomenon, 491 Line-broadening effect, 1522 Local Oersted field, 1404–1407 Logic-in-memory (LIM) architecture, 1161–1162 Longitudinal spin Seebeck effect, 1566–1568 Lorentz transmission electron microscopy (Lorentz-TEM), 322 Low dimensional magnets. See Single molecule magnets (SMMs); Single-chain magnets (SCMs) Low-energy diffuse scattering, 850 Low-field MR (LFMR), 235–236 Low power (LP) transistors, 1129 Lumped representation, spin circuit, 1307–1311 Lumped spin circuit model, 1307–1311 M Macrospin, 1348 Magnet/channel interface region, 1294–1296 Magnetic anisotropies, 1354 Magnetic couplings, 655 Magnetic disk drive, 1390 Magnetic domain observation methodology, 948 Magnetic exchange force microscopy (MExFM), 759 Magnetic field pumped spin dynamics, 818–827 Magnetic force microscopy (MFM), V-doped TiO2, 569–570 Magnetic granular thin films Co–A1–O insulating granular films, 240 ferromagnetic metal-insulator matrix films, 239 Magnetic linear dichroism (MLD) effect, 809 Magnetic logic devices, 215 Magnetic logic unit (MLU), 1094–1097 2-bit Magnetic look-up table (MLUT), 1159–1160 Magnetic material, 983 Magnetic metamaterials, 1531 Magnetic multilayers, 129, 144–148 Magnetic nanoparticles band structure, 235 interfacial tunneling, 228

1602 Magnetic nanoparticles (cont.) magnetic materials and insulating barrier, 228 percolation concentration, 231 polycrystalline Zn0.41Fe2.59O4, 237–238 spin polarization, 234 Sr2FeMoO6, 233 temperature dependence, 230 TMR effect, 228 Magnetic ordering of magnetite, 738–740 Magnetic oscillations, 1538–1539 Magnetic properties, tuneability of, 467 Magnetic quantum dot cell automata (MQCA), 1165–1166 Magnetic random access memories (MRAMs), 212, 213, 490 Magnetic read heads, 211–212 Magnetic read width (MRW), 1002 Magnetic sensors, 212 Magnetic tape, 1390 Magnetic tunnel junctions (MTJs), 605–608, 727, 1066–1068, 1075, 1104, 1373–1377, 1570 in CMOS-based circuits, 1158–1162 giant spin Hall effect, 1151–1153 perpendicular devices, 1144–1147 in-plane devices, 1143–1144 spin transfer torque effect, 1148–1151 thermal stability factor, 1140–1143 tunneling magnetoresistance, 1133–1139 voltage-controlled magnetic anisotropy, 1153–1158 Magnetic vortex structure, size limit, 966–968 Magnetite, 1397–1399 magnetic ordering of, 738–740 Magnetization dynamics, 1288 Magnetization-dynamics simulator coupling spin transport, 1304–1306 LLG solver, 1303–1304 multi-magnet networks interacting via spin currents simulation, 1306–1307 Magnetization-precession trajectory, 1492–1494 Magnetization reversal, 1406 Magnetoconductance, four-terminal, 439 Magneto-Coulomb effects, 662 Magnetocrystalline anisotropy, 309, 1083, 1511 Magnetocurrent ratio, 1247 Magnetodynamics, 897–903 Magnetoelectric (ME) coupling charge modulation, 369–370

Index exchange interactions, 371–372 strain transfer, 372–377 Magneto-optic Kerr effect (MOKE), 294, 295, 342, 712, 787–788, 790–794, 1404, 1413 Magnetoresistance (MR), 535, 1346, 1354 bias-voltage dependence, 240 concentration dependence, 247 CrO2 particles vs. KMnO4 reaction time, 232 FePt/Fe3O4 core/shell nanoparticles, 236 iron/vinyl ester resin nanocomposites, 236 ratio, 683 SiO2-coated magnetite, 236 spacer materials, 237 temperature dependence, 232 Magnetoresistive random access memory (MRAM) based on magnetic field, 1104–1111 definition of, 1034–1035 designs, 1102–1104 development items of, 1035–1037 field-writing, 1050–1053 history of developments on, 1045–1050 issues, 1120–1124 magnetism, 1039 merits of, 1037 MTJ, 1066–1068 robust MTJ design, 1053 self-reference MRAM, 1087–1094 Stoner-Wohlfarth switching approach, 1069–1070 storage energy, 1039–1041 STT switching, 1079–1087 STT-writing MRAM, 1053–1060 TA-MRAM, 1081–1083 TMR effect, 1041 toggle-switching, 1070–1071 writing principle, 1044–1045 Magnetostatic surface spin waves (MSSWs), 1513–1514 Magnetostriction effect, 1154–1155 Magnon accumulation, 1473 current, 1473 temperature, 1474 Magnon-drag effect, 1570–1571 Magnon-driven spin Seebeck effect, 1559–1562 Magnonic charge pumping, 503 Magnon spintronics amplification, 1528–1529 digital data processing, 1531–1533

Index exchange spin waves, 1515 gyromagnetic ratio, 1509–1511 magnetostatic spin-waves, 1513–1515 magnon buses, 1528 metamaterials and magnonic crystals, 1531 microwave elements, 1527–1528 quasi-classical model, 1507–1509 signal storage and recovery, 1530 wavefront reversal process, 1529–1530 Magnon systems control and manipulation of current, 1526–1527 current excitation and detection, 1523–1524 damping and relaxation, 1518–1522 gas phases, 1516–1517 propagation in microstructures, 1524–1526 Matlab scripts, 1323–1333 Memory applications, 1376–1377 Memory element, 1230–1232 Memristors, 215–216 Metal-to-metal interface and spin-valves, 1343–1346 Micromagnetic parameters, 476–478 Micromagnetic simulations, 1413 Microstructured planar magnonic waveguides, 1525 Microwave absorption power, 1453 MnNiSb charge and spin density distribution, 340 intrinsic defects, 350 spin-polarization, 342 transport properties, 342–343 Mn3 trimers, 627–628 MOKE. See Magneto-optic Kerr effect (MOKE) Molecular beam epitaxy (MBE), 448, 928, 930 grown thin films, 449–451 Molecular spin-transistor, 662–663 Molecular spin valve, 663–665 Momentum relaxation reversal mechanism, 1530 Monosilicides and monogermanides nanostructure devices, 546–550 thin films of, 544–546 Mott scattering, 842–845 Multiexciton configurations, 416 Multiferroic materials, 367 Multi layer graphene (MLG), 683 Multi-magnet networks interacting via spin currents simulation, 1306–1307 Multi-walled carbon nanotube (MWNT), 245

1603 N NAND flash memory, 1035 NAND gate, 1210–1212 Nanomagnet logic (NML), 1165–1166 Nanoprecipitates, 528 Nanoribbons and nanoplates, 451 Non-collinear magnet structures, 4-component Spin circuit representation, 1298–1302, 1317–1323 Non-collinear spin-polarized transport, 1341–1343 Nonlinear spin pumping, 1501–1502 Nonlocal conductivity, 19–23 Non-local resistance, spin valve, 1311–1317 Non-local spin transfer torque (NLSTT) phenomenon, 1283–1285 Non-local spin valves, 1296–1298 Nonlocal spin valve signals, 1586 Non-magnetic channel, 1299 Nanomagnetic logic demagnetization factors, 1221 energy dissipation, straintronics, 1232 hybrid spintronics and straintronics, 1224–1226 logic wire, 1227–1229 magnetic quantum cellular automata, 1222–1224, 1230 universal gate, 1226–1227 Nano-ring shaped magnetic tunnel junction, 191–192 Non-volatile memory (NVM), 1122, 1130–1132 Non-volatile multiturn sensors, 1427–1431 Nonvolatile power gating, 1264, 1268–1271 Nonvolatile SRAM, 1122 and flip-flop, 1264 O Off-track capability (OTC), 1009, 1010 Ohm’s law, 9 Onsager reciprocity relations, 503 Onsager relation, 453–455 Optically pumped spin dynamics, 788 optical probing using MOKE effect, 790–808 two-temperature model, 788–790 Optical mode, 111 Organic semiconductors (OSCs), 246 Out-of-plane magnetized materials, 1402 Overhauser field, 89 Ovonic memory, 1036 Oxide-centered triangular complexes, 627

1604 Oxygen vacancies Mn-doped ZnO, 572 pristine oxides, 573–580 P Parallel pumping, 1529 Parametric instability, 1519 Permalloy, 1513 Perpendicular anisotropic magnetic tunnel junction, 187–188 Perpendicular magnetic anisotropy (PMA), 357–358 Perpendicular MTJ devices, 1144–1147 Perpendicular pumping, 1519, 1529 Perpendicular spin torque, 1355, 1372 Perpendicular tunnel magnetoresistance (p-TMR), 1112 Phase change memory (PCRAM/PCM/ovonic memory), 1036 Phase diagram, 1394–1396 Phase segregation, 535 Phenomenological models, 373 Phonon-drag spin Seebeck effect, 1563–1566 Photoconductive switch structure, 818–819 Photoluminescence, 412 Point Andreev reflection technique (PCAR), 354 Polarimeter calibration, 853 Polarimeter error, 855–856 Polarization, secondary electrons, 951 Polymethylmethacrylate (PMMA), 593 Polyoxometalates, 645 Post-growth oxidation, 304–305 Potential energy, 305 Power gating, 1130 PRAM. See Phase change memory (PCRAM/PCM/ovonic memory) Primary energy dependency, 951 Proximity effect, 728–735 Pseudo-spin-MOSFET, 1262–1263 Pseudo-spin-transistor, 1137–1138 Pseudo spin valve, 120 Pulsed-laser deposition, 546, 574 Pulse generator and micro-coil, magnetic field pulses generation, 819–827 Q Quads, 993 Quantum anomalous Hall effect (QAHE), 440–449 chiral edge conduction, 443

Index Quantum gate, 75, 77 Quantum Hall effect (QHE), 433–434 Quantum oscillations, 456 Quantum parallelism, 78 Quantum point contacts (QPCs), 92 lateral spin orbit coupling, 1234–1235 spin polarization, 1233 Quantum spin Hall effect (QSHE) helical edge state and Berry’s phase, 434–436 insulator, 434 stanene, 440 in two-dimensional topological insulator, 436–438 Quantum tunneling of magnetization (QTM), 619 Quantum well effect (QWE), 198–200 Quantum well states (QWS), 116 Quasi-classical model, 1507–1509 Quintuple layers (QLs), 445 R Racetrack memory device, 1425 Rashba effect, 860–866 Rashba Hamiltonian, 420 Rashba spin-orbit interaction, 1246 Rashba splitting, 866 Reabsorption effect, 1590 Reactive deposition epitaxy, 544 Read and a Write mechanism, 1284 Read circuit design, 1113–1120 Read gap (RG), 995, 997 Read-out mechanism, 1134 Read sensor technology, 981 Reciprocal space mapping (RSM), 922–923, 940–941 Red shift, 417 Reference layer (RL), 987 Reflection high-energy electron diffraction (RHEED), 588 Refractive index, 926 Resistive RAM (ReRAM), 1037 Resistivity mismatch, 1294 Resistors, 478–483 Retarding Mott polarimeter, 842–844 RHEED patterns, 299, 314 Root mean square (RMS), 606 RTA-induced silicidation technique, 1255 Ruderman-Kittel-Kasuya-Yosida (RKKY), 987 interaction, 115

Index S Sandwich structured magnetic tunnel junction, 185–186 Saturation magnetization (MS), 233 Scanning electron microscopy (SEM), 245, 593, 1581 Scanning electron microscopy with polarisation analysis (SEMPA), 896 Scanning tunneling microscopy (STM), measurements, 448–449 Scattering factor, 927 Schiff base, 650 Schottky barrier, 526 Scotch tape method, 451 Secondary electron energy dependency, 950 Sense amplifier reference (SAR), 1119 Series conductance matrix, 1293 Shape anisotropy, 1142, 1511 Sheet conductance, 441 Sherman function, 852 Shield to shield spacing (SSS), 983, 985 Shockley surface states, 860–863 Shubnikov-de Hass (SdH) oscillations, 453 Shunt conductance matrix, 1293 Signal-to-noise ratio (SNR), 1008 Silicon carbide, 550–551 Simple toy-model, 1134 Single atom magnetization curve (SAMC), 775 Single-chain magnets (SCMs), 646–648, 654–660 description, 654 ferrimagnetic, 657–658 ferromagnetic, 655–657 interchain magnetic interaction, 659 magnetization dynamics, 654 spin structures, 656 weak ferromagnetic, 658–659 Single-domain approximation, 1140 Single ion magnet (SIM), 641 Single molecule magnets (SMMs) blocking temperature, 619 FeIII8 and FeIII4 clusters, 638 iron-oxygen clusters, 633–637 lanthanide and actinide ions and clusters, 642–644 Mn2 dimers, 625–626 Mn3 trimers, 627–628 Mn4 tetramers, 629–632 Mn6 hexamers, 631–635 Mn12O12 clusters, 620–625 MII–O clusters, 637, 639 single actinide ion, 652–653

1605 single, 3d-metal ions, 645, 653–654 slow magnetization relaxation, 618 Single spin logic (SSL) advantages, 1207 clock dissipation, 1215 electronic charges, 1207 electron wavefunctions, 1208 ESR experiments, 1206 experimental status, 1220 gate dissipation, 1215 gate error probability, 1219 gate switching speed, 1218 NAND gate, 1209–1212 operating temperature, 1219–1220 pipeline architecture, 1216–1217 pseudo-vector, 1205 reading time, 1218 semiconductor quantum dots, 1208 speed, 1217–1218 spin polarizations, 1206 spin-wire, 1212–1214 Single-voltage-reference read operation, 1114 Slater-Pauling rule, 14, 338–342 Slonczewski torque, 1079 Snell’s law, 926 Sol-gel method, 238 Solitons, 1528 Sonication process, 233 Specific moments, Heusler thin films, 735–738 Specular transmission, 51 Spin absorption effect, 1585–1591 Spin axis reorientation, 880–882 Spin bipolar junction transistor (SBJT), 1203–1205 Spin caloritronics, 1555 Spin circuit analysis, non-local spin valve structures, 1296–1298 Spin-circuit approach, 1290 Spin current density, 1492 Spin-dependent tunneling Bloch states, electrodes, 45–49 Julliere model, 39–40 Landauer formula, 40–42 layer-wise free electron model, 42–44 one-dimensional model, 44–45 Spin detector principle, 952–954 Spin diffusion equations, 1289–1291 charge-spin basis, 1291 Spin-FET, 1246 Spin field effect transistors (SPINFETs) conductance modulation, 1188 Datta-Das transistor, 1178–1182 Dresselhaus spin orbit interaction, 1182

1606 Spin field effect transistors (SPINFETs) (cont.) Elliott-Yafet mechanism, spin relaxation, 1187 MOSFET, 1190 non-ballistic SPINFET, 1184, 1188 non-idealities, 1183–1184 one-dimensional Datta-Das SPINFET, 1193 spin selective barriers, 1189 two-dimensional Datta-Das SPINFET, 1191–1194 unusual features, 1196–1197 wavevector-dependent, 1186 Spin Hall effect, 1465–1468, 1534 Spin-Hall magnetoresistance, 490–492 Spin injection, 358, 1533 Spin integrated energy distribution curves, 869 Spin–lattice relaxation processes, 1518 Spin mixing conductance, 1461 Spin MOSFET applications (see Energy-efficient integrated circuit applications) magnetization configuration, 1246 structure and characteristics, 1247–1251 Spin-orbital coupling (SOC), 436 Spin-orbit interaction, 419–425, 540 Spin-orbitronics, 1153 Spin-orbit splitting, 859 Spin-polarised low-energy electron diffraction (SPLEED) polarimetry, 849 Spin-polarized photo-carriers, 507 Spin-polarized scanning electron microcopy (Spin-SEM) applications, 962–966 characteristics, 955–958 configuration of apparatus, 954–955 CoPC molecules, 767 direct experimental approach, 768 Fe atoms, 759–766 H2PC molecules, 773 images of Permalloy discs, 968 magnetic exchange force microscopy, 759 phtalocyanine molecules, 769–772 principle, 951–954 pseudocolor representation, 963 spatial resolution, 958–962 structure, 955 theory and experiment, 772–773 Spin pumping Bloch equation, 1449 description, 1447 effect, 1535–1537

Index electric detection of, in metallic film, 1484–1488 ferromagnetic insulator, 1462–1465 from insulator, 1498–1501 Landau–Lifshitz–Gilbert equation, 1448–1149 magnetization, 1450–1461 magnetization-precession trajectory and universality, 1492–1494 model of, 1488–1492 nonlinear, 1501–1502 output voltage at resonance, 1465 spin Hall effect, 1465–1468 spin injection into semiconductor by, 1494–1498 thermal, 1468–1476 Spin quantum computing graphene, 92–100 Loss-Divincenzo proposal, 79–81 Nuclear-Spin Induced Decoherence, 87–91 quantum circuits, optimization of, 84 singlet-triplet qubits, 91–92 spin exchange coupling, 82–83 spin relaxation, 84–87 Spin-resolved density of states, 836 Spin-resolved imaging, 896–897 Spin-resolved photoemission spectroscopy, 834, 841, 886 Spin-resolved scanning tunnelling spectroscopy (SR-STS), 896 Spin-resolved two-photon photoemission (SR-2PPE), 878–881, 899 Spin Relaxation, 84–87 Spin reorientation transition (SRT), 322 phase diagram, 883 Spin reorientation transition phase diagram, 883 Spin-scattering effect, 203–205 Spin Seebeck effect definition, 1554 longitudinal, 1566–1568 magnon-driven, 1559–1562 phonon-drag, 1563–1566 thermal spin injection, 1555–1559 Spin-SEM. See Spin-polarized scanning electron microcopy (Spin-SEM) Spin-spin relaxation processes, 1517 Spin signal, 1579–1581 Spinterfaces, 889 Spin-torque applications, 1372–1380 dynamics, in continuous medium, 1356–1359

Index finite-temperature macrospin dynamics, 1363 linearized LLG, 1369 macrospin dynamics, 1348–1349 macrospin, 1348 magnetic excitation and switching, 1370–1371 magnetic tunnel junction, 1354, 1371–1372 metal-to-metal interface and spin-valves, 1343–1346 mixing conductance, 1354–1355 modified LLG equation, 1355–1356 non-collinear spin-polarized transport, 1341–1343 parameterization, 1354–1355 spin-transport, 1349–1352 spin-valves and metal-ferromagnetic metal interface, 1352–1353 spin-wave excitation, 1369–1370 Switching speed and macrospin dynamics, 1363–1368 tunnel barrier and magnetic tunnel junctions, 1346–1347 tunnel barrier interface for spin-transport, 1354 zero-temperature macrospin dynamics, 1359–1361 Spin-torque nano oscillators, 1537 Spin torque reversal, 1407–1408 Spin transfer torques (STTs), 351, 492, 1355 effect, 207–210, 368, 1148–1151, 1537–1539 MRAM, 1111 spin torque, 1148 switching, 1079–1087 Spin transistors, 213 carbon nanotubes, 683–686 Spin transport, 1257–1262 in carbon nanotubes, 683–686 circuit representation, 1288–1302 in graphene, 686–703 modeling using lumped circuit elements, 1289 Spin-transport/ magnetization-dynamics model, 1287–1288 Spintronics, 660, 1131 molecular magnets on surface, 660–662 molecular spin-transistor, 662–663 molecular spin valve, 663–665 Spintronic structure, XMCD ferromagnetic metal/insulator interfacial magnetization, 732–734

1607 ferromagnetic metal/semiconductor interfacial magnetization, 723–727 Spin unipolar junction transistor (SUJT), 1203 Spin valve pinned structured magnetic tunnel junction, 186 Spin-wave-based majority gate, 1164–1165 Spin-wave spectrum, 1511 Squeeze track pitch (SQTP), 1010 SQUID magnetization, 474 Standby power dissipation, 1129 Stanene, 440 Statistical error, of polarisation, 855 Stoichiometric film, 305 Stoichiometry, 336 Stoner-Wohlfarth switching approach, 1069–1070 Stray fields, 1407 STT-writing MRAM, 1053–1061 Superconducting quantum interference device (SQUID), 593 Superconductor composite magnetic tunnel junction, 189–190 Surface Brillouin zone, 864, 867 Surface electronic structure, 878 Surface-induced spintronic states (SISS), 895 Switching current density, 1149–1150 Switching mechanism, 1591 Symmetric magnetization reversal mechanism, 270 Symmetry filtering, 49–52, 65 Synchrotron radiation, 713–714 Synthetic antiferromagnet (SAF), 1069 layer, 15 T Target qubit, 76 Temperature assisted domain wall motion, 1420–1423 Temperature dependent susceptibility, 538 Ternary CAM (TCAM), 1160 Tetragonal distortion, 338 Thermal-driven spin-wave amplification, 1572 Thermal Hall effect, 1572 Thermally activated Arrhenius law, 654 Thermally assisted magnetoresistive random access memory (TA-MRAM) principle of, 1071–1074 STT-TA MRAM, 1081–1083 Thermally assisted switching (TAS) advantages, 1073–1074 principle of, 1073 switching dynamics in, 1077–1079

1608 Thermally assisted quantum tunneling of magnetization, 619 Thermally induced anisotropy reorientation (TIAR), 1084 Thermal spin pumping, 1468–1476 Thermal spin-transfer torque, 1571 Thermal stability, 1146 exchange bias, 267–268 factor, 1143 Thermionic emission–diffusion model, 307 Thermionic vacuum arc (TVA), 241 Thouless, Kohmoto, Nightingale, and den Nijs (TKNN), 433 Three-body scattering approximation, 875 Three-dimensional topological insulators angle resolved photoemission spectroscopy (ARPES) measurement, 444–445 Bi2Se3 crystal structure and band structure, 445–448 scanning tunneling microscopy measurements, 448–449 Three electron–hole model, 424 Three-exciton (3X) complex, 420 Tight binding calculation, 579 Time-of-flight (ToF) energy analysis, 847–849 Time-resolved Brillouin light scattering (BLS) spectroscopy, 1518 Time-resolved MOKE set up, 794–798 dual-pump, 796–798 single pump, 794–796 single pump with a spin-stand, 798 Time-reversal symmetry (TRS), 432 TM-doped TiO2 films, 568–569 Toggle-switching, 1070–1071 Topological insulator (TI), 866–874 material synthesis methods, 449–451 three-dimensional, 442–449 time-reversal-invariant, 432 topological Surface State Properties, 451–458 QSHE in two-dimensional, 436–438 quantum spin Hall effect, 434–440 Topological surface state, 866 Total-electron yield (TEY) detection, 717, 719 Tracks-per-inch (TPI), 1009 Track-width (TW), 996 Training effect, 268–270 Transistors, 487–490 Transition metal doping amorphous silicon and germanium, 537–539 germanium, 531–537 silicon nanowires, 531

Index Transit time spin field effect transistor (TTSFET) base transport factor, 1202 Datta-Das SPINFET, 1200 experimental status, 1202 vs. SPINFET and MOSFET, 1202–1203 structure and operational principle, 1198 Transmission electron microscopy (TEM), 989 term, 722 Tunnel junctions, 483–485 Tunnel junctions and domain walls, 495–497 Tunneling electroresistance (TER), 383 Tunneling magnetoresistance (TMR), 228, 233, 236, 353–355, 483, 587, 605, 607 cobalt interlayer, 64 Co(bcc)/MgO/Co(bcc) and FeCo/MgO/ FeCo, 62, 64 definition, 45 effect, 180 Mg interlayer, 65 sensors, 982–995 Slonczewski model, 45 Two-dimensional electron gas (2DEG), 80 Two-dimensional magnetic recording (TDMR), 1013 Two-magnon scattering, 1522 Two-photon photoemission (2PPE), 840–841 U Ultrafast demagnetization in TbFeCo, 801–808 Ultra-thin Fe films, 769–772 Uniaxial magnetic anisotropy (UMA), 298, 309–310 Uniform magnets, 498–502 V Valet-Fert equation, 16, 18, 26 Van Vleck cancelation, 87 V/Cr/Fe/Co/Ni-doped In2O3 thin films, 571 Vectorial spin analysis, 858 Vector network analysis, 1518 Vegard’s law, 591 Vertex corrections, 19 Vinyl ester monomer, 236 Voltage-controlled magnetic anisotropy (VCMA), 1153–1158 W Walker breakdown, 745, 747 Walker breakdown field, 1411

Index Walker process, 1413 Wavefront reversal process, 1529 Weak antilocalization (WAL) effect, 456–457 Weak localization (WL), 456–458 Word lines, 1102 X XMCD. See X-ray magnetic circular dichroism (XMCD) XPEEM. See X-ray photoemission electron microscopy (XPEEM) XPS technique, 320 X-ray absorption spectroscopy (XAS), 305, 661 X-ray absorption spectrum (XAS), 714 X-ray magnetic circular dichroism (XMCD), 895, 934 advantages, 712 description, 711 detection, 717–719 experimental set up, 717, 718 ferromagnetic metal/insulator interfacial magnetization, 731–735 ferromagnetic metal/semiconductor interfacial magnetization, 723–727 half metals, 735–740 measurements, 572–573 mechanism, 714–717 proximity effect, 728–735 schematic illustration, 715

1609 site sensitivity, 719 sum rules, 719–722 synchrotron radiation, 713–714 X-ray photoemission electron microscopy (XPEEM) current induced domain wall motion, 744–746 domain wall discontinuous depinning and oscillations, 746–749 energy resolution, 743 schematic illustration, 742 X-ray probing using magnetic dichroism, 808–818 X-ray scattering beam conditioning requirements, 920 critical angle, 927 grazing-incidence scattering method (see Grazing incidence X-ray scattering) length scale, 923–924 Y Y3Fe5O12, 1499 Yoneda wing, 935 Yttrium iron garnet, 1513 Z Zeeman splitting, 80, 703 Zener’s double exchange, 566 Zero field resistance, 231