Fundamentals of RF and Microwave Techniques and Technologies 3030940985, 9783030940980

The increase of consumer, medical and sensors electronics using radio frequency (RF) and microwave (MW) circuits has imp

565 112 28MB

English Pages 1553 [1554] Year 2023

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Fundamentals of RF and Microwave Techniques and Technologies
 3030940985, 9783030940980

Table of contents :
Foreword
Preface
Contents
Editors and Contributors
The Authors of this Book
1 Resonant Circuits, One-Port Networks, Coupling Filters Made of Lumped, Passive Components
1.1 Vector Diagrams for Inductances and Capacitors with Losses
1.2 Parallel and Series Resonant Circuits
1.2.1 Lossless Resonant Circuits
1.2.2 Resonant Circuits with Resistive Losses
1.2.3 Resonant Circuits with Multiple Resistances
1.2.4 Multiple Feed Circuit Made of Lumped Elements
1.3 Coupling Band Filters in Transmission Systems
1.3.1 Two-Circuit Coupling Band Filters
1.3.2 Matching Circuits
1.3.3 Multicircuit Coupling Band Filters
1.3.4 Losses in Reactance Filters
1.4 Principle of Conservation of Energy, Impedance, Admittance and Quality Factor Definitions
1.4.1 The Principle of Conservation of Energy in Network Theory
1.4.2 Impedance and Admittance
1.4.3 Definition of the Quality Factor from the Phase Angle
1.4.4 Definition of the Quality Factor with the Aid of the Total Stored Energy
1.4.5 Definition of the Quality Factor from the Phase Slope
1.4.6 Definition of the Quality Factor from the Bandwidth at Resonance
References
2 Wave Propagation on Transmission Lines and Cables
2.1 Introduction
2.2 Propagation of Electromagnetic Waves on Transmission Lines
2.2.1 Equivalent-Circuit Representation of the Line and Derivation of the Telegrapher's Equation
2.2.2 Solution of the Telegraphers' Equation: Propagation Constants and Characteristic Impedance of the Line
2.2.3 Phase and Group Velocity
2.2.4 Exact Representation of the Attenuation and Phase Coefficients
2.2.5 Frequency Dependency of the Characteristic Impedance
2.3 The Reflection Coefficient
2.3.1 Chain Matrix Description of the Transmission Line
2.3.2 The Reflection Coefficient
2.3.3 Transformation of Reflection Factors Through a Transmission Line
2.3.4 Voltages and Currents on Transmission Lines and the Standing-Wave Ratio
2.3.5 Transmission Line Resonators
2.3.6 Reflection Coefficient, Transported Effective Power and Matching of Lossy Lines
2.4 Matching Techniques
2.4.1 Transmission-Line Charts
2.4.2 Narrow-Band Matching Techniques
2.4.3 Broadband Matching Techniques
2.4.4 Application Examples for the Smith Chart
2.5 Scattering Parameters
2.5.1 S-Matrix for Lossless Multiports
2.5.2 Deriving the S-Matrix of a Multiport
2.5.3 Wave Chain Matrix
2.5.4 Calculating Networks Based on S-Parameters
2.5.5 Example: FET and HBT Amplifier Matching
References
3 Impedance Transformers and Balanced-to-Unbalanced Transformers
3.1 High-Frequency Transformers Overview
3.1.1 Transformers for Impedance Transformation
3.1.2 Resonance Transformers Consisting of Lumped Elements
3.1.3 Line Transformers Consisting of Homogeneous, Low-Loss Lines
3.1.4 Line Transformation with Inhomogeneous Low-Loss Lines
3.1.5 Transformers in Microstrip Technology
3.2 Matching Between Balanced and Unbalanced Lines
3.2.1 Balancing Transformer
3.2.2 Baluns Consisting of Line Elements
3.2.3 Broadband Line Transformers for Transformation and Balancing Made of Lines and Ferrite Components
References
4 Properties of Coaxial Cables and Transmission Lines, Directional Couplers and RF Filters
4.1 Properties of Coaxial Cables and Transmission Lines
4.1.1 Concept of the Wave Impedance
4.1.2 Characteristic Impedance of a Line and Capacitance Per Unit Length
4.1.3 Characteristic Impedance of a Line and Inductance Per Unit Length
4.1.4 Power Transfer and Power Density
4.1.5 Voltage Loading, Line Attenuation and Heat Limitation in High Power Cables
4.1.6 Optimal Coaxial Cables
4.2 Striplines
4.2.1 Overview of Different Designs and Applications
4.2.2 Field Types in Striplines
4.2.3 Quasi-static Line Constants
4.2.4 Stripline (Triplateline)
4.2.5 Microstrip
4.2.6 Coplanar Waveguides
4.2.7 Coplanar Strips
4.2.8 Slotlines
4.3 Coupled TEM-Wave Lines
4.3.1 Line Differential Equations
4.3.2 Even- and Odd-Mode Excitation
4.3.3 Chain Matrix
4.4 S-Matrix for Matched Couplers and Power Dividers
4.4.1 Conditions for Non-dissipative Combiners and Dividers and the Even-Mode—Odd-Mode Analysis
4.5 Ring Couplers (180° and 90° Hybrid)
4.6 Directional Couplers
4.6.1 S-Matrix for Termination with the Characteristic Impedance of the Line
4.7 TEM Wave Directional Couplers
4.7.1 Definitions and Illustration of the Directional Effect
4.7.2 Spatially Dependent Coupling
4.7.3 Modified Coupling Sections for Attaining High Coupling
4.8 Matched Three-Port Network (Wilkinson Power Divider)
4.9 Microwave Filters Based on Lines
4.9.1 Richards Transformation
4.9.2 Bandstop Filter with Line Resonators, Circuit Transformations
4.9.3 Bandpass-Filters and Phase Shifters Made of Coupled Wave Lines
4.9.4 Interdigital and Comb Line Bandpass Filters
4.10 Tunable Filters
4.10.1 Impedance Matching
4.11 Surface Acoustic Wave Filters
4.11.1 Introduction
4.11.2 Interdigital Transducers
4.11.3 Interdigital Transducer Filters
4.11.4 Surface Acoustic Wave (SAW) Filters with Low Insertion Loss
4.11.5 Other SAW Devices
References
5 Field-Based Description of Propagation on Waveguides
5.1 Maxwell’s Equations
5.1.1 Wave Equations for E and H, the Electrodynamic Potentials A and φ
5.1.2 Maxwell’s Equations in Component Representation
5.1.3 Wave Equations for the Axial Components EZ and HZ and the Remaining Components
5.1.4 Boundary Conditions for the Electric and Magnetic Field Quantities
5.1.5 Poynting Vector and Poynting’s Theorem
5.2 Relationships Between Field Theory and Transmission Line Theory
5.2.1 TEM Waves
5.2.2 Consideration of the Conductor Losses
5.2.3 Comparison of Lecher, Transmission Line and TEM Waves
5.3 Plane Waves in an Infinite, Piecewise Homogeneous Medium
5.3.1 Homogeneous Plane Wave, TEM Wave
5.3.2 TE Waves and TM Waves
5.3.3 Laws of Reflection and Refraction
5.4 Dielectric Waveguides
5.4.1 Dielectric Slab Waveguides
5.4.2 Cylindrical Dielectric Waveguides
5.4.3 Optical Fibers
5.5 Surface Waveguides
5.5.1 Dielectrically Coated Metal Slab
5.5.2 Dielectrically Coated Metal Wire
5.6 Metallic Waveguides for Higher Order Modes
5.6.1 The Parallel-Plate Line
5.6.2 The Rectangular Waveguide
5.6.3 The Circular Waveguide
5.6.4 Generalized telegrapher’s Equations. Waveguide Equivalent Circuits and Attenuation of Waveguide Waves
5.6.5 Coaxial Line with Higher Modes
5.7 Components Used in Waveguide Technology
5.7.1 Junctions with Rectangular Waveguides
5.7.2 Metallic Irises and Posts in Waveguides
5.7.3 Waveguide Loaded with Inhomogeneous Dielectric Material
5.7.4 Cavity Resonators
5.7.5 Waveguide and Dielectric Resonator Based Filters
5.7.6 Waveguide Directional Couplers
5.8 Wave Propagation in Gyromagnetic Media (Directional Components, Ferrites and Yttrium Iron Garnet Garnets)
5.8.1 Basic Principles
5.8.2 Application in Nonreciprocal Components
References
6 Antennas
6.1 Introduction
6.2 The Hertzian Dipole
6.3 The Concept of Duality and the Small Loop
6.4 Antenna Parameters
6.4.1 Radiation Resistance
6.4.2 Directivity, Beamwidth and Equivalent Solid Angle
6.4.3 Efficiency and Gain
6.4.4 Near-Field and Far-Field
6.4.5 Polarization
6.4.6 Effective Length and Effective Aperture
6.4.7 Friis Transmission Equation
6.4.8 Effect of Earth’s Atmosphere and Radiation Power Exponent
6.5 Antenna Arrays
6.5.1 Image Principle and Monopole Antenna
6.5.2 The N-Element Linear Array
6.5.3 Beamforming Networks
6.5.4 The Two-Dimensional Array
6.5.5 Conformal Arrays
6.5.6 Mutual Coupling
6.6 Wire Antennas
6.6.1 Dipoles
6.6.2 Loop and Helix
6.6.3 Slot Antenna
6.6.4 Small Antennas
6.7 Aperture Antennas
6.7.1 Aperture Concept
6.7.2 Horn Antennas
6.7.3 Corrugated Horn und Dual-Mode Horn
6.7.4 Reflector Antennas
6.7.5 Lens Antennas
6.8 Patch and Planar Antennas
6.9 Antenna Measurement Techniques
References
7 Semiconductors and Semiconductor Devices and Circuits
7.1 Historical Approach to Physical Properties of Semiconductors
7.1.1 Conductivity of Semiconductors [80]
7.1.2 Intrinsic Conduction of Semiconductors (Ge, Si, GaAs, GaN)
7.1.3 Impurity Conduction (Doping)
7.1.4 Band Model of Semiconductors
7.1.5 Carrier Density as a Function of the Density of States and Fermi–Dirac Distribution
7.1.6 Electron Transfer Effect
7.2 Semiconductor Devices with Two Electrodes
7.2.1 The p–n Junction
7.2.2 The Metal–Semiconductor Junction
7.2.3 RF Diodes
7.2.4 Diodes for RF Oscillators
7.3 Bipolar Transistors
7.3.1 Manufacturing Techniques and Processing of Transistors
7.3.2 Current–Voltage Relationships (Ebers-Moll Equations)
7.3.3 Regions of Operation for Bipolar Transistors
7.3.4 Sets of Characteristic Curves for Bipolar Transistors
7.3.5 Bipolar Transistors as Amplifiers in Small-Signal Mode
7.3.6 Transfer Properties of Single—Stage Transistor Circuits
7.3.7 Temperature Dependency and Temperature Stabilization of Bipolar Transistors
7.3.8 Bipolar Transistors at Higher Frequencies
7.3.9 Bipolar Microwave Transistors
7.3.10 Heterojunction Bipolar Transistors (HBT)
7.4 Unipolar Transistors (Field-Effect Transistors)
7.4.1 Basic Principle, Embodiments and Characteristics
7.4.2 Small-Signal FETs
7.4.3 High-Power FETs
7.5 Analog High-Frequency Integrated Circuits (ICs)
7.5.1 Introduction
7.5.2 Monolithic Microwave Integrated Circuit Designs (MMICs)
7.5.3 Detailed Passive Components and Networks
7.5.4 Design Flow and Computer Aided Design (CAD)
7.5.5 Circuit Technology
References
8 Interference and Noise
8.1 Mathematical Description of Noise
8.1.1 Probability Density Function and Averages
8.1.2 Auto- and Cross-Correlation
8.1.3 Noise in the Frequency Domain
8.2 Physical Noise Sources
8.2.1 Shot Noise
8.2.2 Thermal Noise
8.2.3 1/f Noise
8.3 The Spot Noise Figure
8.3.1 Spot Noise Figure of Matched Cascaded Twoports
8.3.2 The Noise Measure and Its Significance in Cascade Connections
8.3.3 Spot Noise Figure of Matched Passive Twoports
8.4 Noise in Linear Multiports
8.4.1 Noise Parameters
8.4.2 Noise Circles
8.4.3 Noise Correlation Matrices
8.5 Noise in Transistors
8.5.1 Field-Effect Transistors
8.5.2 Bipolar Transistors
8.6 Antenna Noise
References
9 Amplifiers
9.1 Amplifier Characteristics in Complex Functions
9.1.1 Amplification and Gain
9.1.2 RF-Device Configurations
9.1.3 RF-Parameter Description of Small-Signal Amplifiers
9.2 RF-Feedback
9.2.1 Basic Principles
9.2.2 Basic Applications
9.2.3 Selective Amplifiers
9.3 Gain and Matching
9.3.1 Power Gain and Impedance Matching
9.3.2 Small-Signal Amplifier with Field Effect Transistors
9.3.3 Signal Flow Diagrams
9.3.4 Power Gain Definitions
9.3.5 Stability
9.3.6 Practical Stability
9.4 Amplifier Basics
9.4.1 Multistage Concepts and Interstage-Matching
9.4.2 Stability and Biasing
9.4.3 DC-/RF-Blocking
9.5 RF Small-Signal Amplifiers
9.5.1 High-Gain Amplifier
9.5.2 Low-Noise Amplifiers
9.5.3 Integrated Broadband Amplifier
9.5.4 Differential Amplifier
9.6 Nonlinear Effects and Large-Signal Behavior
9.6.1 Fundamental Device Limits
9.6.2 Large-Signal Characteristics and Nonlinear Distortions
9.6.3 Power Compression
9.6.4 Dependence of Gain on Impedances and Matching
9.6.5 Source and Load Reflection
9.6.6 The Generation of Harmonics
9.6.7 The Concept of a Loadline
9.6.8 Efficiency
9.6.9 Cascaded Intermodulation Products
9.6.10 The Frequency Pyramid
9.6.11 Linearity Concepts and Measures
9.7 Hybrid and Integrated Circuit Based Amplifiers
9.7.1 Lumped Elements and Hybrid Components
9.7.2 Integrated RF-Circuits
9.7.3 Passive RF-Components and Their Use for Matching
9.7.4 Transmission Lines and Parameters
9.7.5 mm-Wave and Sub-mm Wave Integrated Circuits
9.7.6 Cointegration of RF- and Digital-Functions
9.8 Design Rules and Layout
9.8.1 Design Rules
9.8.2 Layout
9.8.3 Thermal Limits
9.9 The ABC of Amplifier Classes
9.9.1 Classes-A, -B, -C
9.9.2 DC- and Load-Modulation
9.9.3 Class-D, Class-E, and Class-F Applications
9.9.4 General Harmonic Waveform Shaping
9.9.5 Continuous Modes
9.9.6 Switch-Mode Amplifiers
9.10 Problems
References
10 Oscillators and Frequency Synthesis
10.1 Oscillation Conditions and Stability Criteria
10.1.1 Linearized Time Domain Model
10.1.2 Feedback View of Oscillators
10.1.3 One-Port Negative Resistance Theory
10.2 Phase Noise
10.2.1 Effect of Phase Noise
10.2.2 Leeson's Empirical Phase Noise Model
10.2.3 Linear Analysis Approach
10.2.4 Mixer Analysis Approach
10.2.5 Hajimiri's Linear Time Variant Analysis Approach
10.3 Oscillators Using Negative Resistance Devices
10.3.1 Tunnel Diode Oscillators
10.3.2 Transferred Electron Devices (Gunn Elements) as Oscillators
10.3.3 Avalanche Transit Time Oscillators (Read and IMPATT Diodes)
10.3.4 Two-Terminal Oscillators with Transit-Time Tubes
10.4 Feedback Oscillators Using Two-Port Devices
10.4.1 General Considerations
10.4.2 LC Oscillators
10.4.3 RC Oscillators (Oscillation Condition)
10.4.4 Frequency Stability
10.4.5 Quartz Oscillators
10.4.6 Stabilization of the Oscillation Amplitude
10.5 Integrated-Circuit Oscillator Realizations Using GaAs-FET
10.5.1 Oscillator Circuits
10.6 Oscillators with Surface Acoustic Wave Resonators (SAW Oscillators)
10.6.1 Colpitts Oscillator Stabilized by SAW One-Port Resonator
10.6.2 Pierce Oscillator with SAW Two-Port Resonator
10.7 Voltage-Controlled Oscillators in CMOS Technologies
10.7.1 Ring Oscillators
10.7.2 LC Oscillators
10.7.3 Cross-Coupled Pair
10.7.4 Three-Point Oscillators
10.7.5 VCO Classes
10.7.6 Phase-Noise Optimization Techniques
10.7.7 Advanced Circuit Techniques
References
11 Frequency Synthesizer
11.1 Introduction
11.2 Building Blocks of Synthesizers
11.2.1 Voltage Controlled Oscillator
11.2.2 Reference Oscillator
11.2.3 Frequency Divider
11.2.4 Phase-Frequency Comparators
11.2.5 Diode Rings
11.2.6 Edge-Triggered JK Master–Slave Flip-Flops
11.3 Loop Filters—Filters for Phase Detectors Providing Voltage Output
11.4 Important Characteristics of Synthesizers
11.4.1 Frequency Range
11.4.2 Phase Noise
11.4.3 Spurious Response
11.5 Transient Behavior of Digital Loops Using Tri-State Phase Detectors
11.5.1 Pull-In Characteristic
11.5.2 Lock-In Characteristic
11.6 Loop Gain/Transient Response Examples
11.7 Practical Circuits
11.8 The Fractional-N Principle
11.9 Spur-Suppression Techniques
11.10 Digital Direct Frequency Synthesizer
11.10.1 DDS Advantages
References
12 Software Defined Radio, Receiver and Transmitter Analysis
12.1 Introduction
12.2 The Image Rejection Mixer/Quadrature Mixer
12.3 The Sampling Theorem
12.4 The AD-Converter
12.5 The DA-Converter
12.6 The Digital Down-Converter
12.7 The Digital Up-Converter
12.8 Demodulation Algorithms
12.8.1 AM Demodulator
12.8.2 FM Demodulator
12.8.3 Data Demodulators
12.9 SDR Realisation Example
12.10 Phase Noise, Desensitization
12.11 Filters
12.12 Noise Blanker
12.13 Automatic Gain Control
12.14 The S-Meter
12.15 Spectrum Monitoring
12.16 Adaptive Transmitter Pre-distortion
References
13 Mixing and Frequency Multiplication
13.1 Introduction
13.2 Theory and Applications of Mixing
13.2.1 Mathematical Model
13.2.2 Heterodyne Receiver
13.3 Combination Frequencies in Nonlinear Components
13.3.1 Small-Signal Theory of Mixing
13.3.2 Upconversion, Downconversion, Common Position, Inverted Position, Image Frequency
13.4 Realization of Mixers
13.4.1 Mixing with Semiconductor Diodes as Nonlinear Resistors
13.4.2 Mixing with Semiconductor Diodes as Nonlinear Capacitors
13.4.3 Mixing with Transistors as Nonlinear Element
13.4.4 Mixing with Active Transistor Multipliers (Gilbert Cell)
13.5 Frequency Multiplication
13.5.1 Frequency Multiplication by Transistor Circuits
References
14 Modulation Methods
14.1 Outline
14.2 Information Signals
14.2.1 Analog Signals
14.2.2 Digital Signals
14.2.3 The Signal Bandwidth
14.2.4 Shaping of Digital Signals
14.3 Carrier Signals
14.3.1 Manipulation of Carrier Parameters
14.4 Comparison of Analog and Digital Modulation Methods
14.4.1 Analog Modulations
14.4.2 Digital Modulations
14.4.3 Semantic Classification of Digital Modulations
14.4.4 The Modulations in Detail
14.5 The Amplitude Modulations
14.6 AM, DSB and QAM
14.6.1 The Amplitude Modulation in Time Domain
14.6.2 Block Diagram AM Modulator
14.7 Spectrum of Amplitude Modulation
14.8 AM Modulation Degree
14.8.1 Compatibility
14.8.2 Definition of the Degree of Modulation
14.9 Power of AM
14.10 AM Demodulation
14.10.1 Envelope Demodulator (Asynchronous Demodulation)
14.10.2 Synchronous Demodulation of AM
14.11 Demodulation of DSB
14.11.1 Carrier Recovery for DSB with Costas Loop
14.12 Quadrature Double Sideband Modulation QDSB
14.12.1 QDSB Modulation and Demodulation
14.13 Angle Modulation
14.13.1 The Angle Modulation in the Time Domain
14.13.2 Relation of Phase- and Frequency Modulation
14.13.3 Cosine Information Signal
14.14 The Angle Modulation in the Frequency Domain
14.14.1 Phase Modulation with a Frequency Modulator
14.14.2 Generation of FM with a Phase Modulator
14.15 Spectra of Angle Modulation
14.15.1 Classical Analysis of FM
14.15.2 Spectral Distribution of the FM Signal for Cos-Shaped Message Signal
14.15.3 Spectral Distribution and Bandwidth of the FM Spectrum for the General Case of the Message Signal
14.15.4 Narrowband Modulation Spectrum
14.16 Modulators and Demodulators for PM and FM
14.16.1 Generation of Phase Modulation with I/Q Phase Modulator
14.16.2 Generation of a Frequency Modulation
14.16.3 Demodulation of a Phase Modulated Signal
14.16.4 Demodulation of a Frequency Modulation
14.17 Noise in FM
14.18 Digital Modulations
14.18.1 Block Diagram of the Digital Modulator
14.18.2 Information Transmission Analog and Digital
14.18.3 Properties of Signals in the Physical Transmission Channel
14.18.4 Block Diagrams of the Digital Transmission System
14.18.5 Channel Capacity and Shannon Limit
14.19 Baseband Signals
14.19.1 The Baseband Channel
14.19.2 The Transmitter Side
14.19.3 The Receiver's Side
14.20 Spectra of Digital Signals in the Baseband
14.20.1 Data with Statistical Independence
14.21 Inter-Symbol Interference and Nyquist Condition
14.22 Nyquist Condition
14.22.1 Ideal Low Pass as the Simplest Form that Meets Nyquist Condition 1
14.22.2 Generalization of the Nyquist Condition 1
14.22.3 Cosinus Roll Off
14.22.4 Smoothing Filter with Cosine Roll Off
14.22.5 Nyquist Condition 2
14.22.6 Symbol Rate and Spectral Efficiency for Cosine Roll Off Rounding
14.23 Root Raised Cosine
14.23.1 The Eye Diagram
14.24 Digital Single-Carrier Modulation Methods
14.24.1 Model of the Digital Modulator
14.24.2 Systematics of Digital Modulations
14.24.3 Quadrature Modulation Method: Intervention into the Amplitude of the Carriers
14.24.4 Amplitude-Phase Modulation Method: Intervention in Amplitude and Phase of the Carriers
14.25 The Complex Envelope
14.25.1 Representation of Modulation Schemes with the Aid of Complex Envelopes
14.25.2 The Vector Diagram
14.26 Quadrature Carrier System
14.26.1 Higher Level QAM
14.27 Modulations with Constant Envelope
14.27.1 From QPSK to Offset QPSK (OQPSK)
14.27.2 From OQPSK to MSK
14.27.3 CPM Methods with Rounded Data Symbols
14.27.4 The Gauss Rounding
14.28 Demodulation Techniques for Single Carrier Modulations
14.28.1 Principle Structure of the Receiver
14.28.2 Equivalent Low-Pass Signals
14.28.3 Block Diagrams of the Digital Demodulator
14.28.4 Synchronous Demodulation of MSK Signals
14.29 Synchronization of the Digital Receiver
14.30 Multicarrier Modulation
14.30.1 Terrestrial Radio Channel
14.30.2 Channel Equalization Methods
14.30.3 Multicarrier Modulation
14.30.4 OFDM Time Curves
14.31 The OFDM in the Frequency Domain
14.31.1 Higher-Level Symbol Constellations in the Subchannels
14.31.2 Pilot Symbols
14.31.3 Time and Frequency Dependence of the Channel Transfer Function
14.32 OFDM Modulators and Demodulators
14.32.1 Why IFFT in the Transmitter and FFT in the Receiver?
14.33 Power Density Spectrum of the OFDM
14.33.1 Power Density Spectrum in the Receiver and Orthogonality
14.33.2 Synchronization
14.34 From OFDM to COFDM
14.34.1 The Need for Error Protection Coding
14.34.2 Two-way Path and Punctured Convolution Codes
14.34.3 Interleaving
14.35 Single-Carrier Modulation with Frequency Domain Equalization
14.35.1 Relationship to OFDM
14.35.2 SC-FDE Block Structure
14.35.3 Frequency Domain Filtering
14.36 3GPP-LTE Upstream
14.36.1 SC-FDMA as an Access Method
14.37 Spread Spectrum Modulations
14.37.1 Principle of ``Direct Sequence'' Spreading Technique
14.37.2 Features of the Spread Spectrum Modulations
14.37.3 Definition of Spread Spectrum Methods
14.37.4 Binary Pseudo-Random Signals
14.37.5 Cross Correlation of PN Sequences
14.37.6 Direct Sequencing Spread Spectrum
14.37.7 The Processing Gain
14.37.8 Frequency Hopping Method
14.37.9 Time Hopping
14.37.10 Chirp Procedure
References
Appendix Appendix
A.1 Laws of Fourier Transformation
A.1.1 Multiplication and Convolution
A.1.2 Derivation of the Simplified Method of Convolution in the Time Domain
A.1.3 Examples for ``Simplified Convolution''
A.1.4 Forming of Data Symbols: Roll-Off
A.1.5 RDS Symbol and Spectrum
A.2 Frequency and Instantaneous Frequency
A.2.1 Frequency
A.2.1.1 Example: Vibrating Frequency Meter
A.2.2 Filter Bank
A.2.3 The Time-Bandwidth Law
A.2.4 Definition of the Term ``Frequency''
A.2.4.1 Contradictions in Other Definitions of Frequency
A.2.5 Relationship with the Natural Oscillation of the Measuring Instrument; Resonance
A.2.6 Walsh Functions as Prototype for Orthogonal Codes
A.3 The Instantaneous Frequency
A.3.1 The Frequency Deviation
A.4 The Hilbert Filter
A.4.1 Hilbert Allpass Filter
A.4.2 Hilbert Lowpass Filter
A.4.3 Hilbert Bandpass Filter
References
Index

Citation preview

Hans L. Hartnagel Rüdiger Quay Ulrich L. Rohde Matthias Rudolph   Editors

Fundamentals of RF and Microwave Techniques and Technologies

Fundamentals of RF and Microwave Techniques and Technologies

Hans L. Hartnagel · Rüdiger Quay · Ulrich L. Rohde · Matthias Rudolph Editors

Fundamentals of RF and Microwave Techniques and Technologies

Editors Hans L. Hartnagel Elektrotechnik und Informationstechnik Technische Universität Darmstadt Darmstadt, Germany Ulrich L. Rohde Brandenburgische Technische Universität Cottbus-Senftenberg Cottbus, Brandenburg, Germany Fakultät für Informatik Universität der Bundeswehr München Munich, Germany Rohde & Schwarz Munich, Germany

Rüdiger Quay Fraunhofer Institute for Applied Solid State Physics IAF Freiburg im Breisgau, Baden-Württemberg, Germany Fritz-Hüttinger Chair for Energy-Efficient High-Frequency Electronics Albert-Ludwigs-Universität Freiburg Freiburg im Breisgau, Baden-Württemberg, Germany Matthias Rudolph Fachgebiet Hochfrequenz- und Mikrowellentechnik Brandenburgische Technische Universität Cottbus-Senftenberg Cottbus, Brandenburg, Germany

ISBN 978-3-030-94098-0 ISBN 978-3-030-94100-0 (eBook) https://doi.org/10.1007/978-3-030-94100-0 © The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 This work is subject to copyright. All rights are solely and exclusively licensed by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. The publisher, the authors, and the editors are safe to assume that the advice and information in this book are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the editors give a warranty, expressed or implied, with respect to the material contained herein or for any errors or omissions that may have been made. The publisher remains neutral with regard to jurisdictional claims in published maps and institutional affiliations. This Springer imprint is published by the registered company Springer Nature Switzerland AG The registered company address is: Gewerbestrasse 11, 6330 Cham, Switzerland

Foreword

The notion of microwaves has been generally coined in the twentieth century to represent very important radio frequency (RF) bands of about GHz range. The techniques developed were applied for the novel military systems of ground and airborne radars during World War II and applications related to wireless communications of radio links at the turn of the twentieth century with Marconi radios. However, the methodologies established at microwaves are applicable to any distributed circuits that offer physical circuit dimensions comparable to wavelength of the RF signals and hence resulting in phase variation along the path of signal flow. Fundamentals of distributed structures were applied to telegraphy and power transmission lines before finding importance for military and civilian system of radar or telecommunications in 1950s. Over a period of 50 years, many aspects of RF and microwaves have transitioned to many important commercial and scientific applications and now span areas of personal communications, Internet communications, and automotive radar for collision warning and their utility in self-driving vehicles, therapeutic and imaging application in medicine and biology, microwave oven appliances, energy and power transfer applications, Internet of things for home local area networks, exploration of deep space and radio astronomy, and weather and agricultural monitoring as remote sensing. In addition, ever-increasing demand for a higher data throughput and need for large volume manufacturing of miniaturized micro- and nano-electronics circuits have bridged a gap between microwaves and photonics, known as THz domain. The modern training of RF engineers requires teaching of fundamentals of electromagnetic fields, solid-state device physics, understanding of electronic and optical components, advanced knowledge of integrated circuit (IC) design for low power, and understanding of electron beams in high-power microwave systems. Understanding of many aspects of these foundational concepts is now augmented by a variety of computer-aided design (CAD) simulation tools that manage accurate solution by setting up complicated problems with good understanding of fundamentals. My first exposure to microwaves was in part due to performing well in my undergraduate electromagnetic fields course and given opportunity to assist with design of a microwave satellite receiver project. I was fortunate to be partnering in this project with my good friend, Prof. Kamal Sarabandi (now at the University of Michigan), v

vi

Foreword

as junior student in Arya-Mehr University of Technology (now Sharif University of Technology) under guidance of late Prof. Baghdesarian and two senior students that were heading to Stanford University for their PhD studies. The bug for the microwaves was planted, and later when I transferred to Case Western Reserve University in 1979, I got my further education under guidance of late Prof. Robert E. Collin. Through my graduate studies and meaningful interactions with many other great experts (Dr. Herbert Thal from GE-Aerospace and Dr. Arye Rosen from RCA Labs) and educators (Professors Beard, Rothwarf, Herczfeld, and Coren at Drexel University), I further got involved with many other aspects of microwaves. Since 1987 as an assistant professor of electrical and computer engineering of Drexel University, I have been involved with development of various courses in areas of microwaves and photonics to train undergraduate and graduate students with the essentials of modern microwaves in service of the regional aerospace, national security, and telecommunication industries. When I started with development of my introductory graduate courses in 1987 and later with a new senior sequence for meeting the employment opportunities for the telecommunication hardware engineers at microwaves starting in late 1990s, monolithic microwave integrated circuits (MMIC) were one of the most exciting topics in microwaves. I had structured my course sequence based on several great microwaves textbooks in English language to present a “complete” picture of the microwave field over three terms. Each book focused on different aspects of microwave engineering. I had used books of Jackson, Collin, Ramo/Whinnery/van Duzer, Watson, Rizzi, Liao, Kraus, Stutzman/Thiele, and then Balanis. I have settled in the last 15 years on the Pozar’s well-organized microwave engineering book, but I have continued to supplement it by handout and excerpts extracted from specific topic books by Kong, Bahl/Bhartia, Gonzales, Gupta, Ludwig/Bretchko, Vendelin/Pavio/Rohde, and Elliot. At any rate, I would have regularly started my sequence with electromagnetic field wave foundations and discussions on TEM and non-TEM transmission lines, then moved to passive circuits, and then end the three-term sequence with concepts of active microwave sub-systems, even though for undergraduate students, I would use the reverse order by starting from circuit perspective and then end in electromagnetic and radiating systems. In early 1990, CAD programs were becoming an integral part of the students training in microwave circuits and later in topics related to antennas and radiating systems, when computational tools of method of moments (MoM) and then finite element (FEM) and finite difference time domain (FDTD) techniques became available on desktop computers. These computational tools were introduced as supplementary aspect to solve more complicated circuit and electromagnetic field problems using first principles of electromagnetic field fundamentals and network theory concepts. As a graduate student in early 1980s, I was using Compact Software through modem link to David Sarnoff Research Laboratory to design and optimize performance of loaded line phase shifter to be better controlled using the recently developed optically controlled PIN diodes in Dr. Arye Rosen’s group. When I started developing my graduate courses at Drexel, I sought to include as part of my graduate education CAD tools and even advocated integrating concepts of high-speed

Foreword

vii

optical transceivers as part of distributed microwave photonics-based optical distribution systems. I reached out to Dr. Ulrich Rohde, who had started Super-Compact Software Company by acquiring the rights to Compact Software from COMSAT Corp. and proposed to expand capabilities of Super-Compact with optical transmitter and receiver modules for microwave photonics sub-systems. Professor Rohde accepted my invitation to lecture to the IEEE AP/MTT-S Chapter in Philadelphia to educate our community about power of CAD modeling to a room filled primarily with eager graduate students of Center for Microwave/Lightwave Engineering and GE/RCA engineers in Delaware Valley region. Professor Ulrich Rohde due to his love of teaching and his generosity, donated a complementary package of SuperCompact and Harmonia to my group, which remained the best software package available at the time to accurately model nonlinearity, noise, and dynamic range of active microwave circuits and sub-systems. In one of his visits to Philadelphia and as part of his seminar presentation to students, he brought to my attention a great German bible of microwaves, titled “Hochfrequenztechnik 1 and 2” that had played a significant role in educating many successful German scientists. The seventh edition of this bible of microwaves is now translated into English by leading German microwave educators, professors Hans L. Hartnagel, Rüdiger Quay, Ulrich L. Rohde, and Matthias Rudolph. This new book is titled “Fundamentals of RF and Microwave Techniques and Technologies” is primarily driven upon recommendation of Prof. Ulrich L. Rohde to provide to English spoken readers a wealth of information on various aspects of microwave engineering. In this effort of organizing the seventh edition, valuable text and research material and novel ideas were added by these leading educators. The aim of this textbook is to provide a general knowledge on microwave engineering that includes various aspects of designs, from discrete electronic devices to planar modules for the benefits of readers who wish to master design methodology of practical circuits. I had privilege and pleasure of having opportunity to examine preliminary version of the textbook. I found the book completely ideal for my course instructions, as it provides educators to present a “complete” picture of microwaves. In this textbook, authors have outlined total of 14 chapters with emphasis on the fundamentals of RF and microwave techniques and technology, while the logical flow of text material will undoubtedly keep all readers—beginners and advanced—motivated from the first to the last chapter. Beginners in microwaves are encouraged to read systematically as the logical flow of chapters is laid upon concepts developed by the preceding chapters. Experienced readers in this field may find that navigation of the individual chapters is readily practicable. Chapter 1 provides a very detailed introduction to lumped resonant circuits as a one-port network with relevance to realization of coupling filters. “Lumped and Distributed Elements” pertains to the frequency range from RF to millimeter wave frequencies with introduction of important resonant structure relationship, such as Foster’s reactance theorem. Moreover, broadband tuning circuit components exhibit a continuous transition in behavior from that of lumped elements to distributed components. Filtering concepts represented from pole-zero and reactance/susceptance function and transformations using Richard’s transform, invertors, and Kuroda identities.

viii

Foreword

Understanding this behavior is of particular importance in high-frequency distributed filter implementations. Chapter 2 describes the interesting subject of TEM lines and wave propagation of ideal and practical lines. It introduces concepts of reflection coefficient and its impact on power delivery. Various narrowband impedance matching methods are introduced using graphical method of Smith and its dual Carter charts. In addition, network parameters are introduced, particularly general S-parameters and their relevant representation of T for cascaded two ports. In addition, signal flow graph which has major utility in analysis of practical components is introduced. Chapters 3 focuses on transformers as impedance transformation techniques for narrow and broadband impedance matching. Both broadband multi-section quarter wavelength and tapered inhomogeneous impedance transformations are introduced. Moreover, some of the most elegant discussions of balanced–unbalanced transformers (balun) and their realizations at RF and microwave frequencies are presented in this book. Chapters 4 is a very important chapter for realization of printed circuits with introduction of popular TEM lines of coaxial cables, striplines, microstrip, coplanar waveguide, and coupled microstrip lines. Their utility in realization of multi-port networks and power dividers and couplers (Branchline as 90° and Rat-Race as 180° hybrids) is introduced. Filter realization using J/K inverters is also introduced for realizing filters. Finally, the concepts of interdigital and comb lines as filters are introduced with practical realizations as surface acoustic waves filters. Chapters 5 establishes fundamental of wave propagation in terms of electromagnetic fields rather than circuit theory. The fields for unbounded and bounded waves are introduced with emphasis on Helmholtz wave equation and its solution of E/H and energy stored and power flow, as Poynting vector. Polarization states of linear and circular are introduced and a general elliptical polarization representation of waves as practical case. The concepts of waves at interfaces are extended to waveguiding of non-TEM metallic and dielectric waveguides in terms of field profiles, excitation modes, and related wave attenuation rates. In addition, dielectric waveguides as rectangular cross section that are important for integrated optics and leaky wave antennas as well as circular cross-section optical fibers are discussed. Moreover, practical waveguide structures of power dividers (E, H, and Magic-T), and couplers (slotted line, Beth hole, and Schwinger couplers) are diligently introduced. Finally, ferromagnetic materials are introduced with applications as Faraday rotator and realization of isolator and circulators. A complete discussion of all these important topics is rarely seen in a single book. Chapter 6 describes the antennas and radiating systems as part of transducers relating electrical circuits to electromagnetic waves excitation in transmitters and reception in receivers. Once again, the depth of covered topics is truly outstanding. Amazingly, the topics covered are identical to elements that I cover in my antennas course, as I start with radiation fundamental of auxiliary potentials of A/F and their utility in solving for wire and aperture antenna structures. The basic properties of antennas from E&M (radiation pattern, polarization, power radiated), circuit perspective (radiation resistance/conductance, efficiency, and bandwidth from Q factor), and

Foreword

ix

system level (directivity, gain) are also presented with discussions of near and farfield regions. Friis transmission is introduced as part of radio link discussions. The wire antennas of dipole, loop, and helical antennas are efficiently presented, before discussing aperture antennas of slot radiator based on duality (Babinet) principle. The concepts of horn and reflector antennas are introduced as alternative to linear and planar phased array antennas and their specific design of Luneburg and Rotman lenses. Moreover, practical patch radiators are introduced in terms without depth though a number of references are cited for further reading. Finally, antenna measurement systems using far-field and near-field measurements are introduced with a very basic overview. Chapter 7 is a comprehensive introduction to the physics of semiconductor devices, by introducing electron transport of doped semiconductors (Si, SiGe, GaAs, InGaAs, GaN, SiC) and I-V characteristics of both homo- and hetero-junctions of p-n and Schottky realizations. The p–n junctions are used for varactor diodes and bipolar transistors (BJT and HBT), while Schottky junction is employed for Schottky diodes and unipolar transistors (MESFET, MOSFET, LDMOS, and HEMT). Full physicsbased modeling of transistor dynamics is introduced. Moreover, small-signal equivalent circuit model is reviewed in terms of bipolar and unipolar devices for various operation points based on designed DC/AC biasing networks. The RF performance in terms of gain and noise is introduced for various device topologies of CE/CS, CB/CG, CC/CD configurations, and speed of devices (fT and fmax) is expressed in terms of equivalent circuit parameters. Both IC and hybrid realization of amplifiers modules are discussed. There is no microwave engineering book that emphasizes all physical and circuit parameters of modern electronic integrated circuits. Chapter 8 deals with the general introduction of various noise sources of semiconductor electronics. Physics-based modeling of amplitude (AM), phase (PM), and frequency modulation (FM) noise are presented with emphasis on the effects of interference and noise in modern communication systems. Noise circles are introduced to show dependence of noise figure in terms of source reflection compared against the optimum source reflection that leads to the lowest noise factor (Fmin). Noise of cascaded networks is calculated using Friis noise equation for both matched and unmatched stages. Moreover, sources of correlated and uncorrelated noise sources of bipolar and unipolar devices are discussed, and its contributions to the overall noise figure are estimated in terms of thermal, shot, Hooge, and spot noises. Finally, antenna noise temperature is introduced based on input cosmic noise fluctuations and emissivity of interconnects between antenna and receiver circuits. Such a detailed presentation is absent in most general microwave engineering textbooks. Chapter 9 describes the important concept of amplifiers, as a building block of signal conditioning in microwave circuits. Detail design of input and output matching circuits of various amplifiers (high gain, low noise, and integrated broadband, and differential amplifiers) is introduced in terms of stability, gain, noise, and VSWR circles that are calculated based on S-parameters of transistor with and without series or parallel feedback circuits. Z/Y/S and two-port parameters of ABCD, h, and p matrices are utilized to analyze the combined network parameter of gain (GT, GP, GA) and noise performance; of particular interest are multistage amplifier performance

x

Foreword

as inter-stage matching is employed for gain flattening versus frequency. Moreover, nonlinear characteristics of amplifier in terms of harmonic generation as result of compression and intermodulation distortion are explored, of particular interest are source and load pulling of power amplifiers using balanced amplifiers and power combining circuits. Finally, IC realization of amplifiers for various classes (A, B, C, AB, D, E, F) of operation is discussed with emphasis on IC layout and DRC evaluations. Such a comprehensive overview of amplifier designs is not seen in any microwave books. Chapter 10 presents oscillators design concepts of positive feedback in amplifiers from system perspective (Barkhausen), circuit perspective (Nyquist), and Sparameter modeling. Depending on the phase noise and tuning requirement, different oscillator topologies are discussed, validated, and discussed for the benefit of readers with emphasis on stable oscillation, output power, and pushing and pulling factors. Both fixed frequency and tunable oscillators based on lumped (Colpitts, Clap, Hartley, and YIG tuned) and dielectric resonator (DR) oscillators are discussed. Frequencystabilized oscillators based on quartz crystals are important parts of frequencystabilized oscillators using phase locking processes. In addition, high-power oscillators using electron beams tube technologies (klystron, magnetron, and TWT) are introduced in great depth. Such a feature is very unique and distinguishes this work from other microwave engineering textbooks. Chapter 11 deals with frequency synthesizer and uses the techniques of frequency multiplication, frequency division, direct digital synthesis, frequency mixing, and phase-locked loops to generate its frequencies. The progress and development modern wireless networks have encompassed new frequencies, driven efforts to transceiver architectures and frequency synthesizers, and explained in details to meet the criteria of standardize communication protocols and frequencies to enable seamless communication. Chapter 12 designates very exciting topic of software-defined radio (SDR) with emphasis on microwave receiver and transmitter analysis. This topic is the driving force behind the modern receiver development for advanced communication applications. Driven largely by fast, high-performance, application-specific integrated circuits, powerful microprocessors, and inexpensive memory, the promise of SDR is featured. In this chapter, important designs are covered for the implementation of SDR technologies which is presently used in a broad array of electronics and communication products. Chapter 13 introduces important topic of mixer circuits and frequency multipliers in detail, providing digital modulation scheme from RF perspectives. This scheme is arguably the most prevalent today and therefore receives the most thorough treatment. The details in modulation scheme are discussed for the completeness. While initially appearing to be not in the mainstream of microwaves, nonetheless this material is in fact essential to the concurrent design of microwave circuits and sub-systems. Chapter 14 is a comprehensive introduction to analog and digital modulation methods. Thoroughly basing the explanations on Fourier analysis, the chapter derives the various modern modulation schemes such as WCDMA and OFDM and enables the reader to understand the respective concept as well as similarities and differences.

Foreword

xi

As a distinct difference to common introductions to modulation methods, the chapter always refers to circuit implementations and implications of a modulation scheme on microwave electronics. In my opinion, the main goal of this textbook for presenting all relevant information on microwave engineering has been met, as the latest revision includes many practical modern topics. This textbook genuinely addresses the needs of both students and the practicing engineers in ever-growing microwave engineering. Unlike other textbook available in the market, it provides a comprehensive treatment of the subject matter, while establishing strong foundation based on fundamentals. Authors have eloquently applied the acquired microwave field knowledge, while translating this manuscript and included many modern concepts. However, only a personal examination of the book will convey to reader the broad scope of its coverage and how well it succeeds in addressing the changing needs of the microwave techniques and technologies. The authors are to be commended for their efforts in this endeavor. This textbook will be a highly valuable resource for many designers. I look forward to having it on my bookshelf and using it for course instruction of my undergraduate and graduate students. January 2023

Afshin S. Daryoush, Ph.D. Fellow of IEEE Department of Electrical and Computer Engineering Drexel University Philadelphia, PA, USA

Preface

The subject of microwave engineering has been an important field for many years, and therefore, the two Professors Zinke and Brunswig from the Technical University Darmstadt in Germany wrote a book published by Springer in two volumes in the German language. They became a very successful document for both teaching graduates all over the German-speaking countries and as a reference for practicing engineers in industry and research. I remember on visits to industrial companies that I frequently saw a copy of these two volumes on the bookshelf of the engineers which they employed. The special feature of these books is that the various chapters are written by relevant experts in the particular fields. These range from components and circuit theory with the newest material and technology competence, via field theory with such areas as antennas, to applications such as telecommunication engineering. When I became the successor of Prof. Zinke in Darmstadt, I decided with great pleasure to reissue these two books a number of times, since the editions were regularly sold out within two to three years. This of course gave me the opportunity to bring the text fully up to date, since the field of microwaves has experienced a most dynamic and continuous development. With my extensive international experience (first in France, later on as Professor of microwave electronics in England and a number of work periods in Japan and the USA ), I had discussions with a number of influential microwave engineers regarding the question of publishing Zinke/Brunswig in the English language. In particular, I discussed also with Prof. Ulrich L. Rohde (whom I met first in 1982 when I was a consultant at the David Sarnoff Laboratory in Princeton, New Jersey, and Prof. Rohde in charge of the Government Radio business unit of RCA), how to republish this important and successful book in now English. We presented this plan to the Springer company and at the regular meeting of the German microwave professors in Munich, where we obtained excellent encouragement for this idea. I am now very happy to see that Prof. Matthias Rudolph, Fachgebiet Hochfrequenz- und Mikrowellentechnik, Ulrich-L.-Rohde Stiftungsprofessur at the Technical University of Cottbus, Germany and Prof. Rüdiger Quay, University Freiburg and Fraunhofer IAF, in collaboration with Prof. Rohde have brought together xiii

xiv

Preface

an impressive range of experts in microwave engineering to write such a new book in the English language. Of course, many highly important microwave areas have since been opened up as, for example, Terahertz electronics in the upper frequency ranges of the microwave area or new materials such as graphene as an example of low-dimensional materials. The range of subjects covered in this new book has been highly (carefully) competently selected and treated. It is in the tradition of Zinke and Brunswig a high-quality book for both teaching of microwave engineering students and as a reference source for all those working in this profession. My sincere congratulations to Profs. R. Quay and M. Rudolph. Darmstadt, Germany

Prof. Hans L. Hartnagel

Contents

1

Resonant Circuits, One-Port Networks, Coupling Filters Made of Lumped, Passive Components . . . . . . . . . . . . . . . . . . . . . . . . . Renato Negra

1

2

Wave Propagation on Transmission Lines and Cables . . . . . . . . . . . . Matthias Rudolph

3

Impedance Transformers and Balanced-to-Unbalanced Transformers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Holger Maune

143

Properties of Coaxial Cables and Transmission Lines, Directional Couplers and RF Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . Matthias Rudolph

197

4

55

5

Field-Based Description of Propagation on Waveguides . . . . . . . . . . Holger Arthaber

335

6

Antennas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Jan Hesselbarth

485

7

Semiconductors and Semiconductor Devices and Circuits . . . . . . . . Rüdiger Quay

551

8

Interference and Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Matthias Rudolph

747

9

Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Rüdiger Quay

793

10 Oscillators and Frequency Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . Vadim Issakov and Ulrich L. Rohde

951

11 Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1125 Ulrich L. Rohde

xv

xvi

Contents

12 Software Defined Radio, Receiver and Transmitter Analysis . . . . . . 1183 Ulrich L. Rohde and Hans Zahnd 13 Mixing and Frequency Multiplication . . . . . . . . . . . . . . . . . . . . . . . . . . 1241 Nils Pohl 14 Modulation Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1297 Dietmar Rudolph Appendix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1509 Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1529

Editors and Contributors

About the Editors Hans L. Hartnagel received the Dipl.-Ing. degree in 1960 from the Technical University of Aachen, West Germany, and the Ph.D. and the Dr. Eng. degrees from the University of Sheffield, England, in 1964 and 1971, respectively. After having worked for a short period with Telefunken in Ulm, West Germany, he joined the Institute National des Sciences Appliquées, Villeurbanne, Rhône, France, and then the Department of Electronic and Electrical Engineering of the University of Sheffield as Member of staff. In January 1971, he became Professor of Electronic Engineering at the University of Newcastle upon Tyne, England. Since October 1978, he has been Professor of High Frequency Electronics at the Technical University of Darmstadt, Germany. He is Author of several books and numerous scientific papers on microwave semiconductor devices and their technology and circuits. He has held many consulting positions, partly while on temporary leave of absence from his University positions. In 1990, he was awarded the Max-Plack-Prize. In 1994, he received the Dr. h.c. from the University of Rome “Tor Vergata” in Italy, and in 1999, the Dr. h.c. from the Technical University of Moldova, Kishinev. He is at present Emeritus Professor at the T.U. Darmstadt. e-mail: hartnagel@imp. tu-darmstadt.de Rüdiger Quay received the Diplom-degree in physics from Rheinisch-Westfälische Technische Hochschule (RWTH), Aachen, Germany, in 1997, and a second Diplom in economics from Fernuniversität Hagen in 2003. He was at Los Alamos National Labs, New Mexico, and at the University of Illinois at Urbana Champaign, USA, as Visiting Researcher. In 2001, he received his doctoral degree in technical sciences (with honors) from the Technische Universität Wien, Vienna, Austria. Since 2001, he has worked at the Fraunhofer Institute of Applied Solid-State Physics (IAF), Freiburg, in various positions.

xvii

xviii

Editors and Contributors

In 2009, he received the venia legendi (habilitation) in microelectronics, from the Technische Universität Wien. Since 2020, he is also Fritz-Hüttinger Professor for energy-efficient highfrequency electronics at the Department for Sustainable Systems Engineering (INATECH), Albert-Ludwig University, Freiburg, Germany. Since 2022, he is Executive Director of Fraunhofer IAF. In 2012, Dr. Quay received the European Microwave Prize. Prof. Quay has authored and co-authored over 350 refereed publications, three monographs, and contributions to two further. Ulrich L. Rohde received his Dr.-Ing. degree from the Technical University, Berlin, and his Dr.-Ing. Habil degree from the Brandenburg University of Technology, Cottbus, Germany. He is a member of the Faculty of Technical Informatics as the Professor of Microwave Systems, Universität der Bundeswehr (Federal University of the Armed Forces), Munich, Germany. He also holds appointments at other universities worldwide. Dr. Rohde is Partner of Rohde and Schwarz, Munich, Germany, as well as Chairman of the Board of Synergy Microwave Corporation. Formerly Professor of Electrical Engineering at George Washington University and the University of Florida, Gainesville, Dr. Rohde has consulted on numerous communication projects in industry and government. He has authored over 350 papers, 6 books, and holds 35 patents. In 1983 with his team at the RCA Radio Business Unit he designed and proved the concept of a system that today is referred to as the software-defined radio (SDR). He later acquired Compact Software and introduced the ability to accuracy simulate noise contribution on signals in non-linear systems and its influence and distortion in oscillators, mixers, and amplifiers. Dr. Rohde has received numerous prestigious awards including honorary Ph.Ds degrees. He is a honorary member of the Bavarian Academy of Science and Humanity, a Life Fellow of the IEEE, and a Fellow of the Indian National Academy of Engineering (INAE). Matthias Rudolph received the Dipl.-Ing. degree in electrical engineering from the Berlin Institute of Technology, Berlin, Germany, in 1996, and the Dr.-Ing. degree from Darmstadt University of Technology, Darmstadt, Germany, in 2001. In 1996, he joined Ferdinand-Braun-Institut, Leibniz Insitut für Höchstfrequenztechnik (FBH), Berlin, where he was responsible for GaAs, InP, and GaN-based transistor modeling and later headed the low-noise component group. In October 2009, he was appointed Ulrich L. Rohde Professor for RF and Microwave Techniques at Brandenburg University of Technology, Cottbus, Germany. He authored or co-authored over 90 publications in refereed journals and conferences and five monographs on transistor modeling, circuit design, and noise.

Editors and Contributors

xix

Contributors Holger Arthaber TU Wien, Vienna, Austria Jan Hesselbarth University of Stuttgart, Stuttgart, Germany Vadim Issakov TU Braunschweig, Braunschweig, Germany Holger Maune Otto-V.-Guericke University Magdeburg, Magdeburg, Germany Renato Negra RWTH Aachen University, Aachen, Germany Nils Pohl Ruhr-Universität Bochum, Bochum, Germany Rüdiger Quay Fraunhofer-Institute for Applied Solid State Physics IAF, Freiburg im Breisgau, Germany Ulrich L. Rohde Brandenburg University of Technology, Cottbus, Germany Dietmar Rudolph Berlin, Germany Matthias Rudolph Brandenburg University of Technology, Cottbus, Germany Hans Zahnd Emmenmatt, Switzerland

The Authors of this Book

This book is the first edition of the Lehrbuch der Hochfrequenztechnik in English language, a book that immediately after its first publication in 1965 claimed its place as the leading textbook in German language known under the name of its two authors as the Zinke – Brunswig. A long list of new editions followed since then, and as early as 1973/1974, it became necessary to split the Zinke – Brunswig into two volumes. This edition provides the reader with a completely new and updated text. Some of the chapters required only minor revisions, others were rearranged or completely rewritten to reflect the advances of the state of the art within the past years. But we kept the tradition that a team of authors took over the task of revising the book, each of them responsible for a chapter that falls into his main area of expertise. The chapter authors are: Holger Arthaber, TU Wien authored • Chapter 5 Field-based description of propagation on waveguides on the basis of the 6th edition chapter authored by F. Arndt, A. Czylwik, R.W. Lorenz, B. Rembold, A. Vlcek, H. Vollhardt, O. Zinke, and U. Zwick Jan Hesselbarth, University of Stuttgart authored • Chapter 6 Antennas on the basis of the 6th edition chapter authored by G. Albert, H. Bottenberg, H. Brunswig, H. Heß, R.W. Lorenz, A. Vlcek, and O. Zinke Vadim Issakov, Braunschweig University of Technology authored • Chapter 10 Oscillators and Frequency Synthesis on the basis of the 5th edition chapter authored by H. Döring, K.-H. Gerrath, H. Heynisch, T. Motz, A. Müller, E. Pettenpaul, K.-H. Vöge, and O. Zinke

xxi

xxii

The Authors of this Book

Holger Maune, Otto-v.-Guericke University Magdeburg authored • Chapter 3: High-frequency transformers and balanced-to-unbalanced transformers on the basis of the 6th edition chapter authored by K. Mayer, R.W. Lorenz and O. Zinke • Section 4.9: Tunable filter Renato Negra, RWTH Aachen University authored • Chapter 1: Resonant circuits, one-portnet works, coupling filters made of lumped, passive components on the basis of the 6th edition chapter authored by H. Brunswig, G. Dittmer, R.W. Lorenz, A. Vlcek, K.H. Vöge, and O. Zinke Nils Pohl, Ruhr-Universität Bochum authored • Chapter 13 Mixing and frequency multiplication on the basis of the 5th edition chapter authored by H. Brunswig, K. Blankenburg, K.-H. Gerrath, K. Mayer, E. Pettenpaul, O. Zinke Rüdiger Quay, Fraunhofer-Institute for Applied Solid State Physics IAF and FritzHüttinger chair for energy-efficient high-frequency electronics, Albert-LudwigsUniversity Freiburg authored • Chapter 7 Semiconductors and semiconductor devices and circuits on the basis of the 5th edition chapter authored by G. Dittmer, H.L. Hartnagel, H. Heynisch, R. Losehand, K. Mayer, J.-E. Müller, E. Pettenpaul, A. Vlcek, and O. Zinke • Chapter 9 Amplifiers on the basis of the 5th edition chapter authored by H. Brunswig, G. Dittmer, H. Döring, H.L.Hartnagel, H. Heynisch, K.-H. Gerrath, A. Müller, J. E. Müller, E. Pettenpaul; A.Richtscheid, W. Welsch, K.-H. Vöge, and O. Zinke Ulrich L. Rohde, Brandenburg University of Technology, Universität der Bundewehr, Munich, and Rohde & Schwarz authored • Chapter 10 Oscillators and Frequency Synthesis • Chapter 11 Frequency synthesizer • Chapter 12 Software Defined Radio, Receiver and Transmitter analysis Dietmar Rudolph† authored • Chapter 14 Modulation Methods

The Authors of this Book

xxiii

Matthias Rudolph, Brandenburg University of Technology and Ferdinand-BraunInstitut, Leibniz-Institut für Höchstfrequenztechnik authored • Chapter 2: Wave propagation on transmission lines and cables on the basis of the 6th edition chapter authored by A. Vlcek and O. Zinke • Chapter 4: Properties of coaxial cables and transmission lines, directional couplers and RF filters on the basis of the 6th edition chapter authored by F. Arndt, R. Briechle, R. Dill, T. Motz, B. Rembold, H. Stocker, H. Vollhardt, and O. Zinke • Chapter 8 Interference and noise on the basis of the 5th edition chapter authored by A. Vlcek Clemens C.W. Ruppel authored • Section 4.11: Surface acoustic wave filters on the basis of the 6th edition section authored by H. Stocker and R. Dill

Chapter 1

Resonant Circuits, One-Port Networks, Coupling Filters Made of Lumped, Passive Components Renato Negra

Abstract This first chapter of the book is dedicated to resonant circuits. The frequency response and other important properties of inductances, capacitances and resonant circuits are introduced. Examples for applications in impedance matching circuits and filters will be provided. Understanding resonator properties like frequency response, quality factor and energy balance is instrumental as a basis for the subsequent chapters, since these concepts play an important role when wave phenomena are to be considered as well as in active microwave circuits such as amplifiers, mixers and oscillators.

This first chapter of the book is dedicated to lumped resonant circuits. A lumped component has characteristic spatial dimensions that are negligibly small with respect to its operating wavelength, i.e. wave propagation processes do not yet play any role in the description of its functioning. A component is passive if it is not capable of delivering more power than is supplied to it. Classic components in this category are the inductance, the capacitor and the resistor as well as semiconductor devices such as semiconductor diodes and transistors that are acting as such. In RF engineering, inductances and capacitors are interconnected to form resonant circuits which contribute to the selection of the desired frequency band to be transmitted either as parallel circuits (trap circuits) or series circuits (absorption circuits). Section 1.2 discusses the influence of the magnitude and location of the loss resistances on resonance curves and plots of the impedance. Section 1.3 covers analysis of two-circuit coupling band filters as well as synthesis of coupling band filters with more than two circuits using filter catalogues. This chapter examines the usage of circuits having capacitor, inductance and resistor components or equivalent circuits consisting of ideal C, L, and R values. In Sect. 1.1, simple equivalent circuits for inductances and capacitors with losses at a fixed frequency precede the observations on resonant circuits. R. Negra (B) RWTH Aachen University, Aachen, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_1

1

2

R. Negra

When applied in the form of equivalent circuits to microwave circuits, the insights explored in this chapter can also be useful in computer-aided design of microwave filters, for example.

1.1 Vector Diagrams for Inductances and Capacitors with Losses Figure 1.1 contains vector diagrams for a inductance with harmonic excitation at a frequency f = ω/2π . The phase offset between inductance voltage, V, and inductance current, I diverges from 90◦ by the loss angle δ L . The physical inductance is therefore defined as a circuit element by its complex impedance Z = jωL e− jδL .

(1.1)

The graphics in the centre show the division of Z into real part, Rs , and imaginary part, jωL s , for which tan(δ L ) = Rs /ωL s , or alternatively the division of the admittance, Y = 1/Z , into real part, G p = 1/R p , and imaginary part, 1/jωL p . Here, we have tan(δ L ) = G p ωL p . L s and L p are lossless inductances. Since it follows that L s = L cos(δ L ) and L p = L/ cos(δ L ) from the vector diagrams in the I V

dL Ls

V LS

Ls =LcosdL V

dL

Z-jwLe-jdL

jwLs Rs

dL

V RS

Rs =wLsindL

=wLstandL

V LS

RS Gp - 1 Rp

I

dL

I

dL 1 jwLp

V RS

IRp

LP =L/cosdL

p

Lp

Y = 1 Z

ILp

IL

Rp

V RP =

dL

= I

dL

IRp

Fig. 1.1 Voltages, currents, and resistances in a lossy inductance at a fixed frequency

wL sindL wLp tandL

1 Resonant Circuits, One-Port Networks, Coupling Filters …

3

centre, L s = L p cos2 (δ L ) = L p /(1 + tan2 δ L ) is slightly different from L. However, if tan(δ L ) ≤ 3%, the difference between L s and L p is equal to less than l%. From the diagrams, we can also recognize the quality factor of a inductance which is defined as the ratio of the reactive power to the effective power: QL =

Rp ωL s 1 1 = = = . Rs ωL p G p ωL p tan(δ L )

(1.2)

We can see that at a fixed frequency, we have the choice of representing a physical inductance either by its complex inductance L e− jδL or by the series connection of the small series resistance, Rs , and the lossless inductance L s or also by the parallel connection of the lossless inductance, L p , with a very large ohmic resistance R p . Figure 1.2 provides an analogous representation of the physical capacitor. We can characterise it in an equivalent manner as the complex capacitance C e− jδC with magnitude C and loss angle δC or by the parallel connection of C p = C cos(δC ) with the high resistance R p or also by the series connection of the lossless capacitance Cs = C/ cos(δC ) with the very small real resistance Rs . From the centre graphics in Fig. 1.2, we can conclude that the quality factor of the capacitor can be determined alternatively from the following relationships: QC =

ωC p 1 1 . = ωC p R p = = Gp ωCs Rs tan(δC )

(1.3)

V Cp=CcosdC dC -jdC Y= 1 - jwCe 2

VC

S

IC

jwCp

IR

p

p

Cp

dC

Cs

V Cs

Rs I

dC Z= IC

Rs =

V Rs

1 jwCs

1 Y

1 wCptandC

Cs = C/cosdC V

IRp

1 wCsindC

=

I

Rs

V RS

Rp = =

1 GP = Rp

I

V

Rp

p

SC

Fig. 1.2 Voltages, currents, and resistances in a lossy capacitor at a fixed frequency

Rs =

sindC wC tandC wCs

4

R. Negra

1.2 Parallel and Series Resonant Circuits 1.2.1 Lossless Resonant Circuits We will first consider lossless circuits with no effective resistance. Then, in the parallel circuit in Fig. 1.3a, the admittance is 1 Y p = j B p = jωC p + jωL p   1 , = j ωC p − ωL p

(1.4)

and in the series circuit in Fig. 1.3b, the impedance is 1 Z s = j X s = jωL s + jωCs   1 , = j ωL s − ωCs

(1.5)

The resonant angular frequency ωr = 2π fr

(1.6)

is defined by susceptance j B p = 0, 1 jω p C p + =0 jω p L p

(1.7)

or ω2p L p C p = 1 in the parallel circuit, and reactance

Fig. 1.3 Lossless parallel (a) and series (b) circuits

a

b

Ls Lp

Cp Cs

1 Resonant Circuits, One-Port Networks, Coupling Filters … Fig. 1.4 Curve of the susceptance of the parallel circuit (a) and reactance of the series circuit (b) as a function of angular frequency

5

a

b

B

X XL

BC

Xs

Bp wp

w

w

ws

XC

BL

j X s = 0, 1 jωs X s + =0 jωs Cs

(1.8)

or ωs2 L s Cs = 1

(1.9)

in the series circuit, or more generally in both cases by omitting the indices as follows: ωr = √

1 LC

,

ωr2 LC = 1.

(1.10)

Figure 1.4 shows the curves for B p and X s , respectively.1 At the resonant frequency, fr , the duration of the oscillation period is as follows: T =

√ 2π 1 = = 2π LC. fr ωr

(1.11)

Extending (1.4) with ωr , the parallel circuit has  j B p = jω p C p

ωp ω 1 − ωp ω ωp L pωpC p

 ,

(1.12)

and the series circuit has  j X s = jωs L s

1 ω ωs − ωs ω ωs L s ωs Cs

 ,

(1.13)

Combining this with Eqs. (1.6) and (1.8), we obtain the following for the parallel circuit: 1

“Thomson formula” according to William Thomson also known as Lord Kelvin.

6

R. Negra

 ωp ω − ωp ω   ωp ω 1 = j − ωp L p ωp ω 

j B p = jω p C p

= j BK p ν p BK p

(1.14)

1 = ωpC p = = ωp L p



Cp . Lp

(1.15)

This is the “characteristic susceptance” of the parallel circuit. For the series circuit, it holds analogously that  j X s = jωs L s

ω ωs − ωs ω



1 = j ωs Cs



ω ωs − ωs ω

 = j X K s νs .

(1.16)

 XKs

1 = ωs L s = = ωs Cs

Ls . Cs

This is the “characteristic impedance” of the series circuit. In both cases, we thus have the following:  L 1 . = XK = Bk C

(1.17)

(1.18)

The characteristic impedance indicates the magnitude of the reactance of each of the elements at the resonant frequency. The quantity ν in (1.16) is known as the relative detuning: ν=

f ω ωr fr = − = . ωr ω fr f

(1.19)

Here, ω (or f ) is any frequency apart from the resonant frequency; for f > fr

we have v > 0,

f < fr

we have v < 0,

For small deviations from the resonant frequency, we can simplify (1.19) by introducing δω = ω − ωr as the deviation from the resonant frequency ωr . We then have

1 Resonant Circuits, One-Port Networks, Coupling Filters …

7

ω − ωr2 ω ωr (ω + ωr )(ω + ωr ) = − = ωr ω ωωr ωωr (2ωr + δω)(δω) = (ωr + δω)(ωr ) δω 1 + 2ω 2δω r = = . ωr 1 + δω ωr

ν=

(1.20)

If the deviation from the resonant frequency remains δω ≤ 0.1ωr , we have the following for an error ≤ 5%: ν≈

2δ f 2(ω − ωr ) 2( f − fr ) 2δω = = = . ωr fr ωr fr

(1.21)

1.2.2 Resonant Circuits with Resistive Losses Taking into account the circuit losses for the parallel circuit shown in Fig. 1.5a, Eqs. (1.4) and (1.16) become  Y p = G p + jω C p −

1 ωL p



= G p + j BK p ν p .

(1.22)

For the series circuit in Fig. 1.5b, we have

a

b I

I0 IL p

tan d =

Gp wr Cp

IRp

Cs

ICp Ls

V

Lp

Gp

Cp

V Ls tan d =

V0

Rs

Fig. 1.5 Lossy parallel and series circuits

V Cs

V Rs

Rs wr L s

8

R. Negra

  1 Z s = Rs + j ωL s − ωCs = Rs + j X K s νs .

(1.23)

With Eqs. (1.16) and (1.21), close to resonance we obtain the following: Y p ≈ G p + j2C p (ω − ω p ), Z s ≈ Rs + j2L s (ω − ωs ).

(1.24)

The imaginary parts go to zero at resonance, leaving Yp = G p Z s = Rs .

(1.25)

With the usual composition, the circuits represent (for the parallel circuit) a small conductance or a large resistance R p = 1/G p , and (for the series circuit) a small resistance Rs . These real components can be divided among the inductance and capacitance by introducing the loss angles δ L and δC as well as the loss factors tan(δ L ) and tan(δC ). 1 G p = G Lp + GCp = tan(δ L p ) + ω p C p tan(δC p ) ωp L p   1 XKs 1 = + = BK p QLp Q Cs Qs 1 Rs = R Ls + RCs = ωs L s tan(δCs ) + tan(δCs ) ωs Cs   1 XKs 1 = + . = XKs Q Ls Q C1 Qs

(1.26)

Here, Q is the “circuit quality factor” where 1 1 1 = + Q QL QC

or

Q=

Q L QC . Q L + QC

(1.27)

The loss factors for the inductor and capacitor yield the loss factor of the circuit tan(δ) = tan(δ L ) + tan(δC ).

(1.28)

If Y p or Z s from (1.23) is referred to the value at ω = ωr , the equations in the “normalised representation” are as follows:

1 Resonant Circuits, One-Port Networks, Coupling Filters …

9

For the parallel circuit BK p Yp =1+ j νp Gp Gp = 1 + j Q pνp = 1 + j Vp

(1.29)

and for the series circuit X Ks Zs =1+ j νs Rs Rs = 1 + j Q s νs = 1 + j Vs .

(1.30)

Here, the quantity  D = Qν = Q

ωr ω − ωr ω



 =Q

f fr − fr f

 (1.31)

is known as the “normalised detuning”. The plots of the normalized complex impedance of the parallel circuit Z p /R p = G p /Y p or the complex admittance of the series circuit Ys /G s = Rs /Z s [Eqs. (1.23) and (1.30)] are shown versus frequency in Fig. 1.6a. Figure 1.6b shows the normalized representation vs. D. Here, Rs (or G p ) is assumed to be independent of frequency. In this case, the plots that come about are simple circles that arise through inversion of lines. Now of interest are the two frequencies at which the resistance and reactance (or conductance and susceptance) have an equal magnitude, i.e. the phase angle is equal of the impedance |Z p | or the admittance |Ys | has fallen to ±45◦ . Here, the magnitude √ to a value equal to 1/ 2 times its value at the resonant frequency, i.e. a drop of 3 dB. According to Eq. (1.30), the real part is equal to the imaginary part if |D| = Q|ν| = 1.

(1.32)

The magnitude for the parallel circuit is then obtained as   Y p  Gp

=

 √ 1 + D 2p = 2.

(1.33)

and for the series circuit as √ |Z s |  = 1 + Ds2 = 2. Rs

(1.34)

10

R. Negra

a

b

Im

Im fc 1

D=−1 0,5

0,5 f

f=0 f=∞

45˚

fr

45˚

1

0,5

V

Re

Zp Ys ; Rp Gs

−0,5

D=−∞ D=+∞

45˚ 45˚

−0,5

fc 2

D=0 0,5

D=+1

1

Re

Zp Ys ; Rp Gs

Fig. 1.6 Plots of Z p /R p and Ys /G s for the lossy parallel and series circuits a; graph b shows the normalized representation

Assuming (1.32) is fulfilled at the relative detunings νg1 and νg2 , i.e. at the frequencies f c1 and f c2 , we have νg1 = −νg2

and

|νg1 | = |νg2 | = |νg |

(1.35)

or  f c2 fr f c1 − =− − fr f c1 fr  1 f c2 + f c1 = fr + fr f c1 Therefore, fr2 = f c1 f c2 ;

 fr f c2  f c2 + f c1 1 = fr . f c2 f c1 f c2 fr f c1 = . f c2 fr

(1.36)

(1.37)

fr is thus not the arithmetic but rather the geometric mean of f c1 and f c2 . With (1.37), we finally obtain the following: f c2 fr fc fc − = 2 − 1 fr f c2 fr fr  Lp f c − f c1 Δf Cp = 2 = = . fr Rp f c1 f c2

|νg | = νg2 =

(1.38)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

11

The difference frequency Δf for the 45◦ detuning serves as a measure of the width of the resonance curve, and Δf is known as the “bandwidth”. The narrower a circuit’s resonance curve, the smaller its bandwidth. Δf provides an indication of a circuit’s ability to separate closely spaced frequencies (“selectivity” of a receiver). Moreover, Δf is a measure of the quality factor, Q of the circuit since with (1.32) we have fr ωr 1 1 = = = = ωr C p R p |νg | Δf Δω tan(δ)

1 . 2π R p C p (1.39) In addition to the determination from the frequency change, the bandwidth can also be measured by detuning the capacitance, C, of a circuit. For the parallel circuit, we can rewrite (1.23) for the resonant frequency ω = ω p as follows: Q=

Yp = G p 1 + j

and

Δf =

1 2 (ω L p C p − 1) . ωp L pG p p

(1.40)

By applying 1 = C pr = capacitance in resonant case, ω2p L p we obtain

Yp = G p 1 + j

1 ωp L pG p





Cp −1 C pr

(1.41)

(1.42)

and analogous to (1.30) Yp = 1 + j Q p νc . Gp

(1.43)

Accordingly, we obtain νc =

Cp − 1. C pr

(1.44)

If C p1 and C p2 are the capacitances at which νc2 = −νc1 , then we have   C p2 C p2 C p1 + C p2 , −1=− − 1 → C pr = C pr C pr 2 C pr is thus the arithmetic mean of C p1 and C p2 . For

(1.45)

12

R. Negra

ΔC p = C p2 − C p1

(1.46)

ΔC p 2

(1.47)

and C p = C pr ± it follows that |νc | =

ΔC p /2 . C pr

(1.48)

Moreover, if the relationship Q p |νc | = 1

or

Qp =

C pr 1 = |νc | ΔC p /2

(1.49)

is fulfilled, then C p1 and C p2 are the √ capacitance values at which the impedance of the parallel circuit has fallen to 1/ 2 times the value at resonance. We would now like to examine for the parallel circuit the ratio of the branch currents in the circuit to the current flowing into the circuit (or for the series circuit the voltages present on the individual circuit elements to the voltage on the entire circuit). For the parallel circuit in Fig. 1.5a, we have I0 = I L p + IC p + I Rp ,

(1.50)

and for the series circuit in Fig. 1.5b, we have V0 = VLs + VCs + VRs ,

(1.51)

As examples, we would like to compute |I L p | = |I0 | 

ωL p Rp

2

1

,

(1.52)

+ (ω2 C p L p − 1)2

where I0 = constant current flowing into in the parallel circuit and 1 , |VCs | = |V0 |  2 (ωCs Rs ) + (ω2 Cs L s − 1)2 where V0 = constant open-circuit voltage in the series circuit.

(1.53)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

13

The maximum occurs if the root is at a minimum. Differentiation yields the angular frequencies  Lp 1 ω Imax =  1− (1.54) 2C p R 2p L pC p 

and ωVC max

1 =√ L s Cs

1−

2Cs Rs2 2L s

(1.55)

or applying the quality factors from (1.26), we obtain  ω I L max = ω p 1 −

≈ ωp 

ωVC max

1 2Q 2p

1 1− 4Q 2p

 , (1.56)

1 = ωs 1 − 2Q 2s

 1 ≈ ωs 1 − . 4Q 2p

The amount of the maxima is then equal to Qp I L p max = ≈ Qp |I0 | 1 − 4Q1 2

(1.57)

Qs VCs max = ≈ Qs . |V0 | 1 − 4Q1 2

(1.58)

p

or

s

For the parallel circuit, we also have IC p max I L p max = . |I0 | |I0 |

(1.59)

These currents in the inductive and capacitive branches are opposed to one another and can be significantly larger than the current inflow as a function of the quality factor of the circuit (resonance rise, current resonance). The greater the value of Q p , the less the maxima are offset with respect to ω p .

14

R. Negra

For the series circuit, it holds analogously that VCs max VLs max = . |V0 | |V0 |

(1.60)

The voltage drops on the inductor and on the capacitor are opposed to one another and can be significantly greater than the source voltage as a function of the quality factor of the circuit (resonance rise, voltage resonance). The greater the value of Q s , the less the maxima are offset with respect to ωs . Figure 1.7 illustrates the frequency dependencies of the related currents, voltages as well as the overall impedances by magnitude and phase as a function of frequency referred to the resonant frequency. In the selected example, we have Q p = Q s = Q = 2.24. Graph (a) applies to the parallel circuit and graph (b) to the series circuit. In Fig. 1.8, the circumstances are plotted for Q p = Q s = Q = 2.24. The circuits

a

b 3

3 Q

ICp/I0

ILp/I0

Q

V Cs/V 0

V Ls/V 0

2

I/I0

V/V 0

2

1

1 IRp/I0 0 0,6

0,8

1,2

1,0

V Rs/V 0 0 0,6

1,4

0,8

1,0

1,2

1,4

w/ws

w/wp 2

2 2 Zp/Rp

1 1/ 2

1

90˚

90˚ Zs/Rs

0

0



jZp



45˚ jZs jZs

Zs/Rs

Zp /Rp

45˚ jZ p

−45˚

−45˚

Δwg /wp −1 0,6

0,8

1,0 w/wp

1,2

−90˚ 1,4

−1 0,6

Δwg/ws 0,8

1,0

1,2

−90˚ 1,4

w/ws

Fig. 1.7 Dependency of the currents, voltages, and input impedances by magnitude and phase on the angular frequency for the lossy parallel circuit (a) and series circuit (b). Circuit quality factor √ Q = 5 = 2.24

1 Resonant Circuits, One-Port Networks, Coupling Filters …

a

15

b 25

25 Q

Q

20

10

2 ICp/I0

ILp/I0

5

1

IRp/I0

0 0,6

1,0 w/wp

0,8

1,2

15

0

0 1,4

V Ls/V 0

V Cs/V 0

5

V Rs/V 0 0,6

0,8

1,0

1,2

1

0 1,4

w/ws 2

−1 0,6

0,8

1,0

Δwg/wp

−45°

1,2

−90° 1,4

Zs/Rs



p

1/ 2

45°

0

90°

1

90° Zp/Rp

jZ

1

Zs/Rs

2

jZp

jZs 0

−1 0,6

w/wp

45° 0°

0,8

Δwg/ws

−45°

1,2

−90° 1,4

1,0 w/ws

jZs

2

Zp/Rp

2

10

IRp/I0

IRp/I0 ; ICp/I0

15

V Rs/V 0

V Cs/V 0 ; V Ls/V 0

20

Fig. 1.8 Dependency of the currents, voltages, and input impedances by magnitude and phase on the angular √ frequency for the lossy parallel circuit (a) and series circuit (b). Circuit quality factor Q = 10 5 = 2.24

behave thus at the following frequencies: ⎫ ω < ω p : Inductance ⎬ ω = ω: p Large real resistance Parallel circuit, ⎭ ω > ω p : Capacitance ⎫ ω < ω p : Capacitance ⎬ ω = ω p : Small real resistance Series circuit. ⎭ ω > ω p : Inductance The impedance of the complex parallel resonant circuit shown in Fig. 1.9 (approximate equivalent circuit for low capacitor losses) is obtained as follows: Z=

1 (R + jωL) jωC

R + jωL +

1 jωC

=

R + jω[L(1 − ω2 LC) − C R 2 ] . (1 − ω2 LC)2 + (ωC R)2

In the plot in Fig. 1.9b for Z /R, the imaginary part becomes zero at

(1.61)

16

R. Negra

Fig. 1.9 Circuit consisting of inductance with series resistance and parallel C (a). Plot of input impedance with phase resonance ω ph , magnitude resonance ωmax and circuit resonance ωr (b). √ Circuit quality factor Q = 5 = 2.24

ω ph = √

1

    R2C 1 R 2 = ωr 1 − 1− = ωr 1 − 2 . L XK Q



LC

(1.62)

This frequency thus differs from the resonant frequency according to (1.9) by the attenuation correction (usually negligible for a circuit with low attenuation) of (R/ X K )2 since R  X K . The resonance impedance is then obtained according to (1.61) as follows: Z ph ≈

X 2K L 1 = . ≈ CR R ω2ph C 2 R

(1.63)

The impedance, Z , has a maximum at

ωmax = ωr

   

 1+2

R XK

2

 −

R XK

2





R Xk

4



1 . 2Q 4 (1.64) and cannot be distinguished from ωr for ≈ ωr

ωmax is thus much closer to ωr than ω ph Q ≥ 10. Here, the quality factor Q is again defined as

1 1− 2

= ωr 1 −

1 Resonant Circuits, One-Port Networks, Coupling Filters …

 Q=

17

L

1 XK ωr L C = = = R ωr C R R R

where ωr = √

1 LC

(1.65)

.

(1.66)

The magnitude and extreme values of the input impedance, Z , which is normalized to R are clearly determined by Q, as shown in the following (see also Fig. 1.9). The maximum impedance referred to R has the value   |Z |max /R = Q / 2Q 2 ( 1 + 2/Q 2 − 1) − 1 ≈ Q 2 + 0.47 for Q ≥ 1. (1.67) 2

The plot has its maximum real part Remax /R = Q 2 /(1 − 1/(4Q 2 )) at ω/ωr =

 1 − 1/(2Q 2 ).

(1.68)

In the first quadrant, the imaginary part, I m + /R, has the following value at Re/R = (Q 2 + 1)/2   ⎡     2 1−  1 ⎣ Q 2  1+ I m + /R = 1−  2 2 Q Q 1+

1 Q2 1 Q2

⎤ +

1 ⎦ , 4Q 2

(1.69)

which provides a very good approximation for the maximum value. In the fourth quadrant, for the same value of the normalized real part (Q 2 + 1)/2 the imaginary part   ⎤ ⎡     1 2  1 − 1 Q  1 ⎦ 2 Q2 I m − /R = −  1 + 2 ⎣1 −  + . (1.70) 2 Q Q 1 + Q12 4Q 2 lies in close proximity to its minimum which is reached for a real part between Q 2 /2 and (Q 2 + 1)/2.

1.2.3 Resonant Circuits with Multiple Resistances In practice, resonant circuits contain more resistances than are taken into account in the one-port networks in Figs. 1.5 and 1.9a. In network theory, it is common to use the complex angular frequency p = σ + jω instead of the real angular frequency. This abbreviation allows us to express the equations in a simpler manner. If we only consider harmonic oscillations, we have p = jω since σ = 0.

18

R. Negra

If we extend the resonant circuit in Fig. 1.5a with a series resistance, Rs (Fig. 1.10a), we obtain the impedance function for the resulting one-port network as follows: p 2 LC + p(L/Rs + L/R p ) + 1 1 = Rs . 1/R p + pC + 1/ pL p 2 LC + pL/R p + 1 (1.71) The plot remains a circle which, compared to the plot in Fig. 1.6, is shifted along the real axis by Rs (Fig. 1.10), as is also apparent from the√impedance function. In the case of phase resonance p = jω ph = jωr = j/ LC, we have Z ( p) = Rs +

Z ( jω ph ) = Rs + R p ,

(1.72)

whereas for p → 0 and p → ∞, we have Z (0) = Z (∞) = Rs .

(1.73)

A better approximation of real resonant circuits is given by the equivalent circuit in Fig. 1.10a. Its impedance function is p 2 LC + p(L/RC + R L C) + R L /RC (R L + pL)(RC + 1/ pC) . = RC pL + 1/ pC + RC + R L p 2 LC + pC(RC + R L ) + 1 (1.74) Here, we now have Z (0) = R L and Z (∞) = RC (see also the plots in Fig. 1.11b– d). Moreover, Z ( p) is real for p = jω ph (phase resonance) if the phase angles of the numerator and denominator are equal. It then follows from (1.74) that Z ( p) =

ω2ph =

1 − (R L / X K )2 1 L/C − R L 2 = ωr 2 . 2 LC L/C − RC 1 − (RC / X K )2

We then have Z ( jω ph ) =

L/C R L RC + . R L + RC R L + RC

(1.75)

(1.76)

In circuits with high quality factor, only the first summand is relevant. √ According to (1.75), phase resonance occurs only if the characteristic reactance L/C is less than the smaller of the two resistances R L and RC or greater than the larger of the two resistances. It is notable here that in the first case, the one-port network impedance passes through a minimum of the impedance at phase resonance, as if this were a series resonant √ circuit. Here, however, the quality factor Q is always < 1/2. In the second case ( L/C greater than the larger of the two resistances R L and RC ), the impedance with a maximum of the resonance impedance like in √ increase occurs √ (1.30). For L/C R L and L/C RC , we then obtain the resonance quality factor from (1.2), and (1.27) as follows:

1 Resonant Circuits, One-Port Networks, Coupling Filters … Fig. 1.10 Lossy parallel circuit extended with Rs (a) and plots of the impedance for (b) R p /Rs > 1, (c) R p /Rs = 1, (d) R p /Rs < 1

19

a Rs

L

C

Rp

b w

Rs

Rs+Rp

c w

Rs

Rs+Rp

d

w

Rs

Rs+Rp



Q=

L/C

1. R L + RC

(1.77)

For the impedance functions (1.71) and (1.74) plotted in Figs. 1.10b–d and 1.11b– d, four facts are relevant:

20 Fig. 1.11 Parallel circuit consisting of inductor and capacitor with losses (a) and plots of the impedance for (b) R L /Rs > 1, (c) R L /RC = 1, (d) R L /RC < 1

R. Negra

a

RL

RC

L

C

b

RC

RL

w

w

L/C < RC

L/C > RL

c w wph = 1/ L/C

RL RC L/C < RC

L/C > RC

d w w

RL L/C < RL

RC L/C > RC

1 Resonant Circuits, One-Port Networks, Coupling Filters …

21

1. The numerator and denominator polynomial of the impedance functions have the same degree with the highest power of 2. This corresponds to the number of reactances. 2. In the entire frequency range, the plot of Z ( jω) lies in the right half-plane. For ω → 0 and ω → ∞, it assumes real, finite values. 3. The maximum phase difference that Z ( jω) can assume at two different frequencies is less than in the circuits with only one resistance. 4. All of the poles and zeroes in the impedance function have a negative real part (in pi = σi + jωi , we have σi < 0). One-port networks in which, like in (1.71) and (1.74), the highest power of p agrees in the numerator and denominator polynomial of the impedance function and the coefficients of the lowest power are present are considered to be one-port networks with “minimal phase”. A larger variation in the plots is obtained by adding a third resistance, e.g. Rs as a series resistance to the one-port network in Fig. 1.11a. Applying a delta-star transformation on the three resistances, we obtain a one-port network with a bridge structure (see Fig. 1.12). A general representation of all one-port networks with an inductance and a capacitance is possible by formulating the impedance function in (1.74) as follows: Z 2 ( p) = R∞

p 2 + a1 p + a0 p 2 + b1 p + b0

(1.78)

The coefficients a1 and b1 can be construed as time constants that are easily determined, like the coefficients a0 and b0 , in the analysis of given one-port networks. R∞ is the real value of the impedance for p → ∞. For one-port network synthesis, it is important to be able to reduce the number of free parameters to be considered from five R∞ , a0 , a1 , b1 , b0 ) to three ( A0 , A1 , B1 ) by introducing a frequency and an impedance normalization:

Fig. 1.12 Series-parallel one-port network (a) and equivalent bridge one-port network (b)

a

b RS

RL

RC

L

C

22

R. Negra

A1/B1 1

0

1

A0

A1/B1 < 1 < A0

Wph < ∝

2

1 0

A0

A1/B1

1 A0

W

1 < A1/B1 = A0

1 < A1/B1 < A0 Wph imaginary

5

0

W

W

A1/B1 = 1 < A0

1 < Wph < ∝

1

A0

0 W

W

A0 > 1

1

A0

0

1 < A0 1 or

A1 /B1 < A0

and

A1 /B1 < 1.

These conditions were already discussed in connection with the example in Fig. 1.11a and (1.75). Figure 1.13 shows the different plot forms of the impedance function for P = jΩ and different value ranges for A0 and the ratio A1 /B1 . The outer columns contain the plots that exhibit a phase resonance. Plots 2, 5, and 8 represent cases for which Ω ph is either 0, ∞ or imaginary. Here, the plots

1 Resonant Circuits, One-Port Networks, Coupling Filters …

23

Antenna

Trap circuit for f1

Trap circuit for f2

Tuning unit for f2

Transmitter II (f2)

C'

Absorption circuit for f1

Tuning unit for f1

L'

Choke

f2 >f1

Transmitter I (f1)

Absorption circuit for f2

Fig. 1.14 Multiple feeding of an antenna, splitter circuit made of lumped elements

are restricted only to a single quadrant: Z 2N ( jΩ) is always capacitive for A0 > 1 and always inductive for A0 < 1. For A0 = 1, we obtain circles as plots with Ω ph = 1, as discussed previously in connection with Fig. 1.10a-d. The case in which A0 = A1 /B1 = 1 corresponds to the Boucherot circuit for which R L = RC = X K = √ L/C (Fig. 1.11a).

1.2.4 Multiple Feed Circuit Made of Lumped Elements An application example with resonant circuits is a switching arrangement that allows two transmitters to operate with the same antenna, as shown in Fig. 1.14. The function of the individual circuits should be clear based on the previously derived properties. The trap circuits for f 1 and f 2 represent a reactance for the passed useful frequencies f 2 and f 1 which is added to the antenna’s imaginary component present at the antenna base and tuned out using the tuning unit. For further suppression of the interfering voltage supplied by the opposite transmitter, absorption circuits are arranged parallel to the cable output for f 1 and f 2 , respectively, and their imaginary component is transformed into a parallel resonant circuit for the useful frequencies f 2 and f 1 by connecting a capacitance C or inductance L in parallel. The “static earth choke” Dr prevents static (i.e. atmospheric) charging of the antenna; it represents a very high impedance for RF.

1.3 Coupling Band Filters in Transmission Systems A radio frequency communications system must be capable of transmitting multiple messages in parallel without any mutual interference. One possible method of

24

R. Negra

differentiation2 involves assigning a separate frequency band to each message channel. Broadcast channels are an example of frequency multiplexing of this sort. Band filters are needed to select the channels; such filters are expected to pass all signals within a frequency band with no distortion while blocking signals at all other frequencies. We will first consider the coupling band filters used in RF engineering based on the analysis of two coupled parallel resonant circuits. For coupling band filters with more than two circuits, we will apply operating parameter theory to the synthesis of filters with predetermined operating characteristics. We will begin with some definitions from the theory of two-port networks. The effective gain factor A B of a two-port network connected to a generator (open-circuit voltage V0 , internal resistance R1 ) and a load (load resistance R2 ) is as follows:  V2 AB = V0 /2

R1 . R2

(1.80)

|A B | is the root of the ratio of the power provided to the load P2 = |V2 |2 /(2R2 ) to the maximum available power of the generator, P1 max = |V0 |2 (8R1 ), which can be sourced from the generator in the case of impedance matching. For passive networks, we have |A B | ≤ 1. The logarithm of the effective gain factor is the effective gain level. The reciprocal 1/A B is the effective attenuation factor and accordingly the complex effective attenuation level is g B = ln (1/A B ) = − ln (A B ) = a B + jb B .

(1.81)

The real part is the effective attenuation level specified in nepers3     V0  aB R2  = − ln (|A B |) = ln  ,  Np 2V2 R1

(1.82)

while the imaginary part is the effective phase level, or effective attenuation angle, 

V0 b B = − arg{A B } = arg 2V2

2

 R2 R1

 .

(1.83)

For a broader discussion of multiplexing methods see Chap. 14. The Scottish mathematician John Neper, also written Napier, (1550–1617) discovered logarithms and published works on spherical geometry that were important in navigation.

3

1 Resonant Circuits, One-Port Networks, Coupling Filters …

25

The effective attenuation level is commonly specified in decibels4 instead of nepers. Then, the effective attenuation level is aB = −20 log(|A B |). dB

(1.84)

Instead of the effective phase level b B , the group delay time that a signal group requires to pass through the two-port network is commonly used in communications engineering as follows: (1.85) tg (ω) = db B /dω. The frequency dependency of the attenuation level and group delay time in a transmission channel results in distortion that corrupts the signal.

1.3.1 Two-Circuit Coupling Band Filters Figure 1.15 shows some possibilities for coupling two parallel resonant circuits via a reactance. In circuits (a) and (c), the sum of the voltages of both resonant circuits is present on the coupling element (voltage coupling), while in circuits (b), (d), and (f), the sum of the oscillating currents of both circuits flows via the coupling element (current coupling). The transformer in circuit (e) can be characterized using equivalent circuit (f). Circuit (c) is not used in practice due to the parasitic capacitance of the coupling inductance L 12 . However, we will initially assume ideal reactances.

1.3.1.1

Analytical Calculation of Two-Circuit Coupling Filters

Circuits (b) or (d) and (f) in Fig. 1.15 can be computed using a delta-star transformation from circuits (a) and (c), respectively. Accordingly, it suffices for our analysis to investigate the equivalent circuit in Fig. 1.16. The elements of the admittance equations I1 = Y11 V1 + Y12 V2 (1.86) I2 = Y21 V1 + Y22 V2 are Y11 = j (B1 + B12 ), Y12 = Y21 = − j B12 , Y22 = j (B2 + B12 ). Applying the equations for the termination of the two-port network V0 = V1 + I1 R1 , 4

I2 = −V2 /R2 ,

Physiologist Alexander Graham Bell (born 1847 in Edinburgh, died 1922 in Nova Scotia) invented an electromagnetic telephone in 1876 that was characterized by good electrical matching. Conversion: 1 dB ≡ 0.1151 Np or 1 Np ≡ 8.686 dB.

26

R. Negra C12

a

b

L1

C1

C2

R2

L2

~

V0

L12

c

C 0T

L2

L1T

L2T

d

C1

L1

L2

C2

R2

~

V0

M

e

R2

L0T

C1

~

f

R2

C2

L '1-M

L '2-M

M

C2

R1

R1 V0

L1

~

R1

R1 V0

C 2T

R1

R1 V0

C 1T

~

L '1

C1

L '2

R2

C2

V0

~

C1

R2

Fig. 1.15 Possible coupling types for two-circuit coupling band filters, a capacitive voltage coupling; b capacitive current coupling; c inductive voltage coupling; d inductive current coupling; e transformer coupling; f equivalent circuit for e Fig. 1.16 Equivalent circuit for two-circuit, voltage-coupled coupling band filters

jB12

I1

I2

R1 V1 V0

jB1

jB2

V2

R2

~

we obtain the following for the effective gain factor:  2V2 AB = V0 =

R1 R2

√ 2 j B12 R1 R2 2 R R − (B + B )(B + B )R R + j (B + B )R + j (B + B )R 1 + B12 1 2 1 12 2 12 1 2 1 12 1 2 12 2

(1.87) Analogous to (1.13)–(1.17), we can shorten this to  B1 + B12 = B K p1

ω ωr 1 − ωr 1 ω

 = B K p1 ν1 .

(1.88)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

27

B K p1 is the characteristic susceptance and ωr 1 the resonant angular frequency of the first circuit when the second circuit is short-circuited. Moreover, we have   ω ωr 1 = B K p2 ν2 . B2 + B12 = B K p2 − (1.89) ωr 1 ω Combining with (1.31), the “normalized detuning” D becomes (B1 + B12 )R1 = B K p1 R1 ν1 = Q 1 ν1 = D1 , (B2 + B12 )R2 = B K p2 R2 ν2 = Q 2 ν2 = D2 .

(1.90)

Here, R1 and R2 correspond formally to the resonance resistance of a parallel resonant circuit. For the effective gain factor, however, it is essential for R1 to signify the signal source internal resistance and R2 the load resistance. Accordingly, we refer to Q 1 and Q 2 in (1.90) as the “external quality factor” as opposed to the “unloaded quality factor” of a lossy resonant circuit in (1.26). Further analysis relates to the important special case in which D1 = D2 = D (same resonant frequencies and loaded quality factors of the resonant circuits). With the “normalised coupling”  (1.91) K = |B12 | R1 R2 we obtain the effective gain factor AB =

±2 j K . 1 + K 2 − D2 + 2 j D

(1.92)

The positive sign applies for capacitive voltage coupling, B12 = ωC12 , and the negative sign for inductive, B12 = −1/(ωL 12 ). The frequency dependency of A B in the vicinity of the resonant frequency is characterized primarily by D since K exhibits relatively little change with ω or 1/ω within the passband. As a first approximation, we will assume that K is independent of frequency. The magnitude 2K |A B | =  2 (1 + K − D 2 )2 + 4D 2

(1.93)

is plotted in Fig. 1.17a for different values of K . Extreme values are present for D = 0, i.e. at the resonant frequency of the circuits, and for  Dh = ± K 2 − 1.

(1.94)

Real solutions for Dh exist only for K ≥ 1. The maxima (or “humps”) of |A B | lie at Dh . Applying (1.94) in (1.93) leads to

28

R. Negra 1.0

−Dh

Dh

ABH for K = 2

0.8 Dg

−Dg

AB

H

2

0.6 AB

Fig. 1.17 a Magnitude of the effective gain factor A B ; b normalized group delay tg N = tg /(d D/dω) as a function of the normalized detuning D. For K = 2, the hump detuning Dh , the mathematical limit detuning Dg and the practical limit detuning Dc are plotted for |A B |

Dc

−Dc

0.4

2 1

0.2 K = 0,5 0 1.6

tgN

1.2 0.8 2 1

0.4

0 −5

K = 0,5 −4

−3

−2

−1 D

0

|A B | D=Dh = 1

1

2

3

4

5

(1.95)

At the humps, R2 is thus optimally matched to the generator internal resistance R1 . We designate the different cases as follows: K = 1 “Critical coupling” (matching for D = 0), the maximum is flat (triple zero); K > 1 “Overcritical coupling” (matching for ±|Dh | in two places), |A B | D=0 = 2K /(1 + K 2 ) < 1; K < 1 “Undercritical coupling” (no matching is obtainable), an absolute maximum of |A B | < 1 for D = 0. For band filters with overcritical coupling, two more characteristic values are defined for the bandwidth. “Mathematical limit detuning” Dg : This is characterized in that for Dg the same effective gain factor is obtained as for D = 0, i.e. |A B | D=Dg = |A B | D=0 .

(1.96)

From (1.93), we thus obtain the conditional equation for Dg :  2 2  1 + K 2 − Dg2 + 4Dg2 = 1 + K 2 . Besides the trivial solution Dg = 0, it follows that

(1.97)

1 Resonant Circuits, One-Port Networks, Coupling Filters … Fig. 1.18 Effective attenuation as a function of the normalized detuning plotted on a logarithmic scale. Curve 1: single circuit; curve 2: two-circuit coupling band filter with K = 1; curve 3: two-circuit coupling band filter with K = 2.4

29

80 dB 70

60 40 dB/decade

GB

50

40

20dB

30 2

3

1

decade

20

10

0 0.1

10

1

100

D

Dg =



2(K 2 − 1) =



2Dh .

(1.98)

√ “Practical limit detuning” Dc : |A B | has dropped by the factor 1/ 2 (≡ 3 dB) with respect to the average value 1

|A B M | =  1 2

1 |A B |2D=0

It thus follows that Dc =

+

√ 2K .

1 |A B |2D=D

(1.99)

h

(1.100)

30

R. Negra

Fig. 1.19 On the graphical construction of the curve |A B | = f (V )

jD

a

P=jD g

P1 jDh K

S b

-jDh

P2

The benefit of the coupling band filter versus a single resonant circuit comes in the form of larger bandwidth and improved far selectivity. In the single circuit, the gain factor for D = 1 is already 3 dB below the value at resonance. Far away from the centre frequency, |A B | falls off proportional to 1/D in the single circuit and proportional to 1/D 2 in the two-circuit band filter. An edge steepness of 40 dB/decade is obtained compared to 20 dB/decade with the single circuit as shown in Fig. 1.18. The curves |A B | = f (D) can also be determined graphically from the position of the poles and zeroes in the complex P-plane. If we insert P = j D into (1.92),5 then we have AB =

±2 j K ±2 j K = P 2 + 2P + K 2 + 1 (P − P1 )(P − P2 )

(1.101)

with the complex poles P1,2 = −1 ± j K .

(1.102)

The two poles are plotted in the plane P = Σ + j D in Fig. 1.19. The form of the curves |A B | is dependent on the product of the path lengths a = |P − P1 | and b = |P − P2 |. const 2K = . (1.103) |A B | = |P − P1 ||P − P2 | ab As the point P = j D moves along the imaginary axis, the area of the shaded triangle P, P1 , P2 remains constant with base P1 − P2 and height, h = 1. The triangle area is Here, we use uppercase letters P = Σ + j D to indicate the normalized quantities as opposed to p = σ + jω.

5

1 Resonant Circuits, One-Port Networks, Coupling Filters …

FΔ =

ab sin(γ ) = |P2 − P1 |h/2 = const. 2

31

(1.104)

|A B | has a maximum at a minimum of ab and this is attained at a maximum of sin(γ ). Accordingly, the hump frequencies are obtained at the intersections of the Thales’ circle over the path |P2 − P1 |, where γ = π/2. (1.94) can then be gathered from the shaded triangle. Thanks to the graphical construction, we can immediately see the importance of the critical, overcritical, and undercritical coupling as well as the enlargement of the bandwidth with increasing coupling. Besides |A B |, we are primarily interested in the group delay (1.85) tg = −

 

Im{A B } d arctan . dω Re{A B }

(1.105)

Applying the transfer function according to (1.92), we obtain tg =

dD dD 2(D 2 + K 2 + 1) = tg N . 2 2 2 2 (D − K − 1) + 4D dω dω

(1.106)

Figure 1.17b shows the “normalized group delay” tg N as a function of D. For   Dtm = ± 2K 1 + K 2 − (1 + K 2 ) (1.107) √ maxima of the group delay occur which converge for K = 1/3 = 0.577, i.e. for undercritical coupling. For K = 1, 20% delay fluctuations are to be expected in the passband, as seen in Fig. 1.17b, and for K = 2, the delay near the band edges is 160% greater than in the centre of the band. Due to the delay distortion, the value of K must not be chosen too large.

1.3.1.2

Dimensioning of Two-Circuit Coupling Filters

Based on our general analysis, we can derive a procedure for dimensioning twocircuit coupling band filters with specified properties. The following values are given: Lower cutoff frequency f c− and upper cutoff frequency f c+ at which |A B | has fallen by 3 dB with respect to the average value. The choice of K follows from the permissible ripple in |A B | or tg . R1 and R2 are known from the circuit in which the filter is to be installed. According to (1.90), we have the following for the normalized detunings at the band edges: Dc− = B K pi Ri ( f c− / fr − fr / f c− ), Dc+ = B K pi Ri ( f c+ / fr − fr / f c+ ),

(1.108)

32

R. Negra

This equation holds for resonator circuit 1 (i = 1) and resonator circuit 2 (i = 2). We then obtain the following with Δf c = f c+ − f c− : ΔDc = D

c+

−D

c−

= B K pi Ri

Δf c fr Δf c + fr f c+ f c−

(1.109)

Choosing the resonant frequency, fr to be the geometric mean of the two cutoff frequencies  (1.110) f r = f c+ f c− , we then obtain ΔDc = 2B K pi Ri Δf c / fr .

(1.111)

From (1.100) it follows that √ ΔDc = Dc+ − Dc− = 2|Dc | = 2 2K ,

(1.112)

and we can thus compute the characteristic susceptances of the two resonant circuits as follows: √ (1.113) B K pi = 2K fr /(Ri Δf c ). The coupling susceptance is determined from the definition of the normalized coupling in (1.91) as follows:  B12 = K / R1 R2 .

(1.114)

For frequency-dependent coupling elements, (1.114) should be satisfied at the resonant frequency, fr . We then have the following for the circuits (a) and (c) in Fig. 1.15: or L 12 = 1/(ωr |B12 |). (1.115) C12 = |B12 |/ωr The elements in circuits (b), (d) to (f) in Fig. 1.15 can be computed using a deltastar transformation. The capacitances of the star in circuit (b) are then C0T = C1 + C2 + C1 C2 /C12 , C1T = C1 + C12 + C1 C12 /C2 , C2T = C2 + C12 + C2 C12 /C1 , and the inductances of the star in circuit (d) or (f) are

(1.116)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

33

Table 1.1 Numerical example for coupling band filters C1 and C2

a

C12 = 16 pF

C12 = 38.6 pF

C0T = 775 pF

C0T = 321 pF

c

C1 = C2 = 96.5 pF L 1 = L 2 = 2.27 µH C1T = C2T = 128.5 pF L 1 = L 2 = 2.27 µH C1 = C2 = 112.5 pF L 1 = L 2 = 2.64 µH

L 12 = 16 µH

L 12 = 6.62 µH

d

C1 = C2 = 112.5 pF

L 1T = L 2T = 1.98 µH

L 0T = 0.33 µH

L 0T = 0.8 µH

e

C1 = C2 = 112.5 pF

L 1 = L 2 = 2.31 µH

M = 0.33 µH

M = 0.8 µH

b

L 1 and L 2

Coupling values; K = 1 Coupling values; K = 2, 4

Circuit

L 0T = M = L 1 L 2 /(L 1 + L 2 + L 12 ), L 1T = L 1 − M = L 1 L 12 /(L 1 + L 2 + L 12 ), L 2T = L 2 − M = L 2 L 12 /(L 1 + L 2 + L 12 ).

(1.117)

Table 1.1 illustrates an example for the elements of the circuits in Fig. 1.15a-e which were determined from (1.114) to (1.117) with R1 = R2 = 1 kΩ, f c− = 9 MHz, f c+ = 11 MHz and K = 1. The transfer functions and delays are shown in Figs. 1.20 and 1.21 for K = 1. The curves with the coupling increased to K = 2.4 were also plotted according to √ (1.93). We then have |A B | = 1/ 2 for D = 0 without modifying the other circuit elements. The different behaviours of the curves for K = 1 compared to Fig. 1.17a and b can be explained as follows: 1. The normalized coupling K is frequency-dependent, and thus the cutoff frequencies are shifted to the right for capacitive current coupling and to the left for inductive current coupling. 2. The relationship between D and ω is nonlinear, (1.88) and (1.90), thus the frequency scale is more compressed for f < fr than for f > fr . In particular, this also causes the asymmetry of the delay curves for K = 1. For an increase in the coupling without changing the other elements, the resonant frequency decreases in circuits (a) and (d) and increases in circuits (b) and (c). For transformer coupling, the resonant frequency is unchanged but the humps drift apart more or less symmetrically. In the example in Figs. 1.20 and 1.21, the relative bandwidth Δf c / fr = 20% (for K = 1) is very large, while the asymmetries in the passband are less for smaller bandwidths.

1.3.2 Matching Circuits According to (1.113), the characteristic susceptance of the resonant circuits increases for smaller values of Δf c and Ri . Due to the losses and parasitic reactances of capacitors and inductors, the characteristic susceptances B K p can be produced satisfactorily only in a limited range (1 mS ≤ B K p ≤ 100 mS). A resistance transformation

34

R. Negra

a 1.00

b

0.75 K = 2,4

1

2,4

K=1

AB

0.50

0.25

0 0.40 µs

0.30

tg

0.20

0.10

0

6

8

10

12

MHz

14 6

8

10

12

MHz

14

f

f

Fig. 1.20 |A B | and tg for coupling band filters with the reactances in Table 1.1. a Capacitive voltage coupling; b capacitive current coupling

as depicted in Fig. 1.22 is commonly required. For coupling band filters, resonance transformers with two reactances are especially suitable for this purpose. The input admittance of the circuit according to Fig. 1.23 is Ye = j B0e + G 2 j B0 /(G 2 + j B0 ). It is necessary in the vicinity of fr that Re{Y } = G 1 = 1/R1 = t G 2 = t/R2 .

(1.118)

t = R2 /R1 = G 1 /G 2

(1.119)

(1.121) where

is the resistance conversion ratio, and Im{Ye } = j Be = 0.

(1.120)

We thus obtain  B0 = ± t/(1 − t)G 2

and

 B0e = ∓ t (1 − t)G 2 .

(1.121)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

c

35

d

1.00

0.75

AB

0.50 K=1

2,4

K = 2,4

1

0.25

0 0.40 µs 0.30

tg

0.20

0.10

0

6

8

10

12 MHz 14 6

e

8

10

12 MHz 14

f

f 1.00 0.75

AB

0.50

K = 2.4 1

0.25

0 0.40 µs 0.30

tg

0.20

0.10

0

6

8

10

12 MHz 14

f

Fig. 1.21 |A B | and tg for coupling band filters with the reactances in Table 1.1. a Inductive voltage coupling; b inductive current coupling; c transformer coupling

36

R. Negra

R1 U0

t1

~

R '1

Coupling band filters

R '2

t2

R2

RF - Transformers

Fig. 1.22 Matching of the generator internal resistance R1 and load resistance R2 to the characteristic resistance of the resonant circuits using high-frequency transformers with the resistance conversion ratio t1 = R1 /R1 or t2 = R2 /R2 Fig. 1.23 High-frequency transformer with two reactances

e

ye

Ge jBe

jB0

jB 0

Gr

The circuit in Fig. 1.23 therefore functions only for t < 1 since the root is imaginary otherwise. B0 and B0e are different types of susceptances, as follows from the different sign in Eq. 1.121. Since B0e is in parallel to the resonant circuit, B0e can also be replaced by a negative capacitance or a negative inductance if the element resulting from the parallel circuit remains positive. That is to say, matching circuits with elements of the same type and a different sign fulfil particularly (1.120) in a larger frequency range than matching circuits consisting of capacitances and inductances. For example, if the filter from Table 1.1 circuit (a) is to be matched to 200 Ω instead of 1 kΩ, then we obtain the following for t = 0.2 for the circuit according to Fig. 1.24: e = B0e /ωr = −31, 8 p F. C01 = B0 /ωr = 39, 8 p F and C01

(1.122)

e connected in parallel, and the resulting The capacitances C1 and C2 have C01 capacitance is thus 64.7 pF. The inductances and coupling capacitance do not change, and the filter has roughly the same transmission properties as circuit (a) in Fig. 1.15. Impedance matching is an important means to suppress reflections on transmission lines. It will therefore be discussed in greater detail in Sect. 2.4.

1.3.3 Multicircuit Coupling Band Filters There are two ways to achieve edge steepnesses greater than 40 dB/decade:

1 Resonant Circuits, One-Port Networks, Coupling Filters … C01

R1 U0

e

C01

37

C12

L1

C1

C02

C2

L2

e

C02

R2

~

Fig. 1.24 Two-circuit coupling band filter with high-frequency transformers containing negative capacitances

1. Decoupled with amplifier stages, multiple single circuits or two-circuit coupling band filters are connected in series6 or 2. Filters are built with more than two coupled circuits. Analytical calculation of multicircuit filters is very complex. Instead, we will consider a synthesis of multicircuit coupling band filters based on frequency transformation from the “normalized lowpass”.

1.3.3.1

The Normalized Lowpass

Using the cutoff frequency of the lowpass f g = ωg /(2π ), we can introduce the normalized angular frequency Ω = ω/ωg . The normalized angular cutoff frequency is then Ωg = 1. In order to simplify the characterization of the transmission properties, the mathematical functions are extended to negative frequencies. |A B | and tg are applied as even functions of Ω. In the ideal lowpass, for |Ω| < 1 (passband) we should have |A B | = 1 and tg = const (Fig. 1.25), and for |Ω| ≥ 1 we should have |A B | ≡ 0. These requirements cannot be fulfilled by a network having a finite number of elements. Like all network functions, it must be possible to express |A B | as the quotient of two polynomials in p = jΩ A B ( p) = g( p)/ h( p)

(1.123)

where the degree of the polynomials ensues from the number of independent reactances. Accordingly, only approximations of the curves in Fig. 1.25 can be realized. We will consider two classic approximation functions here: (a) The Butterworth approximation [2] |A B | = 1/(1 + α 2 Ω 2n )

(1.124)

provides a maximally flat approximation (Butterworth filter) 6

Since it is often not possible to miniaturize filters due to the required quality factor (in contrast to amplifiers), this approach is not always effective.

38

R. Negra

Fig. 1.25 Ideal curve of the effective gain factor magnitude |A B | and the group delay tg for the normalized lowpass

AB 1

−1

0

1

0

1

W = w/wg

tg

−1

W

(b) The Chebyshev approximation7 |A B | = 1/(1 + α 2 T2n (Ω))

(1.125)

allows optimum exploitation of a tolerance range within which |A B | may fluctuate arbitrarily. According to (1.131), the constant α is tied to the permissible reflection coefficient. Tn (Ω) is the Chebyshev function of the first order n. For |Ω| ≤ 1, we have |Tn (Ω)| ≤ 1, the function oscillates and attains (n + 1) times the values +1 or −1. For |Ω| = 1, we have |Tn (±1)| = 1. For |Ω| > 1, |Tn (Ω)| goes monotonically to infinity. The Chebyshev functions are expressed in parametric representation as Tn (Ω) = cos{n[arccos(Ω)]} Tn (Ω) = cosh{n[arccos(Ω)]}

for |Ω| ≤ 1 for |Ω| ≥ 1

(1.126)

These transcendental functions can be expressed as polynomials with a finite number of coefficients such that the approximation function in (1.125) can be realized as a network function. The polynomials are as follows for up to n = 6: T0 T2 T4 T6 7

= 1, T1 = Ω, = −1 + 2Ω 2 , T3 = −3Ω + 4Ω 3 , = 1 − 8Ω 2 + 8Ω 4 , T5 = 5Ω − 20Ω 3 + 16Ω 5 , 2 4 6 = −1 + 18Ω − 48Ω + 32Ω .

After the Russian mathematician Pafnuty Chebyshev (1821–1894).

(1.127)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

39

The curves of T0 to T2 are easily derived from the formulae, while the functions T3 to T6 are plotted in Fig. 1.26. In network theory, procedures have been developed to determine reactance circuits based on the specified curve of |A B (Ω)|. The key foundation of these procedures is the reduction of the two-port network problem to a one-port network problem. The power that is not consumed in the load resistance R2 (Fig. 1.30) is reflected into the generator since the reactance two-port network does not consume any power. Then, the input impedance Z e = V1 /I1 experiences a mismatch. The reflection coefficient 8 is defined as Z e − R1 . (1.128) Γe = Z e + R1 The power flowing into the two-port network is P1 =

1 |V1 I1∗ | = (1 − |Γe |2 )P1max , 2

(1.129)

where P1max = |V0 |2 /(8 R1 ) is the maximum power that can be delivered by the generator. Since the filter is considered to be lossless, we have |Γe |2 + |A B |2 = 1.

(1.130)

Based on the specified curve of |A B |, (1.130) is used to compute |Γe | and extend it into a complex, even function of p using the relationship |Γe |2 = Γe ( p) · Γe (− p), where the denominator polynomial of Γe ( p) must be a Hurwitz polynomial. From (1.128), Z e ( p) is then determined and this one-port network function is realized as a branch circuit by separating poles and zeroes [3, 4]. For normalized lowpasses with |A B | according to (1.124) (Butterworth filter) and |A B | according to (1.125) (Chebyshev filter), the circuits computed in this manner up to degree n = 9 are available in catalog form [5, 6]. If instead of the constant α the maximum reflection coefficient at the band edge is introduced. We then have  2 . α = Γmax / 1 − Γmax

(1.131)

The maximum return loss at the edge of the passband is   α aΓ max 2 = −10 lg(1 − Γmax = 20 lg ). dB Γmax

(1.132)

Figure 1.27 shows the normalized, and thus dimensionless, elements of the normalized lowpass for Butterworth, P, and Chebyshev, T , filters of degree n = 3 (03..) with Γmax = 10% (..10), i.e. for P 0310 and T 0310. In Fig. 1.28, |Γe | and tg N are plotted as f (Ω) for six lowpass filters. 8

The reflection coefficient will be discussed in detail in Sect. 2.3.

40

R. Negra T3

T4

+1

+1

−1

0

+1 W

−1

T5

T6

+1

+1

0

−1

+1 W

−1

−1

−1

0

+1

W

−1

0

+1 W

−1

Fig. 1.26 Curves of the Chebyshev functions T3 (Ω) to T6 (Ω) in the passband (−1 ≤ Ω ≤ +1)

Further filter catalogs are available for the Cauer lowpass in which the reflection coefficient for |Ω| ≤ 1 does not exceed a value Γmax and poles of the stopband attenuation lie at finite frequencies. Here, the stopband attenuation does not fall below a minimum value asmin , whereas in the Chebyshev lowpass as tends monotonically to infinity for |Ω| > 1. For |Ω| = 1, the edge steepness of the Cauer lowpass is greater. The Fano lowpass achieves broadband matching of complex load impedances. The lowpasses considered hitherto are dimensioned to suit a desired curve of |A B |, but tg cannot be influenced. On the other hand, it is possible to dimension circuits to suit a specified curve of the group delay without the ability to influence |A B |. The problem of simultaneously approximating |A B | and tg to suit specified curves cannot be fulfilled by branch circuits because they always form a minimum phase system. Using cross-couplings as shown in Fig. 1.29, a lowpass is created in which |A B | and tg can be adjusted simultaneously. In comparison to the conventional method of connecting an all-pass filter as a delay equalizer after the filter dimensioned to suit |A B |, the expense is less for this cross-coupled filter.

1 Resonant Circuits, One-Port Networks, Coupling Filters …

a

g0

~

V0

a

g3

g1

b

g0

g1

g4

g3

g2

~

V0

g4

4

80 % 60

3 tgN = dbB/dW

Fig. 1.28 Magnitude of the input reflection coefficient |Γe | and the normalized group delay tg N . a Butterworth filters P 0310, P 0410, and P 0510; b Chebyshev filters T 0310, T 0410, and T 0510

g2

b

P 0510 40

2

Ge

Fig. 1.27 Circuits of the normalized lowpass of degree n = 3. Values of the normalized elements from [5, 6]: g0 = g4 = 1; P 0310: g1 = g3 = 0.4649; g2 = 0.9299; T 0310: g1 = g3 = 0.8534; g2 = 1.104

41

P 0410 1

20 P 0310

0

0

7

140 %

6

120 100

5 4

80

3

60

Ge

tgN = dbB/dW

T 0510

T 0410

2

40 T 0310 20

1 10 0

0

0.2

0.4

0.6

0.8

1.0

1.2

1.4

1.6

W

1.3.3.2

Denormalisation

The values of the circuit elements in the real lowpass are obtained through denormalisation. The reference resistance, Rr e f , is equal to the generator internal resistance R1 (for all normalized lowpasses, we have g0 = 1). The cutoff frequency, f g , of the real lowpass is the reference frequency fr e f . The reference inductance and capacitance are

42

R. Negra

1:ü L2

ü 2.5

C1

L4

ü 1.5

L6

C3 C5

Fig. 1.29 Lowpass of degree n = 6 with two cross-couplings and ideal 1 : N transformer

L r e f = Rr e f /ωr e f ,

Cr e f = 1/(ωr e f Rr e f ).

(1.133)

The elements of the denormalized lowpass are then R1 = g0 Rr e f = Rr e f , R2 = gn+1 Rr e f , Ci = gi C B (1 ≤ i ≤ n). L i = gi L r e f ,

(1.134)

The frequency and group delay are given by f = Ω fr e f ,

tg = tg N /ωr e f .

(1.135)

The conversion ratios for ideal transformers remain unchanged.

1.3.3.3

Lowpass-Bandpass Transformation

For a bandpass, the reflection coefficient should be less than Γmax in the frequency range f −D ≤ f ≤ f D and the effective attenuation should be as large as possible and f D in the stopband f < f −D and f > f D . f −D is the lower cutoff frequency √ is the upper cutoff frequency. The band centre-frequency is f m = f D f −D and the bandwidth is Δf = f D − f −D. The ratio Δf / f m is the relative bandwidth. The frequency transformation from the normalized lowpass to the bandpass is provided by the following equation: Ω = ( f m /Δf )( f / f m − f m / f ).

(1.136)

If we apply the abbreviation Q r e f = f m /Δf , the operational quality factor, and fr = f m in (1.136), then Ω is formally equal to the normalized detuning V from (1.31). We should keep in mind, however, that the operational quality factor Q r e f has a definition that differs from that of the unloaded quality factor in (1.26) or the loaded quality factor in (1.90). The reference quantities for denormalisation with the bandpass are Rr e f = R1 , fr e f = f m , L r e f = Rr e f /ωr e f , and Cr e f = 1/(ωr e f Rr e f ). Applying (1.136) in

1 Resonant Circuits, One-Port Networks, Coupling Filters …

43

(1.134) and (1.135) leads to   jf f m gi fm 1 + , = jωC pi + Δf Rr e f f m jf jωL pi   jf fm fm 1 gi Rr e f = jωL si + = + . Δf fm jf jωCsi

jΩgi ωr e f Cr e f = jΩgi ωr e f L r e f

(1.137)

A comparison with (1.22) reveals that due to the transformation the capacitance of the lowpass changes over to a parallel resonant circuit, the inductance to a series resonant circuit of the bandpass. We have Lre f gi fm 1 = Q r e f gi Cr e f , L pi = 2 = , Δf ωr e f Rr e f ωm C pi Q r e f gi Cr e f f m gi Rr e f 1 = Q r e f gi Cr e f , Csi = 2 = , L si = Δf ωr e f ωm L si Q r e f gi

C pi =

(1.138)

Figure 1.30 shows the bandpass that arises due to the frequency transformation from the lowpass in Fig. 1.27a. The characteristic reactances in (1.18) of the series and parallel circuits differ approximately by the factor Q r2e f because the normalized elements, gi , are on the order of magnitude of 1. For high frequencies and/or high operational quality factors, the circuit from Fig. 1.30 is not realizable in practical terms due to the parasitic reactances of the circuit elements. Here is an example: A bandpass should have the cutoff frequencies f −D = 97.5 MHz and f D = 102.5 MHz, we require R1 = R2 = 50 Ω and the normalized lowpass T 0310 should be used as the basis. For fr e f ≈ 100 MHz, we have Q r e f = 20, L r e f = 79.8 nH and Cr e f = 31.7 pF. According to (1.138), we then have C p1 = C p3 = 541 pF, L p1 = L p3 = 4.68 nH, L s2 = 1.76 µH and Cs2 = 1.44 pF. The lead inductance of the capacitors C p1 and C p3 is equal to ≈ 5 nH for a wire length of 5 mm, i.e. it is on the order of magnitude of the values of L p . An inductor with 1.8 µH has a parallel capacitance of ≈ 0.5 pF. This value is comparable to Cs2 . As a result, the electrical behaviour of the real-world band filter we build will differ significantly from the calculated behaviour.

1.3.3.4

Negative Gyrator and Development of Coupling Band Filters

In order to obtain only one type of resonant circuits, i.e. only parallel circuits or only series circuits, in the band filter, it is necessary to eliminate either inductors or capacitors in the lowpass. This is theoretically possible by inserting a two-port network that functions as a impedance inverter: The input admittance is the inverse to the output admittance

44

R. Negra

R1 V0

~

Ls2 Lp1

Cs2 Cp3

Cp1

Lp3

R2

Fig. 1.30 Bandpass derived from the third-degree lowpass from Fig. 1.27a

Yc =

G 2d . Y2

(1.139)

G d is the gyration conductance or dual factor. The gyrator defined by Tellegen [7] fulfils (1.139) for a real gyration conductance value. However, the gyrator cannot be represented by a network of passive one-port networks. It can be realized using Hall generators or two-port networks that exhibit asymmetrical transfer behaviour like transistors. The negative gyrator also fulfils (1.139) if the gyration conductance value is imaginary. The negative gyrator can be represented, for example, by the circuit in Fig. 1.31 containing negative circuit elements. Its input admittance is Ye = − j Bd +

B2 j Bd (Y2 − j Bd ) = d. j Bd + Y2 − j Bd Y2

(1.140)

For the circuits in Fig. 1.31b and c, respectively, the “dual factor” Bd = ωC

and

Bd = −1/(ωL)

(1.141)

is not constant but rather is frequency-dependent, which does not represent a problem for small relative bandwidths. Negative gyrators as illustrated in Fig. 1.31 (also known as admittance inverters [8]) lead to filters made of voltage-coupled parallel circuits by eliminating the inductors in the lowpass. Regarding the dual-structure T-circuits9 shown in Fig. 1.31, i.e. impedance inverters, filters made of current-coupled series circuits arise by eliminating the capacitors in the lowpass. By way of example, a multicircuit coupling band filter is developed in Fig. 1.32 with capacitive coupling of the generator and load resistance. The lowpass in Fig. 1.32a is transformed into the inductor-less lowpass in Fig. 1.32b with matching two-port networks (resistance conversion ratios t1 and tn ) and with admittance inverters (dual factors Bdi,i+1 ). Based on the selection of the dual factor, any arbitrary conversion ratio can also be set with the inverters; thus, the reference resistance Rr e f i = 1/(ωr e f Cr e f i ) is freely selectable from stage to stage, whereas in the lowpass in Fig. 1.32a or the bandpass in Fig. 1.30, the reference resistance Rr e f is 9

A dual-structure circuit is obtained by transforming each loop into a node and each node into a loop; inductances become capacitances and capacitances become inductances. Moreover, the dual-structure circuit fulfils (1.139), i.e. it is also electrically dual.

1 Resonant Circuits, One-Port Networks, Coupling Filters …

a

b

jBd

y1 −jBd

−jBd

y2

45

c

C

−C

−C

L

−L

−L

Fig. 1.31 a Negative gyrator as admittance inverter. Approximate realization: b with capacitances Bd = ωC; c with inductances Bd = −1/(ωL)

a

g2.LB

gn−1.LB

gn.LB

g0.RB

gn+1.RB oder g1.CB

gn.CB

gn+1.RB gn−1.CB

b R1

t1

Bd1,2 g1.CB1

tn

Bdn-1,n

g2.CB2

gn−1.CBn-1

R2

gn.CBn

c R1

t1

Bd1,2 Lp1 Cp1

C01

d

tn

Bdn-1,n

Lp2 Cp2 C12

Lpn−1 Cpn−1

R2

Lpn Cpn

Cn−1.n

Cn.n+1 R2

R1 e − C01

Lp1 Cp1 −Cp12 C12 Lp2 Cp2

Lpn−1 Cpn−1−Cn−1.n Lpn Cpn − C en.n+1

Fig. 1.32 Development of the multicircuit coupling band filter with capacitive voltage coupling and capacitive coupling from the normalized lowpass

equal for all stages. The values of t1 , tn and Bdi,i+1 (1 ≤ i ≤ (n − 1)) result from the fact that the reflection coefficients must be equal referred to the respective reference resistance in the circuits Fig. 1.32a and b. For the matching two-port networks, we have the following for Γ0 in Fig. 1.33a and b: Γ0 =

g0 Rr e f − Rr e f R1 /t1 − Rr e f 1 = . g0 Rr e f + Rr e f R1 /t1 + Rr e f 1

(1.142)

From this and from the analogous formula for the output two-port network, it follows that and tn = R2 /(R Bn gn+l ) (1.143) t1 = R1 /(R B1 g0 ) Combining with (1.134) and (1.139), we obtain the following:

46

R. Negra

a

RB

~ b

g0.RB

r0 RB1

~

c

t1

r0

R1

gi+1.LB

RBi

~

r1 gi+2.CB

d

RBi

~

r1

Bdi,i+1

Bdi+1,i·2

gi+1.CBi+1

gi+2.CBi+2

Fig. 1.33 For computation of the resistance conversion ratio t1 and duality factors Bdi,i+1 , Bdi+1,i+2

t1 =

R1 ωm C p1 g0 g1 Q r e f

and

tn =

R2 ωm C pn . gn gn+1 Q r e f

(1.144)

In order to determine Bdi,i+1 , the reflection coefficients Γi of the cutouts from the lowpass according to Fig. 1.33c and d are set equal. Since the short circuit of the capacitor gi+2 C Bi+2 is transformed into an open circuit by the second inverter, we obtain Γ1 =

2 jωgi+1 C Bi+1 /Bdi,i+1 − R Bi jωgi+1 L r e f − Rr e f = . 2 jωgi+1 L r e f + Rr e f jωgi+1 C Bi+1 /Bdi,i+1 − R Bi

and it thus follows with (1.134)

(1.145)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

Bdi,i+1

  ωm = 1/(R Bi R Bi+1 ) = ωr e f C Bi C Bi+1 = Qr e f

47

 C pi C pi+1 . gi gi+1

(1.146)

Based on a lowpass-bandpass transformation, we obtain circuit (c) in Fig. 1.32, and the resonant circuit elements L pi and C pi are computed from (1.138). With the matching circuit according to Figs. 1.23 and (1.119) and the admittance inverters according to Fig. 1.31b and (1.143), we obtain the circuit in Fig. 1.32d. It can be realized using positive elements if the capacitances resulting from the parallel circuits are positive. For small bandwidths (Δf / f m ≤ 25%), the circuit is practically always realizable. Due to the frequency dependency of Bdi,i+1 , (1.141), asymmetries arise for the multicircuit coupling band filters in the curves of |A B | and tg as discussed in Sect. 1.3.1.2.

1.3.4 Losses in Reactance Filters Inductors and capacitors always contain loss resistances. They cause an attenuation a Bv which is approximately equal to a Bv /d B = 4.3m Q B /Q 0 for coupling filters in the passband. (m is the number of resonant circuits, Q B = f m /Δf the operational quality factor and Q 0 the inherent quality factor of the individual resonant circuit). In practical instances, a Bv is often very much larger than the return attenuation aΓ max according to (1.132). The losses have practically no effect on the effective attenuation in the stopband, but they smooth the curve of |A B | or |Γe | at the edges of the band. The ratio of the stopband attenuation a Bs at a given frequency f s to the passband attenuation a Bv decreases with increasing losses. Accordingly, for the same quality factor per individual circuit a Bs /a Bv cannot exceed a maximum value, and any increase in the number of resonant circuits past an optimum value even degrades the ratio a Bs /a Bv [8].

1.4 Principle of Conservation of Energy, Impedance, Admittance and Quality Factor Definitions 1.4.1 The Principle of Conservation of Energy in Network Theory We will consider the one-port network shown in Fig. 1.11a that was previously examined in Sect. 1.2.3 and introduce the current and voltage designations according to Fig. 1.34. With the aid of Kirchhoff’s circuit laws

48

R. Negra

I0 = Ic + I L ,

(1.147)

V0 = VRC + VC = VR L + VL

(1.148)

we then obtain the following expression for the product of the terminal voltage V0 and the conjugate complex terminal current I0∗ : V0 I0∗ = VRC IC∗ + VR L I L∗ + jωL|I L |2 −

j |IC |2 . ωC

(1.149)

The complex power 21 V0 I0∗ that is fed to the one-port network from a source is tied by (1.149) to the sum Pv of the loss power converted into heat in the network PRC =

1 VRC IC∗ 2

and

PR L =

1 VR L I L∗ , Σ Pv = PRC + PR L 2

(1.150)

as well as to the magnetic and electric energies Wm =

1 L|I L |2 4

and

We =

1 C|VC |2 , 4

(1.151)

which are stored in the network averaged over time (I L and VC designate complex amplitudes). This equilibrium statement can be extended to arbitrarily complex networks made of lumped, linear, passive and time-invariant R, L, C elements; it is only necessary to sum over all of the loss powers and energies. (1.149) thus represents the principle of conservation of energy in network theory which has its counterpart in field theory in Poynting’s theorem (see Sect. 5.1.2): 1 V0 I0∗ = Σ Pv + 2 jω(Σ Wm − Σ We ). 2

(1.152)

1.4.2 Impedance and Admittance The formulation of the principle of conservation of energy according to (1.152) offers the possibility to still define the impedance or admittance of a one-port network even if no assessment of its R, L, C elements is possible, for example, because they can no longer be localized individually. The only prerequisite is that it must be possible to reasonably define at least one of the terminal quantities V0 or I0 . By dividing (1.152) by I0 I0∗ /2, we obtain the following expression for the one-port network impedance Z : Z0 =

V0 2Σ Pv + 4 jω(Σ Wm − Σ We ) = = R(ω) + j X (ω). I0 |I0 |2

(1.153)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

49

By dividing by V0 V0∗ /2, we can obtain a corresponding expression for the conjugate complex one-port network admittance Y ∗ . For the admittance Y , we then obtain Y =

I0 2Σ Pv + 4 jω(Σ We − Σ Wm ) = = G(ω) + j B(ω). V0 |V0 |2

(1.154)

For the magnitude of the phase angle ϕ of the impedance or admittance in the complex plane, we use (1.153) or (1.154) to obtain the relationship tan(φ) =

2ω|Σ Wm − Σ We | , Σ Pv

(1.155)

which can be employed advantageously in assessing the quality factor of components.

1.4.3 Definition of the Quality Factor from the Phase Angle Z and Y characterize a reactance or susceptance, respectively, having a gradually improved quality factor as the phase angle ϕ approaches π /2. Conversely, we can describe Z and Y as an improved ohmic resistance or conductance, respectively, the smaller the phase angle ϕ becomes or the closer the complement δ of the phase angle ϕ to π/2 approaches the value π/2. As a quality designation, the symbol Q ϕ is introduced for tan(ϕ) according to (1.155) and designated as the quality factor. We have Q ϕ = tan(ϕ) =

1 . tan(δ)

(1.156)

The index ϕ in (1.156) is intended to indicate that the quality factor according to (1.155) is defined by the phase angle ϕ. This definition of the quality factor was used extensively in the preceding sections. We consider Fig. 1.34 for RC → ∞ as the equivalent circuit of an inductor at frequencies below the first inherent resonant frequency and obtain the following in agreement with (1.2) for the inductor quality factor according to (1.155): Qϕ L =

2ω · 41 L · |I L |2 1 R 2 L

· |I L

|2

=

ωL 1 . = RL tan(δ)

(1.157)

Originally, the quality factor was defined only for the components inductor and capacitor. There, it has a useful meaning without any restrictions and can be determined, for example, with the aid of an impedance or admittance measuring bridge. However, it is obvious to extend the quality factor concept as an assessment criterion also for one-port networks that are capable of resonance of the type shown in Fig. 1.34. For the lossy resonant circuit that is shown, we are interested in a quality

50

R. Negra

Fig. 1.34 Equivalent circuit for a inductance with inductance L and inherent capacitance C and their loss resistances

I0

IC

IL

RC

V RC

C

VC

RL

V RL

V0

L

VL

assessment for the phase resonance ω ph . Phase resonance occurs if the phase angle of the resonance one-port network goes to zero, i.e. the magnetic energy stored in it is just equal to the stored electric energy. According to (1.155), the value zero is always obtained for the quality factor of the resonant circuit entirely independently of the magnitude or distribution of the losses. This nonsensical result makes it clear that the quality factor definition Q ϕ is unusable if applied to one-port networks that are capable of resonance. As long as the inductor and capacitor in the resonant circuit can still be identified as individual components, there appears to be a way out in that we can computationally determine the resonant circuit quality factor from the quality factors Q L and Q C according to (1.27). For the resonant circuit according to Fig. 1.34, we then obtain the following with ω02 = 1/LC:

Qϕ =

=

ωL · ωC1Rc RL ωL + ωC1Rc RL





√ =

ω=ω ph

L/C R L ωω0 + Rc ωω0





L/C ⎜ ⎝ Rc ω

ω ω0 2

ω0

 .

+

RL Rc

⎟ ⎠ ω=ω ph

(1.158)

ω=ω ph

However, an approach of this sort is feasible and meaningful only under circumstances. As a prerequisite, it must be possible to characterize the resonant one-port network as least as an approximation using lumped components; this is purely a computational technique which cannot be reproduced using test equipment, and it delivers a meaningless result in certain cases. In order to allow us to recognize the latter, we insert the expression for ω ph according to (1.75) into (1.158) and observe the special case in which R L = RC = R with the result √

Qϕ =

L/C 1 = RC + R L 2



L/C , R

(1.159)

1 Resonant Circuits, One-Port Networks, Coupling Filters …

51

√ which is meaningful for L/C R in agreement with (1.77). A plot of the impedance √ for the special case that was discussed is shown in Fig. 1.11c. If we now set R = L/C, the plot converges to the point Z = R (see (1.74)), and logically we should obtain Q = 0. From (1.159), however, it follows that Q = 1/2.

1.4.4 Definition of the Quality Factor with the Aid of the Total Stored Energy The difficulties associated with the quality factor Q ϕ at phase resonance are avoided by introducing the stored total energy Σ Wm + Σ We with the quality factor definition Qw =

2ω(Σ Wm + Σ We ) . Σ Pv

(1.160)

For pure R L or RC one-port networks, it also has the benefit that it is equal to the quality factor Q ϕ . Disadvantages of the quality factor definition Q w are that a technically relevant parameter such as the phase angle ϕ is no longer evaluated and that Q w is purely a computational quantity that we have no means to measure at least directly.

1.4.5 Definition of the Quality Factor from the Phase Slope As a particularly suitable quality factor definition for one-port networks that are capable of resonance, we therefore introduce the quality factor Q s which evaluates the phase slope dϕ/dω of the one-port network. The phase slope is properly defined at all frequencies and can also be measured directly. The greatest phase slope always occurs at a phase resonance, and Q s is thus specified for this frequency     dϕ  . Q s = ω   dω ω=ω ph

(1.161)

Based on (1.153) and (1.154), we obtain tan(ϕ) =

B(ω) X (ω) = R(ω) G(ω)

(1.162)

or in the implicit form F(ω, ϕ) = tan(ϕ) −

X (ω) = 0. R(ω)

(1.163)

52

R. Negra

We form dϕ/dω through implicit differentiation, ∂F dϕ = − ∂∂ωF = − dω ∂ϕ

−X (ω)R(ω)−R (ω)X (ω) R 2 (ω) 1 cos2 (ϕ)

.

(1.164)

and take the value at phase resonance from (1.164) specifically with ϕ = 0 and X (ω ph ) = 0, thereby obtaining   1 d X (ω) . Q1 = ω R(ω) dω ω=ω ph

(1.165)

An entirely analogous equation is obtained for the function pair B(ω), G(ω). Given that R(ω) =

2Σ Pv 4ω(Σ Wm − Σ We ) and X (ω) = 2 |I0 | |I0 |2

(1.166)

we obtain the following as a further form of the phase slope quality factor: 

ω2 d |Σ Wm − Σ We | · Qs = 2 Σ Pv dω

 ω=ω ph

.

(1.167)

Finally, comparison with the quality factor definition for Q ϕ reveals, as a 4th form for Q s , a reasonable relationship between the two quality factors:   d Qϕ . Qs = ω dω ω=ω ph

(1.168)

1.4.6 Definition of the Quality Factor from the Bandwidth at Resonance For resonant one-port networks, there is another quality factor definition that is conventional which involves an evaluation of the resonance width. Conventionally, the resonance width encompasses the width of the resonance curve between the impedance values which differ exactly by 1/2 or 2 from the maximum or minimum value, respectively. This quality factor is distinguished by the index ω, and for Δω = 2π Δf (Δf = bandwidth) along with ωm as the angular frequency at which the impedance exhibits an extreme value, we have Qω =

ωm fm = . Δω Δf

(1.169)

1 Resonant Circuits, One-Port Networks, Coupling Filters … Table 1.2 Four quality factor definitions for one-port networks Evaluation parameter Defining equation Application area Phase angle

Q ϕ = tan(ϕ) =

1 tan(δ)

m +Σ We Average stored energy Q w = 2ω Σ WΣ Pv

Phase slope

   dϕ  Q s = ω  dω 

Bandwidth

Qω =

ω=ω ph

ωm Δω

=

fm Δf

Inductor, capacitor, reactance network

Resonance-capable components, microwave resonators

Resonance-capable components

Resonance-capable components

53

Comments Easy to measure. Meaningless for frequencies in the vicinity of a resonance Computational quantity, not directly measurable. For pure RL elements (We = 0) and pure RC elements (Wm = 0), we have Qw = Qϕ Directly measurable. ω ph is a phase resonance angular frequency. Meaningful even at frequencies in the vicinity of a resonance Allows good direct measurement. Also meaningful for magnitude resonance. The bandwidth Δf = Δω/2π is defined conventionally by the 3-dB drop-off

In the form of Q ϕ , Q w , Q s , and Q ω , we have examined a total of four differently defined quality factors. None of them provides consistently meaningful results in all imaginable application cases. Accordingly, we must always choose the most appropriate one for our particular application. In order to simplify the decisionmaking process, Table 1.2 shows these quality factor definitions along with the relevant assessment criteria.

References 1. Campbell, G.A.: Physical theory of electric wave filter. Bell Syst. Tech. J. 1, I (1922) 2. Butterworth, S.: On the theory of filter amplifiers. Wirel. Eng. 7, 536–541 (1930) 3. Weinberg, L.: Network Analysis and Synthesis, p. 628. McGraw-Hill Book Comp. Inc., New York (1962) 4. Darlington, S.: Synthesis of reactance-4-poles which produce prescribed insertion loss characteristics. J. Math. Phys. 17, 257–353 (1939) 5. Zverev, A.I.: Handbook of Filter Synthesis. Wiley (1967) 6. Saal, R.: The Design of Filters Using the Catalogue of Normalized Low-Pass Filters. Telefunken (1963)

54

R. Negra

7. Tellegen, B.D.H.: Der Gyrator, ein elektrisches Netzwerkelement. Philips Technische Rundschau 18, 88–93 (1956) 8. Matthaei, G.L., Young, L., Jones, E.M.T.: Microwave Filters. Impedance Matching Networks and Coupling Structures. McGraw-Hill, New York (1964)

Chapter 2

Wave Propagation on Transmission Lines and Cables Matthias Rudolph

Abstract Once the geometric size of an electronic circuit with respect to the signal frequency gets very large, it is no longer possible to ignore the fact that an electrical signal travels no faster than the speed of light. It is observed, that changes do not take effect everywhere simultaneously in the circuit, which needs to be taken into account once the fastest signal transients happen to be on a similar time scale. As these effects first are observed on long cables – or transmission lines – this chapter is devoted to wave propagation on lines. After deriving how electromagnetic waves travel along lines, it will be addressed how reflections can be eliminated by proper impedance matching. In this course, the usage of the famous Smith Chart will be discussed in detail. It will also be highlighted, how to take advantage of wave-propagation phenomena in order to replace reactive components like capacitors by short lines. The chapter concludes by introducing scattering matrices, a generalized concept that allows for a holistic treatment of transmission lines and linear multiports.

2.1 Introduction The topic addressed in this chapter can be motivated through a simple gedankenexperiment of a resistive load R that is connected to a voltage source V0 with internal resistance Rs through a switch, as shown in Fig. 2.1. When the switch is open, no current flows through and no voltage drops across the load resistor. If the switch is closed, Ohm’s law applies, and the current through the resistor is found to be I L = V0 /(R + Rs ), the voltage at the resistor is given by VL = V0 · R/(Rs + R), and the power delivered to the load to be P = VL · I L . But this view only is valid if the transition period after closing the switch is neglected, which means that the distance l between voltage source and load is negligible, l ≈ 0. If source and load are located in a certain distance from each other (l = 0), we have to consider that after closing the switch at the side of the source, the power M. Rudolph (B) Brandenburg University of Technology, Cottbus, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_2

55

56

M. Rudolph

a

b

Rs

Rs IL = V0 / (Rs + R)

I=0 R

V0

l

V=0

V0

R

VL = V0 · R R+ R s

0

Fig. 2.1 Short line section and equivalent circuits

to be delivered to the load will travel with a finite speed that can not exceed the speed of light c towards the load. Figure 2.2 sketches what will happen in this case. If the switch is open (Fig. 2.2a), no current flows and no difference to the previous case is observed. But shortly after the switch was closed, the source will start to deliver power P = V · I along the line towards the load (Fig. 2.2b). At this moment, we observe that the switch-on event travels towards the load with a velocity v ≈ c. Neither current nor voltage are constant along the line in this case. On the sourceside of the line, a voltage drops and a current flows, while on the load-side of the line, the electric potential and the current are still zero. At a certain point in time, current and voltage reach the load. What happens next is depicted in Fig. 2.2c. The resistance R locally enforces a certain ratio between voltage and current according to Ohm’s law. In the general case, the ratio of V to I as initially provided by the source does not match R = V /I , since the source has no a priori knowledge of the load impedance attached to the other end of the transmission line. As a consequence, part of the power delivered by the source gets reflected, so that the impedance level at the load and power conservation can be guaranteed at the same time. In the following, which is not shown in the figure, the system will approach the static solution with VL = V0 · R/(Rs + R) and I L = V0 /(R + Rs ) through a series of reflection and rereflection events like the one discussed. This chapter will introduce to transmission-line theory, which allows for an understanding and engineering of this type of wave-propagation on electrical lines. Wave propagation on electrical cables was first described as early as 1890, and the waves are also referred to as Lecher waves [1]. The first topic to address is how to understand and predict the transient and wavepropagation effects on transmission lines. The discussion so far did not answer what magnitude the current initially had. Apparently, it is not determined by the source or by the load. Thus it must be a property of the transmission line that determines the initial ratio of current and voltage. It is certainly also a property of the line at which velocity the switch-on event travels towards the load. Therefore, the theory of wave-propagation on transmission-lines will be addressed, which is expressed through the transmission-line, or telegrapher’s, equations. The second topic concerns the reflection effects at the load-side of the line, which leads us to the definition of the reflection coefficient, and how it relates to load impedance and line properties. It will also be shown how connecting a line to a

2 Wave Propagation on Transmission Lines and Cables

a

57

Rs I=0

l

b

V=0

R

V0

Rs

0

c

I =?

Rs

I =? I =?

I=0 V0

V=0

R

V =?

I, V V =?

V =?

V0

I, V I =?

V =?

R = V/I

c

V =?

c x

x

Fig. 2.2 Short line section and equivalent circuits

load impedance alters the impedance observed at the line input in case of harmonic (sinusoidal) signals. In many applications, RF engineers exploit this impedancechanging property of lines. The third topic of this chapter addresses the question of how to avoid reflection effects that are in general unwanted and destructive in high-speed and RF applications. This can be achieved through lossless passive so-called matching circuits, and a graphical way of constructing these is by using the iconic Smith-Chart. The final topic introduces a generalized concept of linear network analysis that allows to take the wave propagation effects into account. It is assumed that the reader is familiar with network description through Y- and Z-matrices that relate port voltages to port currents. We will extend this concept by introducing the Smatrix that relates the incoming and outgoing electromagnetic waves at the ports, and show how to transform Z-, Y- and S-matrix representations into each other. The properties of transmission lines play an important role in RF electronics. In RF electronics, one usually reverts to well-established transmission-line types, depending on the application. Therefore, Chaps. 4 and 5 will address certain types of cables and waveguides in addition to the information provided within this chapter. In general, a transmission line needs to provide low losses and frequency-independent line parameters in order not to distort broadband signals, and it must not radiate its fields or be susceptible to external RF fields. In addition to that, it needs to be manufacturable with the least effort possible. Examples for common transmission lines are, as shown in Fig. 2.3: • Coaxial cables, consisting of a center conductor and an outer conductor, that encloses the center conductor with a defined radius. These cables are commonly used in measurement systems or to connect antennas or other RF components that are located rather close. TV antenna cables are an example in consumer electronics. These cables are used up to frequencies in excess of 100 GHz.

58

a

d

M. Rudolph

b

c

e

Fig. 2.3 Cross-sections of typical RF transmission lines. Dashed areas are conductors, dielectric is drawn in white. Shielded twisted-pair (a), coaxial cable (b), rectangular waveguide (c), microstrip line (d), and coplanar line (e)

• Twisted pair cables consist of a cable pair that is twisted in order to cancel out magnetic coupling through the area between them. These cables are, for example, the standard for LAN connections. The twisted pair commonly is enclosed in a shielding realized through a conductive foil or mesh wire. The upper frequency limit is with a few 100 MHz much lower than for coaxial cables. • For highest frequencies or highest powers, rectangular waveguides are used. These resemble rectangular pipes, conducting the power in the form of an electromagnetic wave propagating within the waveguide. Since currents flow only in the enclosing metal, losses are lower than for other types of cables, and also shielding is perfect. The drawback of rectangular waveguide is that they are not flexible. Since the metallization of the waveguide in its cross-section is closed, rectangular waveguides can not transport DC currents. They show a cutoff-frequency towards lower frequencies depending on their dimensions. • On circuit boards and in integrated circuits, planar transmission lines are used. The most prominent is the microstrip line, consisting of a conductor line that is separated from a ground plane by an insulated layer. The microstrip line transports an electromagnetic wave mostly underneath the top conductor. Also coplanar waveguides are used, where the conductor line lies on the same level as the ground plane, separated by gaps on either side. The electromagnetic energy of the transmitted wave is concentrated within these gaps. • For frequencies in the optical spectrum, dielectric optical fibers are used that provide extremely low losses for transmission over long distances. What is common for these types of transmission lines is that they are well suited for RF transmission, and also well studied so that the impact of the transmission lines can be accounted for in RF circuit and system design. But knowledge of transmission line theory is also important outside the classical RF area. In digital electronics, ill-designed connections lead to transient reflection effects that restrict the maximum

2 Wave Propagation on Transmission Lines and Cables Fig. 2.4 Short line section and equivalent circuit

59

a i2

i1

v1

v2

i1

i2

dz

b i1

v1 i1

L'

R'

i2

C'

G'

v2 i2

clock rate. In digital electronics, one speaks of signal integrity, meaning that a bit transmitted through an interconnection still is recognized at the receiving side. The application and the technology applied to solve it might be different, but the underlying effects are the transmission of electromagnetic waves on lines, which is treated in the following. In electronics, when signal frequencies are low and electrical connections are short, it is appropriate to describe circuits in terms of currents and voltages only. For RF electronics, the description has to be expanded in order to account for the fact that currents and magnetic fields always occur together, and the same holds for voltage and electric field. With increasing frequency, our interpretation of cause and effect shift from voltage and current causing electric and magnetic fields to the fields causing currents and voltages. This paradigm shift is implied in the list of transmission-line examples given in the previous section, where twisted-pair lines for moderately high frequencies stand in contrast to rectangular waveguides used for highest frequencies. Transmission-line theory as discussed in the following is assuming homogeneous lines, meaning that the line properties are constant over the whole line length. It will also be abstract in a way that geometry is not yet considered. There will be a current i and a voltage v, while the concrete discussion of different types of transmission lines will be relying on this theory in Chaps. 4 and 5. Another assumption is, that radiation and cross-coupling is not taking place yet. The fields associated with i and v are confined to the line and do not interact with the environment. Cross-coupling of adjacent lines, and how to take benefit from it, is addressed in Chap. 4, while radiation is covered in Chap. 6 addressing antennas.

60

M. Rudolph

2.2 Propagation of Electromagnetic Waves on Transmission Lines 2.2.1 Equivalent-Circuit Representation of the Line and Derivation of the Telegrapher’s Equation Transmission-line theory assumes that the current i = f (z, t) and voltage v = f (z, t) can vary along the line, where coordinate z denotes the observation point at the line, and be dependent of time t. In order to stay within an equivalent-circuit based description of the line, electrical fields are lumped into a capacitance per line length C  . In an analog way, magnetic fields are described through an inductance per line length L  . Cable losses and dielectric losses are described similarly through resistance and conductance per line length, R  and G  , respectively. The derivation of the equivalent circuit description of an infinitesimally short line section, with length l = dz is shown in Fig. 2.4. In order to derive the dependency of the current i and voltage v on the spatial coordinate z, it suffices to apply Kirchhoff’s two laws to the transmission-line section of length dz as shown in Fig. 2.4. In order to simplify the nomenclature, i 1 shall denote the current i = f (z 1 , t) at an observation coordinate z 1 , while i 2 shall denote the current i = f (z 1 + dz, t), an infinitesimally short distance dz apart from coordinate z 1 . No index will be written when an equation applies to an arbitrary observation point along the line. The same nomenclature will be applied to voltage v. Under the effect of the voltage v which should be construed as the arithmetic mean of the nearly identical voltages v1 and v2 , leakage currents (insulation currents) and displacement currents flow between the two conductors. The total leakage current of the section is G  dz v and the total displacement current is ∂q/∂t = C  dz(∂v/∂t), as denoted in the equivalent circuit in Fig. 2.4b. Approximating the voltage between the conductors by v ≈ v1 enables us to write the equation for the currents through the infinitesimally short section of the line: i 1 = i 2 + G  dz · v1 + C  dz · and with i 2 = i 1 + di;

di =

∂v1 ∂t

∂i dz ∂z

(2.1)

(2.2)

allows us to determine the change of the current over the line segment dz as a function of voltage v: ∂i ∂v − = G · v + C  · . (2.3) ∂z ∂t

2 Wave Propagation on Transmission Lines and Cables

61

The change in voltage over the line segment can be determined in a similar fashion. The voltage v2 will be reduced with respect to v1 by ohmic losses in the conductors, represented by R  dz, and the voltage drop caused by line inductance L  dz. The equation reads: ∂i 1 (2.4) v1 = v2 + R  dz · i 1 + L  dz · ∂t and with v2 = v1 + dv;

dv =

∂v dz ∂z

(2.5)

allows us to determine the change of the voltage v over the line segment dz as a function of current i: ∂v ∂i = R · i + L  · . (2.6) − ∂z ∂t One of the two unknown quantities v or i can be eliminated by combining (2.3) and (2.6). For example, we obtain the following by differentiating (2.6) by z:     ∂ 2v ∂i ∂i   ∂ +L − =R − ∂z 2 ∂z ∂t ∂z

(2.7)

∂i Moreover, by applying − ∂z from (2.3), we obtain 2 ∂ 2v ∂v   ∂ v + R G  · v = L C · + (R  C  + G  L  ) · ∂z 2 ∂t 2 ∂t

(2.8)

A very similar equation is obtained if the voltage is eliminated instead: 2 ∂i ∂ 2i   ∂ i + R G  · i = L C · + (R  C  + G  L  ) · 2 2 ∂z ∂t ∂t

(2.9)

These equations can be rewritten, considering that a good transmission line should show low losses, represented by R  and G  :  2     ∂v R G  R G ∂ 2v   ∂ v +   ·v . =LC + +  · ∂z 2 ∂t 2 L C ∂z L C

(2.10)

 2     R G  ∂i ∂ 2i R G   ∂ i +   ·i . =LC + +  · ∂z 2 ∂t 2 L C ∂z L C

(2.11)

62

M. Rudolph

This partial differential equation of second order is known as the telegraphers’ equation. It allows for the calculation of transient effects like switching processes and of travelling waves along the line.

2.2.2 Solution of the Telegraphers’ Equation: Propagation Constants and Characteristic Impedance of the Line In the following, the telegrapher’s equation will be solved in the frequency domain, i.e., assuming signals that show a sinusoidal time-dependence with an angular frequency ω = 2π f . The reason to switch to the frequency domain is threefold. First, the mathematical treatment of the differential equations is simpler. Second, traditional RF signals tend to be rather narrow-band and in many cases can be approximated by an investigation of the center, or carrier, frequency. Third, it is always possible to use the Fourier transform of a non-sinusoidal excitation signal to calculate its representation in the frequency domain. As we assume the transmission line to be a linear system, it is possible to determine the response of the transmission line to this excitation in the frequency domain and finally use the inverse Fourier transform to calculate the output signal in the time-domain. The following treatment is therefore easily expanded to cover non-sinusoidal signals. We will be using complex notation for the instantaneous values of voltage and current, as it is common in AC circuit theory: v = {V e jωt } we obtain the equations

and

i = {I e jωt }

(2.12)



∂V = (R  + jωL  )I ∂z

(2.13)



∂I = (G  + jωC  )V. ∂z

(2.14)

and

From the telegrapher’s equations (2.10) and (2.11), we obtain ∂2 V = (R  + jωL  )(G  + jωC  )V. ∂z 2 ∂2 I = (R  + jωL  )(G  + jωC  )I. ∂z 2

(2.15) (2.16)

2 Wave Propagation on Transmission Lines and Cables

63

Since (2.15) and (2.16) are differential equations with constant coefficients, we expect exponential functions as our solution. We thus apply V = V0 eγ z and I = I0 eγ z as our educated guess. The important quantity γ is known as the “propagation constant” or “propagation coefficient” and is obtained in conjunction with Eqs. (2.15) and (2.16); V0 γ 2 eγ z = (R  + jωL  )(G  + jωC  )V0 eγ z . 2 γz

I0 γ e









γz

= (R + jωL )(G + jωC )I0 e .

(2.17) (2.18)

Thus, we obtain  γ12 = ± ( jωL  + R  )( jωC  + G  )    √ R G   1− j 1− j . = ± jω L C ωL  ωC 

(2.19)

It is fair to assume that a good transmission line provides low losses. At frequencies above 10 kHz, the loss factor R  /ωL  for the current ohmic losses is 1, whereas the loss factor G  /ωC  for the dielectric losses already drops below a value of 1 at frequencies of only a few hertz. Thus, we can easily approximate the second root through a first-order Taylor-series expansion and obtain  γ12 ≈ ± jω



 L C 

R 1− j 2ωL 

  G 1− j . 2ωC 

(2.20)

Since γ12 is complex, we set γ12 = ±γ = ±(α + jβ).

(2.21)

The real part α serves as a measure of the attenuation and is thus known as the attenuation coefficient or attenuation constant. From (2.20) it follows that G R α≈  +  2 2 CL 



L . C

(2.22)

The imaginary part β takes into account the phase-shifting characteristic of the transmission-line and is thus known as the phase coefficient or phase constant. β=

√ 2π ≈ ω L C . λ

(2.23)

Here, λ is the wavelength on the transmission-line at frequency f . The significance of the attenuation and phase coefficients is depicted in Fig. 2.5, for a wave travelling in positive direction of z at a certain time t = t0 . The sinusoidal shape of the wave is governed by β, which is directly related to the wavelength.

64 Fig. 2.5 Sketch denoting the significance of phase coefficient β and attenuation constant α

M. Rudolph

V V0 e–az

V0

z V0 sin(bz) e–az l =

2π b

The attenuation coefficient is not affecting the periodic nature of the curve, it merely describes the exponential decay of the amplitude, indicated by the dashed line. So far, we were able to find a solution of the telegrapher’s equation for current and voltage that shows that both lead to the description of a wave with identical propagation constant. But since power is delivered according to the product of current and voltage, the ratio of the two quantities is to be established. It will be shown that the line properties determine this ratio, which carries the unit Ohm and therefore is called the characteristic impedance Z 0 of the line. Equations (2.13) and (2.14) establish the connection between line current and voltage. Starting from (2.13) I =−

∂V 1 . R  + jωL  ∂z

(2.24)

By inserting V = V0 e±γ z , we obtain the following: γ · V0 e±γ z R  + jωL   R  + jωL  = · V0 e±γ z G  + jωC 

I =

(2.25) (2.26)

Since the z-dependence of I is governed by the same propagation constant as in case of the voltage, with I = I0 e±γ z , it follows for the ratio Z 0 = V0 /I0 , which is referred to as the characteristic impedance of the line:  Z0 =

R  + jωL  . G  + jωC 

(2.27)

The characteristic impedance Z 0 is an important concept in the transmission line theory. It states that current and voltage of a wave travelling along a line always

2 Wave Propagation on Transmission Lines and Cables

65

stand in a certain ratio of each other and that the properties of the line (like geometry, dielectric constant and conductance) determine the relation of the amplitude and phase. This characteristic impedance is therefore the answer to the question that arose from the introductory gedankenexperiment at the beginning of the chapter: If a line is excited at one end with a certain voltage V , we now know that the current of the wave travelling towards the load is given by I = V /Z 0 . In case of a low-loss line, the characteristic impedance can be approximated by: Z0 ≈

L . C

(2.28)

The characteristic impedance must not be confused with an ohmic resistance or a lumped impedance in general. Together with the transmission coefficient γ , it defines how electromagnetic power is delivered along the line in the form of a guided wave, while a lumped impedance value denotes the amount of energy that is dissipated or stored in this network branch. How to determine input impedances in networks containing transmission lines will be discussed further on in this chapter, after some more basics are explained. Electromagnetic waves can propagate in positive as well as in negative direction of z, with propagation coefficients γ and −γ , respectively. In positive direction, current and voltage will be denoted as It and Vt , while in negative direction, it will be Ir and Vr . The characteristic impedance can be written as: Z0 =

Vt Vr = , It −Ir

(2.29)

as the current Ir is flowing into the negative direction.

2.2.3 Phase and Group Velocity In order to determine the velocity of the wave travelling along the line, we are required to express the harmonic signal in time domain. For the forward-propagating wave, we get: (2.30) Vt (t, z) = Vt0 · sin(ωt − βz + ζ ) · e−αz where ζ accounts for an arbitrary phase shift of the wave, ω denotes the angular frequency, β propagation constant and α the attenuation constant. The phase velocity is defined as the speed that a certain phase ξ is travelling along the line, e.g. ξ = π/2: π = ωt − βz + ζ (2.31) 2 Differentiating with respect to time t, one obtains:

66

M. Rudolph

dz dt ω 1 dz = =√ = , dt β L C 

0=ω−β v ph

(2.32) (2.33)

√ with β = ω L  C  . This definition is referred to as the phase velocity, since the reference is the propagation of a certain phase angle of the wave. But the phase velocity in steady state is not very meaningful when it comes to the question at which velocity information is transported along the line. Transporting information requires to change between different states in magnitude and/or phase, as will be discussed in the final chapter of the book, on signal modulation techniques. If we consider, for example, a pulse where the signal is switched on only for a short period of time, it is known that the respective spectrum spreads over all frequencies and can be described by a sinc function. In order to determine how fast information – or energy – travels, it is therefore required to investigate a certain spectrum, or a group or frequencies. The so-called group velocity describes the velocity of a narrowband spectrum, that could for example be an amplitude-modulated signal. In order to simplify the analysis further, only the two frequencies ωc ± δω defining the bandwidth around the carrier frequency ωc are considered. This signal has the form of: Vt (t, z) = Vt0 · {cos[(ωc − δω)t − (βc − δβ)z + ζ ]+ + cos[(ωc + δω)t − (βc + δβ)z + ζ ]} · e−αz = Vt0 · 2 · {cos[ωc t − βc z + ζ ] · cos[δωt − δβz + ζ ]} · e−αz

(2.34) (2.35)

where the relation cos(α) · cos(β) = 1/2[cos(α − β) + cos(α + β)] was applied. The term δβ was introduced in order to account for the fact that the propagation constant, in the general case, is not independent of frequency. Considering that the first term in the brackets describes the carrier signal at ωc , i.e. a steady-state signal merely defining the center frequency, it is safe to ignore it in the determination of the group velocity. The second term at frequency δω, on the other hand, represents the information modulated onto the carrier signal. The group velocity is now determined by calculating the speed of a constant phase, e.g. π/2 of the information signal: π = δωt − δβz + ζ (2.36) 2 Differentiating with respect to time t, one obtains: 0 = δω − δβ dz δω = dt δβ

dz dt

(2.37) (2.38)

2 Wave Propagation on Transmission Lines and Cables a

b

67

t = t0 + Δt

c

z'

c

t = t0 f zero phase

E propagation direction

ugr = c . cos(f)

E

c f

z''

uph = c cos(f)

Fig. 2.6 Sketch explaining the difference of phase velocity v ph and group velocity vgr (a) a wave guided between conducting walls by reflection (b) phase of the wave as superposition of two plane waves (c) observed group vgr and phase v ph velocities

⇒ vgr =

dω dβ

(2.39)

The group velocity therefore denotes the velocity of an infinitesimally narrowband signal. For broadband signals, it keeps it importance, since constant group velocity over the full bandwidth is the condition for undistorted transmission. In case of non-dispersive lines, meaning lines that feature constant line parameters  L  , C  , R  , and √ G , there is no difference between the two definitions. In this case,  with β = ω L C  , it follows β/ω = dβ/dω. Different types of lines, and to what extend dispersion has to be considered will be covered in the following chapters. In general, all types of lines show dispersion, but technically relevant types of cables and lines also provide frequency ranges that can be considered to be free of dispersion when selected carefully to match the application. The treatment of phase and group velocities would not be complete without mentioning that phase velocity is able to exceed the speed of light, while group velocity is rather lower than the speed of light. This is very well visible in the case of rectangular waveguides which will be addressed in Chap. 5. This phenomenon can be explained at the example of a wave guided between two conducting planes, as shown in Fig. 2.6a. The wavelength is short with respect to the distance between the plates, so that the wave propagation can be understood similar to light beams being reflected between the planes. Although the direction of propagation is in the horizontal direction relative to the plates, the electromagnetic wave travels on a zig-zag path. The observed group velocity in the propagation direction is thereby slower than the speed of the reflected and re-reflected beam, depending on the angle between beam and total propagation directions. If the individual beam travels with the speed of light c, a group velocity vgr = c · cos φ ≤ c is observed, see Fig. 2.6c.

68

M. Rudolph

The phase velocity in this case has to consider the speed of a constant phase, e.g. the point where sin(ζ ) = 0 holds. This point depends on the superimposed waves, as indicated in Fig. 2.6b. The figure depicts the zero-crossings at ζ = π for the two planar waves. Only at the crossing of the lines, the superimposed waves show a zerocrossing. These phase conditions travel in the propagation direction of the wave, but, as depicted in Fig. 2.6d, at a phase velocity of v ph = c/ cos φ ≥ c. This example intends to motivate the phase velocities determined when calculating e.g. the electromagnetic field patterns in rectangular waveguides. The closed-formula results tend to disguise why phase velocity could exceed the speed of light, and why the transmission speed of energy and information is much lower.

2.2.4 Exact Representation of the Attenuation and Phase Coefficients In the upcoming sections, we will rely on the approximated formulae for low-loss transmission lines as derived above. The reason is that providing low losses is one of the key properties of transmission lines. Nevertheless it might be interesting in specific cases to determine the exact values so we can examine the errors in the approximate values at any time. We begin with (2.19)    R G 1− j 1− j γ = −ω L C ωL  ωC 

(2.40)

R G = sinh(δ ) and = sinh(δG ). R ωL  ωC 

(2.41)

2

and set

2





Thus, we have γ 2 = −ω2 L  C  {1 − sinh(δ R ) sinh(δG ) − j[sinh(δ R ) + sinh(δG )]}.

(2.42)

Now we have 1 [cosh(δ R − δG ) − cosh(δ R + δG )] 2     2 δ R − δG 2 δ R + δG − sinh = sinh 2 2

− sinh(δ R ) sinh(δG ) =

and moreover



δ R + δG sinh(δ R ) + sinh(δG ) = 2 sinh 2 Thus, we have





δ R − δG cosh 2

(2.43)

 .

(2.44)

2 Wave Propagation on Transmission Lines and Cables

69

     δ R − δG δ R + δG − sinh2 γ 2 = −ω2 L  C  1 + sinh2 2 2     δ R − δG δ R + δG cosh −2 jsinh 2 2

(2.45)

or 



   δ R − δG δ R + δG 2 − j sinh γ = −ω L C cosh , 2 2      √ δ R + δG δ R − δG   + cosh γ = α + jβ = jω L C − j sinh 2 2 2

2





(2.46)

which is equivalent to   √ δ R + δG , α = ω L  C  sinh 2   √ δ R − δG . β = ω L  C  cosh 2

(2.47)

The upper equation can be written even more clearly. According to the relationship 

δ R + δG sinh 2 we have







R G α=⎝  +  2 2 CL 



⎞ 1 L ⎠

δ R −δG  C cosh 2



and



β = ω L  C  cosh as well as v ph =



R G sinh(δ R ) + sin(δG )  +  = .

δ R −δG = 2ωL δ R 2ωC −δG 2 cosh cosh 2 2

δ R − δG 2

(2.48)

(2.49)



1 1 ω =√

δ R −δG .   β L C cosh 2

(2.50)

(2.51)

Equations (2.49)–(2.51) hold strictly for any frequency and any values of R  /ωL  or G  /ωC  . With (2.49), the correction of the approximate values for α and β is reduced to a single correction function cosh(δ R − δG )/2 which deviates from 1 only by a maximum of 2% for δ R − δG ≤ 0.4. The correction factor is exactly equal to 1 for δ R = δG or

R G = . L C

(2.52)

70

M. Rudolph

10

√ km

10 NA/km

β

1

α

1

10-1

— 1 10-1 √f

10-2

2

β

α

10-2

10-3 10-4

—— √R′G′

10-3

α

10-5 1.5·10-6 1.0·10-6 0.5·10-6 0 a

10-4

β0 − 2π ~f λ0

10-5

β

10-3 10-2 10-1 0 0,5·10-3

100

101 f

102

103

104

105 Hz 106

Fig. 2.7 Attenuation coefficient α and phase coefficient β as a function of frequency R0 = 43.6 µ /cm; L 0 = 3.126 nH/cm; G 0 = 485 fS/cm; C0 = 0.46 pF/cm

According to Heaviside,1 a line with this property is said to be distortionless, or non-dispersive. In general, we have δ R > δG . However, (δ R − δG )/2 assumes values only in the range to a few kilohertz such that cosh(δ R − δG )/2 > 1. Practically speaking, we can assume the following starting from 10 kHz for all existing line types: 

δ R − δG cosh 2



1 ≈1+ 8



R G − ωL  ωC 

2 ≈ 1.

(2.53)

Figure 2.7 shows the attenuation coefficient, α, and phase coefficient, β, according to (2.49) as a function of frequency (Fig. 2.8).

2.2.5 Frequency Dependency of the Characteristic Impedance The characteristic impedance of a transmission-line follows from (2.27): 1

O. Heaviside was an English physicist who lived from 1850 to 1925. He mathematically analyzed the propagation of waves on transmission-lines and cables for the first time (1893) with the aid of the transmission-line equations, simultaneously developed the basic principles of vector calculus and explained concurrent to Kennelly the propagation of long waves around the earth due to the presence of a high-lying ionosphere (Kennelly-Heaviside layer) [2].

2 Wave Propagation on Transmission Lines and Cables Fig. 2.8 Plot for γ = α + jβ between 10−2 Hz and 1 MHz; R0 = 43.6 µ /cm; L 0 = 3.126 nH/cm; G 0 = 485 fS/cm; C0 = 0.46 pF/cm

71

102 1/km 106 10

Hz 105

1

104 10–1 b

103 10–2

102 10

10–3

10–4

1

10–1

10–2 Hz 10

–5

10–4

10–3

10–2

10–1

Np/km 1

α

 Z0 =

R  + jωL  = G  + jωC 



  R L   1 − j ωL  . G C  1 − j ωC 

(2.54)

(R  /ωL  ) is the share of the loss factor for the cable which corresponds to that of a coil and (G  /ωC  ) is the share that corresponds to the losses of a capacitor. We will now examine the frequency dependency of Z 0 based on three important special cases.

72

M. Rudolph

For direct current ( f = 0),

Z 0 |dc =

R G

(2.55)

is real and determined solely by the effective resistances. In ordinary cables with √ insulating material having a resistivity ρ = 1013 to 1017 cm, R  /G  is on the order of magnitude of several times 1000–100,000 . At frequencies between 1 Hz and 1 kHz, we have the loss factor of the insulation G  /ωC   1 but R  /ωL  1 given ρ ≥ 1013 cm. Within this low-frequency range, e.g. at 50 Hz, R  is about 100 times as large as ωL  such that in the lowfrequency range up to a few kHz we can neglect ωL  versus R  . We thus obtain  Z 0 |n f ≈

R 1− j = √ jωC  2



R . ωC 

(2.56)

For low-frequency applications, the magnitude of the characteristic impedance √ |Z 0 | thus decreases with 1/ f . The characteristic impedance is not real but instead has a phase angle at low frequencies of almost −45◦ , as seen from the factor 1 − j in the above formula. (2.56) holds only from ≈ 3 to ≈ 100 Hz. Above 10 kHz we enter the RF regime and we also have R  /ωL   1, i.e. Z 0 |r f ≈

L C

(2.57)

√ range, Z 0 is again real but the values L  /C  are much smaller than √ In this R /G  ; they are between 10 and 1000 , and for coaxial cables between 50 and 75 . In this manner, we can plot the characteristic impedance Z 0 (Fig. 2.9) in a qualitative manner with numerical values calculated according to (2.54). The values are calculated for the example of a coaxial cable (Fig. 2.10). A more precise representation must take into account the frequency dependency of the line equivalent-circuit elements. R  , G  , L  , and C  can be considered to be constant only within a certain frequency range. The skin effect, for example, leads to a concentration of the current on the conductor surface. As a consequence, R  increases. C  and L  depend on the electromagnetic field patterns that are in general frequency dependent, albeit to a different extent for the different types of lines.

2.3 The Reflection Coefficient 2.3.1 Chain Matrix Description of the Transmission Line We would now like to relate the equations derived in Sect. 2.2.2 to the terminating impedance as well as the current and voltage at the end of the line. With waves travelling in positive and negative directions, the voltage at a certain point z is given

2 Wave Propagation on Transmission Lines and Cables Re(ZL) 0

25

50

Re(ZL)

L '/C ' 75 100 Ω 125

L '/C ' 0

10kHz 5kHz

Ω



–25

–1

1kHz

–75

2

1

3

4

5

6

7

102Hz 101

R '/GI ' 8 10–2Hz 10–1

f

3

9

10

R '/GII' R '/GIII ' 11 kΩ 12 10–2Hz 10–2Hz

f

f

I

–2 Im(ZL)

Im(ZL)

2kHz –50

73

1Hz 1Hz

3.10–1

II 10–1

III

–3 1Hz

0.5kHz –100

3.10–1

–4

3.10–1

10–1

–5

Fig. 2.9 Plot of the characteristic impedance Z 0 of a coaxial cable with R0 , L 0 , C0 as in Fig. 2.7; G 0 = 4.85 · 1/ρe f f ; ρe f f = Average value for supporting ring and air I: ρe f f = 5 × 1012 cm; II: ρe f f = 1013 cm III: ρe f f = 1.5 × 1013 cm D

a

d S

Fig. 2.10 Diagram of the CCI standard coaxial pair, cross-section and longitudinal section. Ring spacing α ≈ 29 mm is standardized: diameter of inner conductor d = 2.6 mm; inner diameter of outer conductor D = 9.5 mm; thickness of outer conductor s = 0.25 mm

by the superposition of the voltages of the waves according to: V (z) = Vt e−γ z + Vr e+γ z

(2.58)

Also the current can directly be given, if the voltage waves and the characteristic impedance Z 0 are known: I =

Vt −γ z Vr +γ z e − e . Z0 Z0

(2.59)

In order to describe the impact of the line in terms of a linear two-port matrix, the relation between current and voltage at the input of the line (z = 0) to current and voltage at the output of the line (z = l) has to be derived. At the output of the line, we get: (2.60) V (z = l) = Vt e−γ l + Vr e+γ l and

and thus

Z 0 · I (z = l) = Vt e−γ l − Vr e+γ l

(2.61)

74

M. Rudolph

V (z = l) + Z 0 · I (z = l) = 2 · Vt e−γ l , V (z = l) − Z 0 · I (z = l) = 2 · Vr e+γ l .

(2.62)

considering that at the input of the line, at z = 0, the voltages read Vt (z = 0) = Vt and Vr (z = 0) = Vr , and denoting the quantities at the input, z = 0, by the index 1 and at the output, z = l by the index 2, we obtain: V2 + Z 0 · I2 +γ l V2 − Z 0 · I2 −γ l e e , + 2 2 V2 + Z 0 · I2 +γ l V2 − Z 0 · I2 −γ l e − e . I1 = 2Z 0 2Z 0

V1 =

(2.63)

After combining the factors associated with V2 or I2 , this relation can be written in form of a chain matrix:       cosh(γ l) Z 0 sinh(γ l) V2 V1 = 1 (2.64) · sinh(γ l) cosh(γ l) I1 I2 Z0 In many cases it is possible to neglect the attenuation on a low-loss line. The chain matrix simplifies the to: 

V1 I1



 =

cos(βl) Z 0 sin(βl) 1 sin(βl) cos(βl) Z0

   V2 · I2

(2.65)

This chain matrix describes the line as a two-port and is in principle sufficient for network calculations. We will take advantage of this relation in the subsequent analysis of wave propagation and reflection effects.

2.3.2 The Reflection Coefficient So far, it was established that current and voltage of a wave travelling along a line are related to each other through the line’s characteristic impedance. If the line is terminated by a load of a different impedance level, a part of the transmitted power will get reflected, according to the different impedance levels. Figure 2.11 sketches a terminated transmission line. Assume that the source generates a wave transmitted towards the load with voltage Vt (z) = Vt · e−γ z , current It (z) = Vt (z)/Z 0 and power Pt = 1/2 · (|Vt |2 · Z 0 ). At the load side of the line, at z = 0, the load impedance requires I L = VL /Z L which differs from the currentto-voltage ratio on the line if Z L = Z 0 . As a consequence, a reflected wave will be observed, so that the superposition of the two waves fulfills Ohm’s law at the load: ZL =

VL Vt + Vr Vt + Vr = = Z0 · IL It − Ir Vt − Vr

(2.66)

2 Wave Propagation on Transmission Lines and Cables transmitted wave

reflected wave

It (z)

Z0

Z0, b, a It (z)

IL

Ir (z)

Vt (z) Vt (z)

75

ZL

Vr (z) Vr (z)

= Z0

–Ir (z)

VL = ZL · IL

= Z0

z=−l

z=0

Fig. 2.11 Transmission line terminated by a load impedance Z L

This relation allows us to determine the ratio between reflected and transmitted wave: Vr Z L − Z0 = (2.67) ΓL = Vt Z L + Z0 Γ L , or Γ in general, is called the reflection coefficient. It is a dimensionless quantity defined in terms of voltage, with 0 ≤ |Γ | ≤ 1 for passive loads. In terms of power, we get Pr = Pt · |Γ L |2

(2.68)

PL = Pt · (1 − |Γ L | ) 2

(2.69)

where Pt is the power of the wave emitted by the source, Pr is the power of the reflected wave, and PL is the power delivered to the load. The quantity Pt is also called the available power of the source (Pav ) that would be the power the source could provide to a matched load with Γ L = 0. From (2.67), it is also possible to determine the load impedance when the reflection coefficient and the characteristic line impedance are known: 1 + ΓL ZL = Z0 1 − ΓL

(2.70)

Which again highlights that the reflection coefficient of a load is defined relative to the characteristic line impedance, in contrast to the impedance value that is an independent property. Nevertheless, it is common to characterize RF devices rather in terms of their input- and output reflection coefficients as through the respective impedances. This custom is justified, since Z 0 = 50 is the industry standard, for which virtually all packaged components, cables, connectors and measurement equipment are designed. In all other cases, it is required to state the value of Z 0 .

76

M. Rudolph

After the derivation of the reflection coefficient in general, we should have a closer look at four typical types of load resistances: matched, open circuit, short circuit and reactive loads. Matched Load means the case where load and characteristic line impedances are equal, Z L = Z 0 . For the reflection coefficient, it follows: Γ Lmatched =

Z0 − Z0 =0 Z0 + Z0

(2.71)

consequently, since no power gets reflected, the available source power is fully delivered to the load. Open-circuit load provides Z L → ∞ to the end of the line. The respective reflection coefficient is: Z L − Z0 Γ Lopen = lim =1 (2.72) Z L →∞ Z L + Z 0 No power is delivered to the load, since the open-circuit condition prevents that current flows into the load terminals. Instead, the power transmitted from the source towards the load gets reflected. Short-circuit load provides Z L = 0 to the end of the line. The respective reflection coefficient is: −Z 0 Γ Lshort = = −1 (2.73) Z0 As in case of the open circuit, no power is delivered to the load, since the shortcircuit condition prevents that a voltage exists at the load terminal. The power gets reflected, but with a 180◦ phase shift. Reactive load provides a purely imaginary impedance Z L = j X to the end of the line, which stores energy. The respective reflection coefficient is: Γ Lreactive load =

j X − Z0 = e jψ j X + Z0

(2.74)

with ψ = 2 arctan(Z 0 / X ). Since the reactive load is not dissipating any power, it is also in this case fully reflected back towards the source. The reflection coefficients determined for these four load impedances are drawn into the complex reflection coefficient plane in Fig. 2.12. In addition to these cases, it can be stated that for any passive load providing (Z L ) > 0, one obtains reflection coefficients within the unity circle. This can be expected from the fact that a mismatched load always yields a reflected wave, and from the fact that a passive load does not emit power but dissipates it. Therefore, the reflected power will be less than the available source power, and if follows |Γ | < 1. The mathematical transformation of an impedance into the reflection coefficient graphically maps any value providing a positive real part into the unity circle. We will come back to this property when

2 Wave Propagation on Transmission Lines and Cables

77

(Γ) ZL = jX Γ =1

ZL = 0 Γ = –1

ZL Γ=1

∞ (Γ)

ZL = Z0 Γ=0

Fig. 2.12 Location of matched, open circuit, short circuit and reactive loads drawn in the complex reflection coefficient plane. Passive load impedances yield reflection coefficients |Γ | < 1 within the unity circle

the mapping of impedances into the complex reflection factor plane is addressed in order to derive the so-called Smith chart.

2.3.3 Transformation of Reflection Factors Through a Transmission Line Once that the reflection coefficient at the end of the transmission line is defined, we would like to determine the reflection coefficient at the input of the line. This would be the reflection coefficient presented at the source side, which can then be used to derive an input impedance. This question is of high practical relevance, as it addresses the question to what extent a known input impedance is altered if a cable of a certain length l is connected to the respective port (Fig. 2.13). Considering that the voltage along the line is given by the superposition of transmitted and reflected waves, which can be expressed by V (z) = Vt e−γ z + Vr e+γ z ,

(2.75)

78

M. Rudolph L

in

Zin

Z0 Z0, b, a

z=–l

ZL

z=0

Fig. 2.13 Sketch of a transmission line terminated by a load Z L , indicating input impedance Z in and reflection coefficient Γin

it is possible to derive the reflection coefficient from the ratio of the two waves. If we consider that the load reflection coefficient Γ L is defined at z = 0, where the exponential terms assume the value 1, we obtain: Vr e−γ l Vr (z = −l) = Vt (z = −l) Vt eγ l = Γ L · e−2γ l = Γ L · e−2αl e− j2βl

Γin =

= ΓL · e

−2αl − j4π λl

e

(2.76) (2.77) (2.78)

Inserting a transmission line therefore shifts the phase of the reflection coefficient according to the phase constant β, and reduces its magnitude according to the attenuation constant α. On a lossless line, |Γ | would remain constant, while the phase shifts clockwise according to 4πl/λ. Figure 2.14 shows the example of an arbitrary load reflection coefficient Γ L , and the corresponding input reflection coefficient Γin observed at the input of a quarter-wavelength (l/λ = 1/4) transmission line. Lines with a length of a quarter wavelength shift the phase of a reflection coefficient by 180◦ . This property is beneficial in many respects, a few examples will be given after the transformation of the load impedance through a transmission line is introduced. The transformation of the load impedance Z L to the source side of the line can be derived analogously: 1 + Γin 1 − Γin 1 + Γ L e−2γ l . = Z0 1 − Γ L e−2γ l

Z in = Z 0

(2.79) (2.80)

It is advantageous to substitute the reflection coefficient by the respective expression relying on the load and characteristic line impedance only:

2 Wave Propagation on Transmission Lines and Cables

79

(Γ)

Fig. 2.14 Example of an arbitrary load reflection coefficient Γ L transformed by a line of length l = λ/4

ZL = jX Γ =1

ΓL l

l 4

1 18 0º Γin

(Γ)

losses: e–2al

(Z L + Z 0 )e jγ l + (Z L − Z 0 )e− jγ l (Z L + Z 0 )e jγ l − (Z L − Z 0 )e− jγ l Z L cosh(γ l) + j Z 0 sinh(γ l) = Z0 Z 0 cosh(γ l) + j Z L sinh(γ l) Z L + j Z 0 tanh(γ l) = Z0 Z 0 + j Z L tanh(γ l)

Z in = Z 0

(2.81) (2.82) (2.83)

Transformation of a load impedance or reflection coefficient through a quarterwave line is of high practical relevance especially in case of open or short circuit terminations, or of purely resistive loads. In order to take advantage of the transformation, the line needs to provide very low attenuation, and therefore the following examples are discussed with the approximation of a lossless line. A matched load with Z L = Z 0 and Γ L = 0 is not altered at all, if a line is inserted, simply since the load does not cause a reflected wave. A resistive load R L will be transformed into another resistive input impedance Rin . Equation (2.83) for the lossless case yields: Z in = Z 0 ·

Z L + j Z 0 tan(βl) Z 0 + j Z L tan(βl)

(2.84)

and for a quarter-wavelength line, βl = π/2, so that we obtain, considering that limx→π/2 tan(x) = ∞: Z2 (2.85) Z in = 0 ZL

80

M. Rudolph

Fig. 2.15 Using a quarter-wave transmission line to convert the value of a load impedance

l/

= 1/4 Z0 = 70

100

50

The impedance observed at the input of the line thereby is again purely resistive. It is either higher than Z L , if Z 0 > Z L , or lower than Z L , if Z L < Z 0 . The quarterwavelength line therefore serves as an impedance transformer. Microwave circuits, where Z 0 of microstrip lines can be varied in a broad range of impedances, use this property regularly to transform an impedance to attain a desired level, by proper choice of Z 0 . Example: • Consider that an amplifier with an output impedance of 100 should be connected to an antenna with an input impedance of 50 . We can use a quarterwavelength line to connect the two components, see √ Fig. 2.15. A characteristic line impedance according to Eq. (2.85) of Z 0 = 100 · 50 = 70 will do the job. In real life, the antenna might be farther apart than exactly λ/4. In addition, commercial cables provide Z 0 = 50 . In this case, we include the impedance-transformer line in the amplifier, maybe even on the circuit board, so that the 50- impedance level is already reached at the amplifier output port, and the distance to the antenna can be covered by a regular cable. An open circuit with Z L → ∞, gets transformed by a quarter-wavelength line from its reflection coefficient Γ L = 1 by 180◦ to Γin = −1. As already introduced, this reflection coefficient corresponds to a short circuit. While this finding might sound strange at first, just means that we moved our point of observation of the standing wave caused on the line by the full reflection from the open-circuit end (where the current is zero while voltage is maximum) a quarter-wavelength further, where the voltage is zero, while the current is maximum. Between these extreme cases, Z in can mimic other reactive values. Inserting Z L → ∞ into Eq. (2.84), we get: Z in =

Z0 = − j cot(βl)Z 0 j tan(βl)

(2.86)

Figure 2.16 shows the value the of the input impedance (a) and admittance (b) as a function of line length. We observe that the open circuit providing Z in → ∞, Yin = 0 is transformed into a short circuit by a quarter-wavelength line, providing Yin → ∞, Z in = 0. This transformation from short to open and back continues according to the − cot(βl) function, since line losses are neglected. This transformation of a short has a number of applications. For example:

2 Wave Propagation on Transmission Lines and Cables

81

• Realize a capacitance to ground. For line length of less than l/λ = 1/4, the input impedance of a lossless line terminated by an open circuit only provides a negative imaginary part, which can be interpreted as the equivalent to the impedance of a capacitance providing − j/(ωC). This analogy is true even within a certain√bandwidth. For βl  1, it holds that tan(βl) ≈ βl. Considering that β = ω L  C  , we see that changing the frequency has the same effect on Z in as changing line length. Figure 2.17 shows the input admittance of a short lossless line terminated by an open circuit as a function of frequency. At millimeter-wave frequencies (30 GHz and beyond), wavelengths become very short and parallel capacitances are commonly realized by short open-circuit stub lines. The advantages are clear: realizing a short line on a circuit board or in an integrated circuit is easy and cheap, and line dimensions are commonly very well controlled, so that the capacitance can be realized with very low parameter spread. At lower frequencies, the length of the required line and the losses associated with it commonly render the open stub less attractive than a lumped capacitance element. • Realize a series resonant circuit. A quarter-wavelength line transforms the open circuit termination into a short-circuit. The impedance crosses (Z in ) = 0 just for one single frequency, showing a positive slope resembling the curve a series resonance circuit would show around its resonance frequency. Figure 2.18 compares the two impedances, where ω0 denotes the resonance frequency of the resonator, and the frequency where l/λ = 1/4 holds for the line. • Realize a transmission-line resonator. A transmission-line resonator is a line of length l/λ = 1/2 terminated either by a short or an open circuit on both ends. On circuit boards and integrated circuits, lines losses prevent high-quality line resonators, but the low losses in rectangular waveguides yield extremely good quality factors. This example is not really fitting here and just mentioned to support the previous example. The transmission-line resonator will be addressed when voltage and current distribution on the line are discussed, and in Chap. 6. A short circuit gets transformed, according to Eq. (2.84) and inserting Z L = 0 , into: (2.87) Z in = j Z 0 · tan(βl) Its behavior is analog to the case of the open circuit. For l/λ = 1/4, the short gets transformed into an open circuit. The reflection coefficient Γ L = −1, is transformed into Γin = 1 by the 180◦ phase shift, and in terms of impedance, we observe that the tanh has a pole for a quarter wavelength line, leading to Z in → ∞. The overall behavior of the short circuit resembles that of the open circuit transformed through a line, but either with inverted values (i.e., the impedance of the transformed short resembles the admittance of the transformed open circuit), or the short circuit is interpreted as an open circuit that was transformed to a short by a quarter-wavelength line. Transformation of a short circuit has a number of practical applications, for example:

82

M. Rudolph

a (Zin) – cot(bl ) Z0

1/4

1/2

3/4

1

5/4

3/2

7/4

l /l

3/4

1

5/4

3/2

7/4

l /l

b (Yin) tan(bl ) / Z0

1/4

1/2

Fig. 2.16 Impedance of an open circuit transformed by a line, depending on line length. a: input impedance Z in , b input admittance Yin = 1/Z in

2 Wave Propagation on Transmission Lines and Cables Fig. 2.17 Admittance of an open circuit transformed by a short lossless line, as a function of frequency, compared to the admittance of a capacitance

83

(Yin)

tan(bl )/Z0 = tan(w L'C'l )/Z0 wC

C

w

Fig. 2.18 Impedance of an open circuit transformed by a quarter-wavelength lossless line, as a function of frequency, compared to the impedance of a series resonant circuit around its resonance frequency

(Zin)

–cot(bl )Z0 = –cot(w L'C'l )Z0

1 wC

wL w0

w C L

• Realize a parallel inductance. For short line-lengths βl  1,√it holds that tan(βl) ≈ βl, and the input impedance becomes Z in ≈ jωZ 0 · L  C l, which acts in the same fashion as a parallel inductance. • Providing DC bias to a transistor in a microwave circuit. The task is to decouple the DC path from the microwave path, which is usually achieved by applying the DC voltage through a choke inductance, which provides a very high impedance ωL ∞ at microwave frequencies. A choke inductance is increasingly difficult to realize at higher frequencies, and impossible to integrate on a chip or a circuit board. On the other hand, the DC voltage source can be brought to providing a short to ground for all higher frequencies, e.g. through a capacitor. This short can then be decoupled at the signal frequency through an open- to short-circuit transformation, see Fig. 2.19. • Realize a parallel resonance circuit. While a quarter-wavelength transformation of an open circuit was shown to provide the equivalent to a series resonance circuit, we observe the analog behavior of the transformed short circuit that provides the equivalent to a parallel resonance circuit. The examples showed a number of practical applications of the transformation through transmission lines. We will take advantage of these results later on, when impedance matching is discussed. Using short or quarter-wavelength lines, as we

84

M. Rudolph

Fig. 2.19 Using a quarter-wave transmission to provide drain bias to a transistor

VDD

RF short l / l = 1/4

C•

RF open

have seen, allows us to replace lumped elements like capacitors or inductors. Relying on lines is commonly advantageous at frequencies beyond 10 GHz on circuit boards or in integrated circuits, when wavelengths become short and lines are easily realized. But it needs to be considered, that the line properties are periodic with frequency, which is not the case for lumped element realizations. Bandpass-filters therefore will provide additional pass-bands at multiples of the center frequency, which needs to be considered in the design process.

2.3.4 Voltages and Currents on Transmission Lines and the Standing-Wave Ratio The superposition of transmitted and reflected wave on a line results in a standing wave. So far, we discussed the reflection coefficient, which is the ratio of the wave amplitudes, and the input impedances, which is defined by the ratio of the superimposed voltages and currents. But it also is important to know the evolution of voltage and current standing wave maxima and minima. The voltage maximum, for example, can exceed the maximum voltage estimated from the load impedance alone. Recalling that the voltage and the current along a transmission line is given by V (z) = Vt e−γ z + Vr e+γ z Vr +γ z Vt −γ z e − e I (z) = Z0 Z0

(2.88) (2.89)

2 Wave Propagation on Transmission Lines and Cables

85

it is to be expected that the amplitude of a standing wave resulting from a reflected wave caused by a reflection coefficient Γ L provides a maximum if both waves superimpose constructively, and a minimum, where the reflected wave is 180◦ phase shifted with respect to the transmitted wave. For sake of simplicity, we will restrict the following discussion to lossless lines. The impact on line losses can be included later after the concept is established. The maximum voltage Vmax on the line will be observed, when the maximum amplitudes of transmitted wave Vt (z) and reflected wave Vr (z) add up: Vmax = |Vt | + |Vr | = |Vt | · (1 + |Γ L |)

(2.90)

Likewise, the minimum voltage is reached, when the two waves are destructively superimposed: (2.91) Vmin = |Vt | − |Vr | = |Vt | · (1 − |Γ L |) The standing wave is commonly characterized the ratio of the maximum voltage to the minimum voltage, called the voltage standing wave ratio (VSWR): VSWR =

1 + |Γ L | Vmax = Vmin 1 − |Γ L |

(2.92)

The VSWR ranges from 0 for a perfect match to ∞ for |Γ L | = 1. The standing waves for short-circuit and open-circuit terminated lines are shown in Fig. 2.20. Since |Γ L | = 1 in both cases, Vmin = 0 and Vmax = 2 · Vt , i.e. the maximum voltage exceeds the voltage observed at a matched load by a factor of two. The minima and maxima of the current are obtained in an analog manner. For these special cases, the location of the maxima and minima are easily determined: For the short circuit V (z 0 ) = 0 is enforced at the line end (z 0 ). At the same time, the shortcircuit termination yields a current maximum at the line end, see Fig. 2.20a. For the case of an open-circuit termination, shown in Fig. 2.20b, the conditions for current and voltage are reversed, leading to current and voltage switching their behavior. The graph shows clearly, how the short-to-open circuit transformation (and vice versa) through a quarter-wavelength line works, and that the termination is transformed into itself by a half-wavelength line. In case of an arbitrary reflection coefficient Γ = |Γ |e jφΓ , with |Γ | < 1, the values for VSWR, Vmax and Vmin are easily calculated, but the location Vmax is still to be defined. From |V (z)|2 = V (z) · V ∗ (z), we obtain: 

 |V (z)|2 = e−2αz + |Γ |2 e2αz + 2 Γ e j2βz |Vt |2   = e−2αz + |Γ |2 e2αz + 2|Γ | cos(φΓ + 2βz) |Vt |2

(2.93) (2.94)

The formula shows, that Vmax is observed at the end of the line (z = z 0 = 0) if Γ is real and positive (∠Γ = 0), which requires a load resistance that is real and larger than Z 0 . For the general case of a complex reflection coefficient, the first maximum

86

M. Rudolph

a V (z) I (z)

I (z)

V (z)

short circuit I (z0) = 2 · It

V (z0) = 0

l/4

l/4

z0

z

b V (z) (z)

V (z)

I (z)

open circuit V (z0) = 2 · Vt

I (z0) = 0

l/4

l/4

z0

z

Fig. 2.20 Standing wave amplitudes on a short (a) and open-circuit (b) terminated line

is observed at z = −(φΓ /4π ) · λ. The periodicity of voltage and current maxima and minima with respect to each other is the same as for the open and short terminations. Figure 2.21 shows examples for a lower and a higher complex reflection coefficients. In case that line losses can not be neglected, transmitted and reflected waves experience attenuation, and the value of the VSWR gets reduced with increasing distance from the load side of the line. The standing wave amplitude on a very lossy line is shown in Fig. 2.22. The VSWR and the wave amplitudes for lossy lines can be calculated by the formulas given above, considering that the magnitude of a reflection coefficient at the end of a line, |Γ L | gets transformed through the line according to |Γ (z)| = |Γ L |e−2αz . If the line is long and losses are high, the reflected wave can get completely attenuated, in which case VSWR approaches unity. This case is not very advantageous, since all the reflected power simply got converted into heat by the line. Besides of providing an alternative measure to quantify the reflected wave, the VSWR highlights the issue that voltages and currents on transmission lines can exceed currents and voltages at the load significantly. For very high powers, standingwave current maxima can lead to significant local losses even in low-loss lines, and voltages might locally exceed the breakdown voltage. But also at moderate power levels, the standing wave issue is important. For example, the transistor in a power amplifier is probably dimensioned to provide the maximum power it can, which means that it provides almost its maximum current, and the maximum voltage gets close to the breakdown voltage. It is required to connect the power amplifier to a

2 Wave Propagation on Transmission Lines and Cables

87

a V (z), I (z) I (z)

V (z)

Vmax, Imax Vt , It Vmin, Imin fΓ 4p

l

z=0

z

b V (z), I (z) I (z)

V (z)

Vmax, Imax

Vt , It

Vmin, Imin

fΓ 4p

l z=0

z

Fig. 2.21 Standing wave amplitudes on a lossless line terminated by Γ L = 0.3∠π/9 (a) and Γ L = 0.7∠π/3 (b) Fig. 2.22 Standing wave amplitudes on a lossy line terminated by Γ L = 0.7∠π/3

88

M. Rudolph

matched load in order to keep the voltage and current amplitudes within the safe operation area of the device. A standing wave causing, e.g., a 1.5 times higher output voltage swing is in general harmful and might cause the transistor to break down and melt. Therefore, the maximum safe VSWR is commonly given in power amplifier data sheets.

2.3.5 Transmission Line Resonators In the form of so-called λ/4 lines, open-circuited and short-circuited lines play a special role in RF engineering. They are called “line resonators” because they exhibit resonant circuit behavior if they have a length l = λ/4. They are used at higher frequencies where it is no longer possible to build resonant circuits using lumped circuit elements. We will first consider the line that is open at the end. Since the quality factor Q is an important quantity in resonators, Q describes the ratio of stored energy to energy that is dissipated by the resonator losses, it is required to consider the attenuation coefficient α of the line, even though we are assuming low-loss lines. The input impedance is given by: Z1 =

cosh γ l cosh αl cosh βl + j sinh αl sinh βl V1 = Z0 = Z0 I1 sinh γ l sinh αl cosh βl + j cosh αl sinh βl cosh βl + jαl sinh βl 1 + jαl tan βl ≈ Z0 = Z0 . αl cos βl + j sin βl αl + j tan βl

(2.95) (2.96)

If we insert the value l = λ/4, we obtain the resonance impedance λ R λ Z 1 ≈ αl Z 0 = α Z 0 ≈ . 4 2 4

(2.97)

This value of Z 1 is small with respect to Z 0 since αl  1. Due to the quarterwavelength transformation, the open circuit gets transformed into a short circuit, resembling a series resonant circuit behavior, as discussed in Sect. 2.3.3. We would now like to compare a series circuit as shown in Fig. 2.23 with the quarter-wavelength line terminated with an open circuit. Here, the series circuit and λ/4 line should exhibit the same behavior at their resonant frequency and in the immediate vicinity thereof. For the impedance of the series circuit, we have  1  . Z = R + j ωL − ωC

(2.98)

At the resonant frequency ωr , we have LC = 1/ωr2 . In this case, the impedance Z is equal to the effective resistance R. Comparing this with Eq. (2.97), we then obtain the following:

2 Wave Propagation on Transmission Lines and Cables

a

89

b

c L'

L

R'

l 2

C 'l

R

L'

l 2

G 'l

8 p2

R'

l 2

L'

l 2

l 2

8 p2

R'

l 2

C 'l

8 p2

C

Fig. 2.23 Series resonant circuit and λ/4 line open at end. a Series resonant circuit; b T -element of open λ/4 line; c comparable equivalent circuit

R ≈ αl Z 0 ≈

R l Rλ ≈ . 2 2·4

(2.99)

So far we only know the product of L and C. Their individual values can be determined by considering the circuits in the vicinity of their resonant frequency. For a small detuning, we can write: ω = ωr + dω

(2.100)

√ Given β = ω/v ph , with v ph = 1/ L  C  , we can use Eq. (2.96) to obtain the input impedance of the line that is open at the end Z1 ≈ Z0

ω l+ v ph cot vωph l

cot

jαl

αl

+j

= Z0

ωs +dω l+ v ph cot ωsv+dω l ph

cot

jαl

αl

+j

.

(2.101)

where l = λ/4 and (ωr /v ph )l = π/2. Developing the cot at the position π/2, we obtain − vdω l + jαl ph Z 1 ≈ Z 0 dω . (2.102) − v ph lαl + j Neglecting second-order quantities in the denominator, we obtain   dω l . Z 1 ≈ Z 0 αl + j v ph

(2.103)

√ √ With v ph = 1/ L  C  and Z 0 = L  /C  , we then obtain Z 1 ≈ αl Z 0 + j L ldω ≈

R l dω + jωr L l . 2 ωr

(2.104)

The real part of Z 1 agrees with the resonance impedance of the line according to Eq. (2.97). It remains unchanged for small deviations from the resonant frequency. For a series circuit, we have the following in the vicinity of the resonant frequency

90

M. Rudolph

where ωr LC = 1 and ω = ωr + dω: Z ≈ R + jωr L

2dω . ωr

(2.105)

Comparing the real and imaginary parts of Eqs. (2.104) and (2.105), we obtain R ≈ αl Z 0 ≈

R l , 2

1 L = L l 2

(2.106)

Using L, we can now also calculate the capacitance C from the resonance condition. We find that 8 (2.107) C = 2 C l. π Figure 2.23 helps to illustrate the result of Eqs. (2.106) and (2.107). Although the line is a distributed element, it suffices to describe the λ/4 line that is open at the end using a single T -element with two series inductances L l/2 and two series resistances R l/2 as well as a parallel element. The parallel element contains the cross-capacitance (and the cross-conductance) of the line section with a correction factor 8/π 2 which takes into account the uneven voltage distribution. Since the open λ/4 line and the series circuit exhibit the same behavior in the vicinity of the resonant frequency, we can assess the quality factor of the line circuit. The quality factor is defined as follows: Q=

ωr L 1 Reactive Power = = . Active Power R ωr RC

(2.108)

If we apply these quantities according to Eqs. (2.99) and (2.107), we obtain √ ωr L ωr L l β π ωr L  C  Q= ≈ = = . = R 2αl Z 0 2α 2α αλ

(2.109)

According to the equation, α increases above the limit frequency √ proportional √ to f ; since λ decreases with 1/ f , the quality factor increases with f . At high frequencies, line circuits are superior to resonant circuits made of lumped elements. We can analyze the short-circuited line in the same manner. If we switch to the input admittance, the frequency-dependent factor is the same as for the input impedance of the open-circuited line. We thus obtain the following: Z1 = Z0

sinh γ l sinh αl cos βl + j cosh αl sin βl = Z0 . cosh γ l cosh αl cos βl + j sinh αl sin βl

For low attenuation (αl < 0.005), the formula can be approximated by:

(2.110)

2 Wave Propagation on Transmission Lines and Cables

a

b

c L'l

C

91

p

L

R C'

l 2

R 'l

8 p2

8 p2

G' 2l

G' 2l

L'l

C'

l 2

C ' 2l

8 p2

R 'l p 2 8

G' 2l

Fig. 2.24 Parallel circuit and λ/4 line short-circuited at end. a Parallel circuit for which the series losses of L and C are converted into a parallel resistance R p ; b π -element of the λ/4 line with short-circuit at end; c comparable equivalent circuit

Z1 ≈ Z0

αl cos βl + j sin βl αl + j tan βl = Z0 . cos βl + j α sin βl 1 + j αl tan βl

(2.111)

Which can be expressed in terms of an admittance according to: 1 1 cot βl + jαl Y1 ≈ ≈ Z 0 αl cot βl + j Z0



dω αl + j l v ph

 =

αl dω + jω p C l Z0 ωp

(2.112)

A parallel circuit according to Fig. 2.24a has input admittance as follows:   1 1 . + j ωC − Y = Rp ωL

(2.113)

In the vicinity of the resonant frequency, we can approximate ω2p LC = 1 and ω = ω p + dω and get: dω 1 + j2ω p C . (2.114) Y ≈ RP ωp Comparison of Eqs. (2.112) and (2.114) leads to Rp ≈

Z2 Z0 ≈ R 0l , αl 2

C l C= 2

(2.115)

With C, we can then calculate L as follows: L=

8  L I. π2

(2.116)

To help clarify the interpretation of Eqs. (2.115) and (2.116), Fig. 2.24b and c show the dual equivalent circuits corresponding to Fig. 2.23b and c for the λ/4 line that is short-circuited at the end. The quality factor Q of a parallel circuit is

92

M. Rudolph

Q = ωpC R p =

Rp ω p Z L C l β π ≈ = = . ωp L αl 2 2α αλ

(2.117)

The quality factor for the short-circuited λ/4 line is the same as that of the open λ/4 line if the quantity α is equal in both cases.

2.3.6 Reflection Coefficient, Transported Effective Power and Matching of Lossy Lines So far, we assumed that the reflection coefficient’s magnitude for a passive load cannot exceed 1, since the reflected power always needs to be lower than or equal to the transmitted power in absence of a power source on the load side. However, this statement tacitly assumed that the line’s characteristic impedance Z 0 is real and the surrounding circuit is passive. In the following discussion, we will now show that if we maintain our assumption of a passive circuit but remove the requirement for a real characteristic impedance, the magnitude of the reflection coefficient can indeed exceed a value of 1. We will then prove in the following section that this situation does not contradict the principle of conservation of energy. Moreover, all of the results obtained here for lines can also be applied analogously to two-port networks made of lumped components.

2.3.6.1

Reflection Coefficient for Lossy Lines

According to Eq. 2.67, the reflection coefficient is defined as a fractional-linear function Z /Z 0 − 1 (2.118) Γ = Z /Z 0 + 1 of the normalized impedance Z = Ae jψ = A(cos ψ + j sin ψ) Z0

(2.119)

For a real characteristic impedance Z 0 , the phase angle ψ of the normalized impedance agrees with the phase angle ϕ Z of the impedance Z , while for a complex characteristic impedance we have ψ resulting as the difference between ϕ Z and the phase angle ϕ Z 0 of the characteristic impedance. As we will demonstrate, this fact has a critical influence on the magnitude of the reflection coefficient. In the case of a lossless line and a passive load impedance, Z 0 is real and positive, ϕ Z 0 = 0, and for Z , the real part is zero or positive, R ≥ 0, −π/ ≤ ϕ Z ≤ π/2. As a result, the nominator in Eq. (2.118) is always smaller or equal in magnitude to the denominator, and |Γ | ≤ 1 holds.

2 Wave Propagation on Transmission Lines and Cables

93

For the magnitude of the reflection coefficient, we obtain |Γ |2 =

1 + A2 − 2 A cos ψ 1 + A2 − 2 A cos ψ = 1 + A2 − 2 A cos(π − ψ) 1 + A2 + 2 A cos ψ

(2.120)

We can see based on Eq. 2.120 that the magnitude of the reflection coefficient cannot be greater than 1 as long as cos(ψ) ≥ 0, i.e. it holds that −π/2 ≤ ψ ≤ π/2 for the phase angle of the normalized impedance. This condition is always fulfilled for a lossless line and a passive load. On the other hand, |Γ | becomes greater than 1 if ψ exceeds these limits. For a complex characteristic impedance, this is always the case if, for example, the line is terminated with a reactance. This result can also be derived graphically as shown in Fig. 2.25. The figure shows two vectors Z /Z 0 , and the resulting vectors of the nominator and denominator of Eq. (2.118). In Fig. 2.25a, the angle ψ is somewhere between 0 and π/2, resulting in |Γ | < 1. Figure 2.25b, shows that for ψ = 3π/4, Γ < 1 is observed. We would now like to investigate the maximum value that the magnitude of the reflection coefficient can assume for a lossy line. Obviously to begin, a peak value of ψ also leads to a peak value of |Γ |. The peak value of ψ arises when the line is terminated into a reactance j X and Z 0 shows a frequency dependence e.g. as seen in Fig. 2.9, providing ϕ Z 0 ≈ −π/4 between 3 and 100 Hz. ψ = φZ − φZ0 =

π π π + =3 . 2 4 4

(2.121)

For the angle ψ = 3π/4, we obtain the following expression from Eq. 2.120: |Γ |2ψ=3 π 4



√ 2 2A = =1+ √ √ 1 + A2 − 2 A 1 + A2 − 2 A 1 + A2 +

2A

(2.122)

The maximum for |Γ | is obtained by differentiating Eq. (2.122) and setting A = 1. |Γ |2max

√ ( 2 + 1)2 = √ , √ ( 2 − 1)( 2 + 1)

|Γ |2max = 1 +

√ 2.

(2.123)

Figure 2.25b illustrates this extreme case in the Z /Z 0 plane.

2.3.6.2

Transported Power, Reflection Matching, Impedance Matching

Given a lossless line, the results of the last section would violate the principle of conservation of energy in a passive circuit since more power could be reflected at the passive load then was supplied to it. However, no such contradiction arises for lossy lines in a passive circuit (and the presented results apply only to such lines). In order to demonstrate this, we will first calculate the complex power transported

94

M. Rudolph

a

jlm (Z/Z0)

b

Z/Z0-plane

jlm (Z/Z0)

2j

2j –1

j

+1 Z/Z0 =1·exp(j3p/4)

y

p–y

(Z/Z0)–1

Z/Z0

(Z/Z0)+1

–1

–1 (Z/Z0)–1

y –2

Z/Z0 -plane

j +1 (Z/Z0)+1 –3p/4

1

2 Re(Z/Z0)

–2

–1

1

2 Re(Z/Z0)

Fig. 2.25 Sketch highlighting the vectors Z /Z 0 ± 1. a Angle ψ towards the right half-plane results in |Γ | < 1. b Angle ψ towards the left half-plane results in |Γ | > 1

to the circuit using the characteristic admittance Y0 = G 0 + j B0 for the line under consideration. We have:

1 1 −γ z ∗ ∗ Vt e + Vr e+γ z Vt∗ e−γ z − Vr∗ e+γ z Y0∗ V (z)I ∗ (z) = 2 2 1 2 −2αz |Vt | e = − |Vr |2 e2αz + Vr Vt∗ e j2βz − Vt Vr∗ e− j2βz Y0∗ 2 1 2 −2αz ∗ |Vt | e = Y0 (1 − |Γ (z)|2 + Γ (z) − Γ ∗ (z) 2 1 2 −2αz ∗ = |Vt | e Y0 (1 − |Γ (z)|2 + 2 j {Γ (z)} 2

P(z) =

(2.124)

As the transported effective power, we are interested hereafter only in the real part from Eq. 2.124 for which we have the following:   1 B0 2 −2αz 2 G 0 1 − |Γ (z)| + 2  {Γ (z)} . P(z) = {P(z)} = |Vt | e 2 G0

(2.125)

For the effective power transport to the load, we must set z = l in Eq. 2.125. We will first consider Eq. 2.125 for the lossless case. Then, α and B0 are equal to zero and the magnitude of |Γ | cannot exceed a value of 1. Then, Eq. 2.125 states that the effective power transported to the location z can be calculated as the difference between the supplied power 1/2|Vt |2 · G 0 and the reflected power 1/2|Vt |2 · G 0 |Γ |2 . P(z) always remains greater than or equal to zero, which means the load acts as a power sink. In case of a negative P(z), the sink would act as a generator, but this is not possible since we are assuming a passive circuit. For a lossy line, α and B0 are not equal to zero and the magnitude of |Γ | can be greater than 1. For a passive circuit, the term 2B0 /G 0 (Γ (z)) which no longer disappears now also guarantees a positive power budget again in every case.

2 Wave Propagation on Transmission Lines and Cables

95

Based on the structure of Eq. 2.125, two distinct matching strategies can be derived. We speak of reflection matching if the reflection coefficient disappears, i.e. if we select Z = Z 0 . The effective power fed to the load is then equal to P(l) Z =Z 0 =

1 |Vt |2 e−2αl G 0 2

(2.126)

On the other hand, impedance matching is attained if we select Z = Z 0∗ . This requirement for impedance matching which is known per se can also be obtained based on Eq. 2.125 by seeking its extreme. Accordingly, we first write this equation in the following form: P(z) =

  B0 1 (Γ (z) − Γ ∗ (z)) . |Vt |2 e−2αz G 0 1 − Γ (z)Γ ∗ (z) − j 2 G0

(2.127)

The necessary condition for an extreme of Eq. 2.127 is now ∂ P/∂Γ ∗ = 0, whence it also follows in the present form that ∂ P/∂Γ = 0. In other words, the following is required for impedance matching: − Γ (z) + j

B0 = 0, G0

(2.128)

The optimum reflection coefficient Γopt (z) is then Γopt (z) = j

B0 G0

(2.129)

This optimum reflection coefficient is associated with the optimum terminating impedance 1 + j GB00 1 + Γopt (z) 1 = Z 0 opt = Z 0 = Z 0∗ . (2.130) 1 − Γopt (z) G 0 + j B0 1 − j GB0 0 Under the load condition according to Eq. 2.130, the load is then fed the effective power   2  1 B0 2 −2αl G0 1 + P(I ) Z =Z 0∗ = |Vt | e . (2.131) 2 G0 Despite the finite reflection coefficient, in the case of impedance matching the effective power fed to the load is visibly greater compared to the case of reflection matching. For B0 = 0, i.e. a lossless line, reflection and impedance matching are identical. At this point, we should also mention a third matching variant to be covered in volume 2 of this book: noise matching.

96

M. Rudolph ΓL

a

Z0 Z0, b, a

ZL

Γ=0 Zin = Z0

b

Z0 Z0, b, a

Matching Network

ZL

Fig. 2.26 a Mismatched load b load reflection cancelled out by a matching network

2.4 Matching Techniques Reflection of the transmitted wave at the load can only be prevented if the load is matched to the line impedance, i.e. Z L = Z 0 . But commonly, it is to be expected that the input, or output, impedance of an electronic component like and amplifier is not matched to the line that will be connected to it. The solution is to include so-called matching networks into the design, which transform the load (or source) impedance into the line impedance, as depicted in Fig. 2.26. A matching network should not dissipate power, therefore it is commonly realized through reactive elements like capacitors, inductors, and short line sections. Matching of a load to a source is not unique to RF problems. Also in power electronics, the technique is used, commonly to reduce the reactive load to the power grid. In general, the design of a matching network aims at transforming the load impedance Z L = R L + j X L to a real value impedance Z 0 , which can be achieved for a certain frequency by adding an element in parallel and another in series to the load. If we connect a reactance j X s in series to the load, the input impedance becomes: Z Ls = Z L + j X s = R L + j (X L + X s )

(2.132)

which allows to change the reactive part of Z L , but not the resistive part. If we add an additional parallel element j B p , the input admittance reads:

2 Wave Propagation on Transmission Lines and Cables

1 Y Lsp = j B p ||(Z L + j X s ) = j B p + R L + j (X L + X s )   RL X L + Xs = 2 + j Bp − 2 R L + (X L + X s )2 R L + (X L + X s )2

97

(2.133) (2.134)

As we see, it is possible to alter the real part of the admittance (within certain bounds for R L and X L ) to match Y0 = 1/Z 0 by properly tuning X s , and than to cancel out the imaginary part through proper choice of B p . Although matching networks can be calculated and optimized mathematically based on formulas like this one, it not very intuitive. Instead, it is common to use a transmission-line chart, most probably the Smith chart, to derive the concept of a matching and to get an estimate of the element values, before performing the detailed design in a circuit simulation software.

2.4.1 Transmission-Line Charts So far we have seen that wave propagation on a transmission line is best understood in terms of transmitted and reflected waves, which are related to each other by the reflection coefficient. But at the line terminals, currents, voltages and impedances are often better to interpret. How to calculate one description from the other has been addressed in detail. This section will introduce transmission-line charts that graphically integrate both descriptions into a single graph. These are powerful tools, i.e. for the design of impedance-matching networks. Compared to analytical solution techniques, usage of line charts has the benefit of better clarity and speed. The graphical derivation therefore commonly is the first step to gain insight, before an exact solution is determined through numerical simulation. In our derivation of the line charts, we will assume the transformation properties of lossless lines.

2.4.1.1

Displaying Reflection Coefficients in the Impedance Plane—Buschbeck Rectangular Impedance Chart

One possibility to display reflection coefficients and impedances in one chart was proposed by Buschbeck. His chart is based on the cartesian plot of the complex impedance Z , onto which a grid is mapped denoting the corresponding reflection coefficients. The derivation of the chart first requires a few definitions concerning the standing waves and quarter-wavelength transformations: Since the minima and maxima of the standing wave are separated by a quarter wavelength, we can conclude that the values are related to each other according to the quarter-wavelength transformation according to Eq. (2.85): Rmax =

Z 02 . Rmin

(2.135)

98

M. Rudolph

From this, we can derive the important relationship Rmin Rmax = Z 02 or Z0 =



Rmin Rmax .

(2.136)

(2.137)

There are further relationships we can discover between the impedance ratios and the matching coefficient m, which is the inverse of the V SW R defined in Eq. (2.92): m=

Vmin 1 − |Γ L | 1 = = VSWR Vmax 1 + |Γ L |

(2.138)

The power in the z  -plane (where Vˆ = Vmin holds) must be equal to the power transported through the z  -plane (where Vˆ = Vmax holds). In other words, we have 2 2 /Rmin = Vmax /Rmax and thus Vmin  Vmin m= = Vmax

 Rmin = Rmax

Rmax Rmin Z0 Rmin = = . 2 Rmax Rmax Z0

(2.139)

The transformation of a reflection coefficient along a lossless line only yields a phase shift, while its magnitude remains constant. We can therefore solve Eq. (2.138) for the reflection coefficient, considering |Γ L | = |Γin | |Γin | =

1−m 1+m

(2.140)

According to Eq. (2.84), Z can also be construed as the input impedance Z in of a lossless line which has characteristic impedance Z 0 and line length l and is terminated into impedance Z L . The quantities l and Z L are not determined at this point, and they will eventually establish the link to real and imaginary part of the reflection coefficient. Z 0 , in contrast, is the characteristic impedance the user of the chart intends to refer to. It thus connects impedance level and reflection coefficient. Changing Z 0 results in a differently normalized chart. From Sect. 2.3.4 on the current and voltage distribution on the line, it follows that the impedance Z in is real in the plane of a minimum or maximum of the current or voltage distribution. Equations (2.88)–(2.91) show, that when the voltage reaches its maximum Vmax , the current reaches its minimum Imin , since the current amplitudes are subtracted from each other while the voltage amplitudes are summed up. The input impedance observed at this point l = l B would be Z in = Rmax . The same holds for the case of the current maximum and voltage minimum at l = lk , where Z in = Rmin would be observed. This situation is expressed by the following equations:

2 Wave Propagation on Transmission Lines and Cables

Z in = Rin + j X in = Z 0 = Z0

ZL Z0

99

+ j tan(βl)

1 + j ZZL0 tan(βl) Rmin Z0

+ j tan(βlk )

1 + j RZmin tan(βlk ) 0

= Z0

Rmax Z0

+ j tan(βl B )

1 + j RZmax tan(βl B ) L

(2.141)

In mathematical terms, the individual expressions in the equation are all equivalent to one another. In order to attain independence from the particular value of the characteristic impedance Z 0 , we also normalize the impedance Z to Z 0 . Without limiting the generality, we thus base our derivation of the line chart on the following equation: Z R + jX m + j tan(βlk ) . = = Z0 Z0 1 + jm tan(βlk )

(2.142)

This equation expresses the fact that the pair of values m and l K /λ is equally well suited to characterizing an impedance Z as the pair of values R and X. Here, the matching coefficient m corresponds to the magnitude according to Eq. (2.140), while 4πlk /λ corresponds to the phase of the reflection coefficient. With the aid of Eq. (2.140), the complex plane of the reflection coefficient Γ can now be mapped onto the complex impedance (Z ) plane. The graphical representation of this mapping is the Buschbeck rectangular impedance chart. To represent it, we seek to map the coordinates m = const and l K /λ = const in the complex Z plane. The mapping will be restricted at this stage to passive impedances providing Γ ≤ 1, which means to resistances R ≥ 0. In order to calculate the line set m = const or l K /λ = const, the complex Eq. (2.142) is first split into real and imaginary parts. Real part:   X lk R = m, (2.143) −m tan 2π Z0 Z0 λ Imaginary part:

    X lk R lk = tan 2π . +m tan 2π Z0 Z0 λ λ

(2.144)

From Eq. (2.143), for example, we now calculate the value of tan(2πlk /λ) and apply it in Eq. (2.144). Or vice versa, we can also calculate m from one of the two equations and eliminate it in the other equation. In this manner, we obtain the following two equations: 

  2  2   1 2 1 X 1 1 R m+ −m − + = . Z0 2 m Z0 2 m

(2.145)

100

M. Rudolph





2    X 1 1 lK − + −

tan 2π Z0 2 λ tan 2π lλK    2  1 1 lK + =

tan 2π 2 λ tan 2π lλK

R Z0



(2.146)

Based on these equations, we can see that the lines m = const are represented in the Z plane by circles which have the center point coordinates Rm /Z 0 = 1/2(m + 1/m), X m /Z 0 = 0 and the radiuses ρ = 1/2(1/m − m). The lines lk /λ are likewise circles in the Z plane with the center point coordinates Rl =0 Z0

    1 Xl 1 lk − = tan 2π

Z0 2 λ tan 2π lλk

(2.147)

and the radiuses ρ = 1/2 · (tan(2πlk /λ − 1/(tan(2πlk /λ)). We are thus now able to construct the coordinate network m = const, lk /λ = const in the Z plane. Since it is equivalent according to Eq. (2.141) whether we assume we have a termination of the line with Rmin spaced lk from the start of the line or a complex terminating impedance Z at the spacing l, we do not need to designate the line length with the k subscript. Figure 2.27a shows the plane of the reflection coefficient to be mapped while Fig. 2.27b shows the Z plane on which the reflection coefficient plane is to be mapped with the aid of Eq. (2.147). Figure 2.27b shows the result of this mapping: the Buschbeck rectangular impedance chart. Corresponding to the conformal mapping function given as Eq. (2.147), the polar (m, l/ λ) coordinates of the reflection coefficient plane also form an orthogonal network in the Z /Z L plane.

2.4.1.2

Displaying Impedance in the Reflection Coefficient Plane—Smith Chart

Instead of displaying a grid denoting the corresponding reflection coefficient on top of the impedance plane, we can display the relation the other way around: drawing a grid of equivalent impedances (or admittances) onto the complex reflection coefficient plane. This type of graph is called the Smith chart [3, 4]. The location of open and short circuit, reactive load and matched load were drawn in into the Γ plane already in Fig. 2.12. In order to get familiar with the Smith chart, it is helpful to learn where to locate these characteristic impedances. The Smith chart has a number of advantages over the Buschbeck diagram. First of all, passive impedances with R > 0 always correspond to reflection coefficients Γ < 1, so that all passive impedance values, i.e. the right half-plane of the complex impedance diagram, gets mapped to within the unity circle. Second, RF measurement heavily relies on the measurement of the reflection coefficient. Therefore, Γ takes precedence and Z is a quantity derived from it. Displaying such a measurement in the

2 Wave Propagation on Transmission Lines and Cables

a

101

b Γ plane

2/16

Z/Z0 plane

X / Z0

l/l

3/16 m = 0.2

2j

3/16

1/16

l /l m=0 m 0.4 0.5 0 8/16

2/16

1j

0.2

1/16 0.66 0.8 0.2

0.4

0.6

0.8

4/16 10 |Γ|

0

0.4

0.5 0.66 0.8

8/16

2

4/16 3 R/Z0

7/16 −1j

7/16

6/16

−2j

5/16

5/16 6/16

Fig. 2.27 a Polar diagram of the reflection coefficient; b Cartesian diagram of the normalized impedance (right half plane). Γ = −|Γ |e− j4πlλ in the range 0 ≤ |Γ | ≤ 1; m circles for constant matching coefficient, m = (1 − |Γ |)/(1 + |Γ |)

Γ plane reflects the relation between measured value and measurement uncertainty better. And finally, the Smith chart can be used as a tool to easily design for example matching circuits. Figure 2.14 already gave a hint to what will be possible, at the example of the transformation of a reflection coefficient over a quarter-wavelength line. In order to derive the Smith chart, we will make use of the equation relating the reflection coefficient Γ to load impedance Z = R + j X and characteristic line impedance Z 0 : Γ = Γ + jΓ =

R+ j X Z0 R+ j X Z0

−1 +1

=

|Z | jφ e Z0 |Z | jφ e Z0

−1 +1

.

(2.148)

We would now like to find the lines R/ Z 0 = const, X/Z 0 = const or |Z|/Z 0 = const, ϕ = const in the reflection coefficient plane. For this purpose, we will follow the same procedure we used for the Buschbeck line chart. If the impedance is characterized by real and imaginary parts, we obtain the following equations for the line R/Z 0 = const and X Z 0 = const:  Γ −

R/Z 0 1 + R/Z 0

2

 + (Γ )2 =

1 1 + R/Z 0

2 ,

(2.149)

102

M. Rudolph

Γ l =0,125 l

0,1

0,15

j 0,2

0,05

l =0 l

–1 –0,8 –0,6 –0,4 –0,2

0

0,2

0,4

0,5

0,6

1

l =0,25 l

l =0,5 l

Γ

0,3

0,45 −j

0,4

0,35 l =0,375 l

Fig. 2.28 Reflection coefficient plane in polar coordinates

 (Γ − 1) + Γ − 2

1 X/Z 0

2

 =

1 X/Z 0

2 .

(2.150)

Figure 2.28 shows the reflection coefficient in polar coordinates. It is also indicated, by which angle the reflection coefficient would be turned if a line-length l/λ would be added (or removed). Plotting curves for R/Z 0 = const and X/Z 0 = const in this plane yields circles. The respective radius and origin are given by Eqs. (2.149) and (2.150). As an illustration, Fig. 2.29 shows lines of the constant real part in the Z plane and the images of these lines in the Γ plane. Figure 2.30 illustrates the relationship between the lines having a constant imaginary part in the Z plane and the Γ plane. A complete Smith chart is given in Fig. 2.31. If we prefer to characterize the impedance Z using the magnitude and phase according to Fig. 2.32, we form the lines |Z |/Z 0 = const and ϕ = const in the Γ plane. The following equations apply to these lines:

2 Wave Propagation on Transmission Lines and Cables

103

X / Z0

0

0,5 1

2

5

0

0,2

0,5

1

2

5

R / Z0

R / Z0

Γ plane

Z plane

Fig. 2.29 Conformal mapping of the lines R = const in the Γ plane X/Z0 5

1

0,5

2

2 0,2

1 0,5 0,2 0 −0,2 −0,5 −1

X Z0 X Z0

R / Z0

5

=0 = –0.2

-5 -2

−2 -0,5

-1

−5

Γ plane

Z plane

Fig. 2.30 Conformal mapping of the lines X = const in the Γ plane

(Γ )2 + (Γ + 1/tan φ)2 = 1/ sin2 φ  Γ −

|Z | Z0 |Z | Z0

+1 −1



2 + (Γ ) = 2

2|Z | Z0 |Z | − Z0

(2.151)

2 1

.

(2.152)

104

M. Rudolph 0,4

0,3

Vmax

3.0

80°

4.0 5.0

20 50 ∞

10

V

min

0.15 70°

0.1 6 60

0.1 7 °

0. 18

5 0,

°

19 0.

50

2.0

°

0 13

°

110 0,7

7 0.0



12

90°

100°

S

0.14

0,6

8 0.0

0.13

0.12

0.11

1.5

9 0.0

0. 06

2.0

Vmax

0.10

4 0,



0.0 4

15

0,3

0.03

0.22

5.0

0,2

0,1 ° 210

20

10

5,0

3,0

4,0

2,0

1,5

0,9 1,0

0,8

0,6

0,7

0,5

0,4

0,2

0,1

2

00°

0.2 8

5,0 4,0



33

0,3

0.2 9

0,2

6 0.4

0.2 7

0.48

10

0.49

j

X Z

0

0,3

X Z j

l/ λ

0

180°

Z

20 50

0.26

350°

0,1

340°

0.47

0.25



190°

orcr

20 50

R

0.24

0.01

10

10°

170°

0.23

0.02

20°

160°

30°

4.0

0.21

3.

0

°

0 0.2

40

14 0°

1.5

1,0

m

0.0 5

1,0

0,5 0,6 0,7 0,8 0,9 1,0

Vmin

0,9

0,2

0,8

0,1

0

2,0

0,



5

1,5

1,0

29 0.34



0.35

280°

0.36

270°

0.37

0.38

0,9



0,8

0,6

30

0,7

3 0.3

0° 22

23

0° 31

32 0.

44 0.

31 0.

5 0.4

0

3,



32

0. 30

4

0,

250° 260° 0.39

0.4 0

0. 43



24

0.4 2

0.4 1

Fig. 2.31 The Smith Chart, displaying normalized impedance in the reflection-coefficient plane

These two last equations are also circle equations. Figure 2.33 illustrates the relationship between the lines |Z |/Z 0 = const in the Z and the Γ plane and Fig. 2.34 illustrates this relationship for the lines ϕ = const. Figure 2.35 shows the complete chart, which is also commonly known as a Carter chart.

2.4.2 Narrow-Band Matching Techniques One of the key goals in RF circuit and system design is to avoid reflections on lines, i.e. to ensure that the power provided by a source is fully transferred to the

2 Wave Propagation on Transmission Lines and Cables Im(Z)

105

j–80° 60°

|Z| Z0

= const 40°

20° j

0,2

0,4

0,6

0,8

1,0

Re(Z)

–20°

–40°

–60° –80°

Fig. 2.32 Representation of the impedance Z /Z 0 by magnitude and phase in the impedance plane

load. Reflected power is not simply lost, if potentially can damage the source or disturb signal integrity. This section will introduce to the design of matching circuits consisting of reactive elements. The task of a matching network is to transform a load impedance Z L , corresponding to Γ L = 0 to Z 0 , corresponding to Γ = 0. In this section, matching using two elements will be introduced, and it will be shown how to design a matching circuit graphically using the Smith chart. At first, we focus on matching of one single frequency, therefore it is to be expected that the matching will be rather narrow-band. Figure 2.36 shows the benefit of using the Smith chart as a design tool. Two impedances are drawn, Z L = Z 0 · (0.6 − j) and Z L = Z 0 · (1.5 + j2.5). The arrows indicate how inserting a series element will change impedance and reflection coefficient. In case of lumped elements, it is clear that a capacitance in series reduces the imaginary part of Z L , while the inductance increases its imaginary part. In the Smith chart, an increasing imaginary part results in following the circle of constant real part in clockwise direction, eventually ending at the open circuit for L → ∞. Reducing the imaginary part through a series capacitance requires to follow the same circle counter-clockwise, eventually reaching the open circuit for C = 0. The grid

106

M. Rudolph

Im

|Z| |Z| Z0

0 0,1 0,2

0,5

Z0

= const

1,0

Re

0,1 0,2

0,5

= const

1,0

2,0

5,0 1,0

Fig. 2.33 Mapping of the circles |Z |/Z 0 = const in the Γ plane

of the Smith chart allows us to read the impedance of the capacitance or inductance required for a certain transformation of the load impedance. But it also allows to directly assess what impact the new element has on the reflection coefficient. Since the Smith chart plots impedances in the Γ plane, Γ can be determined by interpreting the location of the impedance in polar coordinates. In our example, we see that |Γ | is reduced by inserting an inductance to Z L and a capacitance to Z L . In addition, the Smith chart allows to easily determine how a series line with characteristic impedance Z 0 affects input impedance. Since a lossless line merely shifts the phase of Γ by φ = 4πl/λ in clockwise direction the new input impedance is found on a circle, and its value can readily be determined after the transformation. The Smith chart usually provides a scale encircling the graph shown here, indicating what angle corresponds to what fraction of l/λ. Achieving power match, which means to transform Γ → 0 and Z L → Z 0 , is not possible with one series component alone, with the exception of impedances for which Z L = Z 0 holds. Figure 2.37 shows, how adding a parallel capacitance or inductance alters the reflection coefficient. Since adding a reactive element is not changing the real part of Y L = 1/Z L , the transformation path follows a circle of constant Y L . In the parallel connection, adding a capacitance transforms the admittance value in clockwise direction, eventually reaching the short circuit for C → ∞.

2 Wave Propagation on Transmission Lines and Cables

107

Im 90°

80° 60°

j=

90° 80°

40°

60° 40° 20° 20° j



0° Re

–20°

–20° –40° –60°

–40°

–80° j= –90°

–60° –90° –80°

Fig. 2.34 Mapping of the lines ϕ = const in the Γ plane

Adding a parallel impedance, on the other hand, transforms counter-clockwise, reaching the short circuit for L → 0. For sake of completeness, transformation through a line is also plotted in the admittance-type Smith chart, although the transformation is independent of the grid and resembles the transformation already addressed when discussing series connections. Comparing the different transformation paths for series and parallel connection, it becomes obvious that the proper combination of a series and a parallel element allows to match any impedance to Z 0 . This two-element matching is performed in two steps: 1. Start with a series (or parallel) element. Use it to transform the load impedance so that (1/Z L ) = Y0 holds (or in case of starting with a parallel element, that (1/Y L ) = Z 0 holds). 2. At this stage, only the imaginary part of the transformed admittance Y L (or impedance Z L ) needs to be resonated out. Add a parallel element providing Y = −Y L (or a series element providing Z = −Z L ). Figure 2.38 highlights the impedance and admittance values that can be matched through a single element, since either the real part of the impedance or of the admittance equals Z 0 . This transformation hence can be performed by the second element. It is the task of the fist element, to reach one of these two circles.

108

M. Rudolph

0

0,1

0,2

0,3

0,4

m

8

0 0,

°

110

°

120

70°

0,1 6

0, 17

60 °

80°



0, 14 0 0° 6

0, 18

50 °

13

70°

40 °

15



60°

40°

0,22

°

50°

0,23

160

20 50 ∞

10

20°

0,0 3

80°

90°

s

0,15

4,0 5,0

Vmax Vmin

° 30

20 50

10

4,0 5,0

3,0



2,0

1,5

0,6 0,7 0,8 0,9 1,0

0,5

0,4

0,3

0,2

0,1

10°

190° 0,47

-40°

21



300

0,3

3

23

° 290



24



37 0,38 0 ,3 9 0,36 0, 0,40 ,35 0,4 260° 4 0 280° 270° 0,3 1 25 °

44 0° 0, 22

0° 31

ϕ -90°

32 0,

45 0,



-80°

0, 30

32

-70°

31 0,

6 0,4



-60°

0,2 9

33



-50°

0,2 8

° 200

-30°

340 °

0,48

350°

-20°

0,26 0,27

0,49

-10°

0,25

Z Z

10° orcr 0°

20°

0,24

170°

30°

180°

0,02

100°

0,13 0,14

90°

3,0

1 0,2

0 l/ λ0,01

0,12 0,11

2,0

0 0,2

0,0 4

9 0,0

0 0,1

1,5

19 0,

0, 05

07 0,

1,0

0,5 0,6 0,7 0,8 0,9 1,0

Vmin Vmax

0, 43

0, 42

Fig. 2.35 The Carter chart, displaying normalized impedance in magnitude and phase in the reflection-coefficient plane

Figures 2.39 and 2.40 show examples for possible matching networks and transformation paths that allow the matching of mainly capacitive load reflection coefficients. Capacitive impedances Z providing a negative reactance − j X are located on the lower half of the Smith chart. And in order to compensate the reactive part of the impedance, our matching network needs to be inductive. If R ≤ Z 0 holds for the real part of Z L = R − j X , it is possible to use a series inductance L in order to transform the impedance in a way so that (1/Z ) = 1/Z 0 = Y0 holds, as shown in Fig. 2.39. The group of arrows indicates the transformation path for any source impedance within the indicated area. At first, a series inductance is employed to reach a point on the circle where (1/Z ) = Y0 holds. If the conductance

2 Wave Propagation on Transmission Lines and Cables

109

C 2.5j Z'L Γ'L

ZL f' = 4πl / λ

Z'L + jwL

ZL – j / (wC)

Z'L – j / (wC) L 1.5

0.6

ΓLe–j4πl / λ 0

ZL

Γ'Le j4πl / λ

ZL + jwL ZL + jwL ZL – j / (wC) −0.3j ZL ΓL

f = 4πl / λ

−2.5j

ΓL

Z0, l / l

−1j

ΓLe–j4πl / λ

Fig. 2.36 Transformation of an impedance Z L by adding a series element

Y'L – j / (wL)

C

YL

L

YL

f' = 4πl / λ Y'L

YL + jwC

Γ'L

Y'L + jwC 0.1

0.3

0.6

1

1.5

2.4

13

4.4

ΓLe–j4πl / λ

Γ'Le j4πl / λ YL – j / (wL) YL ΓL

YL – j / (wL)

YL + jwC

Z 0, l / l

ΓL

f = 4πl / λ ΓLe–j4πl / λ

Fig. 2.37 Transformation of an admittance Y L by adding a parallel element

(1/Z L ) of the load larger than Y0 , it is possible to match with the two inductances as indicated in Fig. 2.39a. But it would also be possible to use a higher value of L and then transform back to Z 0 through a parallel capacitance C see Fig. 2.39b. The latter transformation path is also accessible for all load admittances with G L ≥ Y0 , and even those providing an inductive reactance.

110

M. Rudolph

Y L = 1 / Z0 add parallel C

add series C

add parallel L

add series L

ZL = Z0

Fig. 2.38 Sketch of the two circles where either the real part of the impedance or of the admittance equals Z 0 . It is possible to match these impedance and admittance values to Z 0 by means of a single L or C a

b Y = 1 / Z0 (2) add parallel C L

L Y = 1 / Z0

L

C

ZL

ZL

Z0

Z0 (2) add parallel L

(1) add series L

(1) add series L

Fig. 2.39 Examples of transformation paths for the matching of mainly capacitive loads providing Z L ≤ Z 0 . a Transformation path possible for Z L ≤ Z 0 , Y L ≥ Y0 . b Transformation path possible for loads providing either Z L ≤ Z 0 and Y L ≥ Y0 or Y L ≤ Y0

The example clearly showed that starting with a lumped series element does not allow to match load impedances featuring R L ≥ Z 0 . Since the load is still capacitive, we will again start with an inductance L, but this time in parallel connection to the load. Figure 2.40 sketches possible transformation paths. In contrast to the previous case, we now use an admittance to transform the load to an impedance providing R = Z 0 . The first transformation path therefore follows the constant-realpart lines on the admittance Smith chart, where the parallel inductance transforms in counter-clockwise direction. Otherwise, the matching is analog to the previous case.

2 Wave Propagation on Transmission Lines and Cables

a

111

b (2) add series C

L

C L

Z = Z0

ZL

Z0

L

Z = Z0

ZL

Z0

(2) add series L (1) add parallel L

(1) add parallel L

Fig. 2.40 Examples of transformation paths for the matching of mainly capacitive loads providing Y L ≥ Y0 . a Transformation path possible for Y L ≥ Y0 , Z L ≤ Z 0 . b Transformation path possible for loads providing either Y L ≥ Y0 and Z L ≤ Z 0 or Z L ≥ Z 0

Figure 2.40a shows transformation paths for loads providing G L ≤ Y0 , R L ≤ Z 0 , while the transformation paths indicated in Fig. 2.40b additionally covers all load impedances featuring R L ≥ Z 0 . These four types of transformation paths can be realized when starting with an inductance as the fist element, and it is evident that the region of inductive loads is only partly covered. In case of an inductive load, it might be wise to start matching relying on a capacitance instead. As the transformation path obtained is equivalent to the examples given above, we will leave it to the reader to deduce the matching network structures required to complete Figs. 2.39b and 2.40b into ying and yang symbols. The Smith chart is not only helping to visualize the transformation path in order to select an appropriate matching network topology. Proper charts also provide grid labels that allow to calculate the component values from the normalized reactance values X/Z 0 read from the chart. The graphical construction of a first-order estimate of a matching network should therefore be performed relying on the Smith chart, in order to get a sound starting point for the detailed design process using a numerical circuit simulator and more realistic component models. With increasing frequencies, it becomes more and more technologically challenging to realize impedance and capacitance components. On the other hand, the ratio between a certain line length and wave length increases with frequency, so that transformations through short low-loss lines becomes more and more feasible. At highest frequencies, matching through two transmission-line elements becomes a necessity since lumped elements are no longer available. As discussed starting with Sect. 2.3.3, a short open-circuit line shows an input impedance similar to that of a capacitance, while a short short-circuit line’s input impedance resembles that of an impedance. It is thereby quite straight forward to replace the parallel elements from the previous examples by parallel stub lines.

112

M. Rudolph

Instead of calculating the required line length using the equations derived above, we can also rely on the Smith chart to determine the line length. Figure 2.41 highlights how parallel stub lines can be designed. Figure 2.41a shows possible transformation paths for load admittances of Y L = Y0 . Admittance with different real parts would be transformed in a similar fashion. It would also be possible, of course, to transform Y L way into the upper part of the Smith chart using the short short-circuit stub, following the indicated circle of constant conductance. The same holds analogously for the open circuit stub and the capacitive (lower) half of the chart. The transformation achieved by parallel capacitances and inductances as shown in Fig. 2.40 thereby can be realized by the respective stub lines. Figure 2.41b highlights how the Smith chart can be used to determine the properties of the required capacitance or stub line. In this example, an admittance of Y L /Y0 = 1 + j1.5 is to be matched to Y L /Y0 = 1. Obviously, this can be achieved by connecting an inductance in parallel providing − j/(ωL · Y0 ) = − j1.5. In order to determine the respective line length, we use the Smith chart to determine the phase shift that would be caused by the inductance alone. Reactive loads such as an inductance or capacitance, as we have learned, provide a reflection coefficient of Γ = 1 together with a certain phase shift. We can determine the inductance properties therefore by mapping the difference in the imaginary part of the admittance caused by the inductance to the perimeter of the Smith chart, as shown by the dashed lines in the figure. This mapping to the perimeter equals the subtraction of the real part of the load impedance so that only the change in the imaginary part remains. The difference in Y before and after the transformation thus equals the admittance of the parallel inductance. The line length is now determined straight forward from the angle read from the perimeter of the Smith chart. In our example, the phase is shifted by 110◦ , or 4πl/λ = 0.6π , thus the line length required equals l/λ = 0.15. Smith charts designed to derive matching circuits commonly provide a scale around the chart that allows to directly read the angle in terms of relative line length l/λ. It is in principle also possible to replace lumped series elements through stub lines connected in parallel. If this is possible, however, depends very much on the geometry of the line. Series stub lines might be possible for twisted-pair and rectangular wave guide structures, but not for microstrip lines and coaxial cables. But it is always possible to transform a reflection coefficient by connecting a series line, shifting the reflection coefficient’s phase by 4πl/λ in clockwise direction. Figure 2.42 shows possible transformation paths, where a line is used to rotate the reflection coefficient’s phase until Y L /Y0 = 1 is reached. A parallel stub as the second element can then transform the admittance to Y L /Y0 = 1. Using lines, or combinations of lines and lumped elements, allows for many different approaches to match a load. It should also be remembered that a quarterwavelength line transforms a real impedance into another real impedance. When designing a matching circuit, one always can choose between different topologies. This gives some freedom to the designer. Some practical aspects are: • Long lines and high impedance elements add significant losses to the matching network, which attenuate the signal and add noise. The aspect of element losses

2 Wave Propagation on Transmission Lines and Cables

a

l/l

Z0

113

b −1j

−1.5j

−1.5j

ΓL

YL

C

−0.6j

−2.5j

−2.5j

−0.3j

-0.3j

YL + jwC

−6j

−6j

0.1

0.3

0.6

2.4

1.5

13

4.4

8

0.1

0.3

1

0.6

2.4

1.5

4.4

8

13

0

0

6j

6j

l/ Z 0,

l 0.3j 2.5j

2.5j

L

ΓL

YL

1.5j

4pl = 0.6p

0.6j

1.5j 1j

l

–j

YL – j / (wL)

wL·Y0

= –j1.5

Fig. 2.41 Sketch highlighting admittance transformation by means of parallel stub lines. a Transformation path of parallel lumped elements and equivalent stub lines at the example of a load impedance already providing Y L = Y0 . b Example of a transformation path by a parallel inductance or short-circuit stub line, and how to determine the respective element values

a

b l2 Z 0,

/l

l2 Z 0,

Y = 1 / Z0

/l

(2) add open-circuit stub line

ΓL

ΓL Y = 1 / Z0 Z0

(2) add short-circuit stub line

Z0

Z0, l1 / l

Z0, l1 / l

(1) insert line (1) insert line

Fig. 2.42 Examples of transformation paths for the matching of mainly capacitive loads using a line and a parallel stub. a Transformation path possible for short stub line. b Transformation path possible for open-circuit stub line

was not considered in this introduction, but it can’t be ignored when moving forward from the Smith-chart based design concept as described above to the circuit-simulator based design relying on accurate component models. • Matching networks are commonly designed at the input and output of RF circuits like amplifiers. It is common to reuse the components of the matching network for other purposes like:

114

M. Rudolph

– Provide DC bias to a transistor. A parallel inductance to ground or a short-circuit stub can be connected to an RF short by a high capacitance C∞ in parallel to the DC supply voltage. – Provide DC decoupling through a series capacitance. – Optimizing the stability of an amplifier. Amplifiers are prone to oscillations, typically at frequencies below the target RF bandwidth the amplifier is designed for. At low frequencies, the gain of the transistor is high, and providing high reflection coefficients easily provokes oszillations. A certain damping is therefore advantageous. The low-pass or high-pass characteristic of the matching circuits can be exploited to connect frequency-selective damping to a transistor’s port. • Not at least consideration should be given to the practical question of element tolerances and production cost. Some theoretically possible circuits can’t be realized in good quality or with high yield due to the required element values. Other realizations might require higher effort in production or need more expensive parts. Examples for transistor matching circuits will be shown after discussing the bandwidth of the matching circuits.

2.4.3 Broadband Matching Techniques So far, matching circuits were discussed for a fixed frequency. One would determine for example a required reactance j X from which the inductance L = X/ω is derived. Any deviation in frequency ω hence leads to a certain mismatch. In reality, of course, also the impedance to be matched will vary with frequency. Therefore, the final broadband matching circuit design will be performed relying on circuit simulation software. But also in this case, it is required to start analytically with an approximate design concept as a basis for the numerical approach. The design of broadband matching networks is quite similar to designing a filter: the aim is that within a certain frequency band, the input impedance is matched and the electrical signal can pass without being reflected or attenuated. We can achieve it e.g. by increasing the number of segments from which the matching circuit (or filter) is composed. In filter theory, a number of approaches were developed to approximate the wanted frequency response scheme through the rational transfer function that can be realized with discrete elements. The same holds for matching circuits, including the derivation of the theoretical limit by Fano for typical load impedances. In practical cases, however, we might on one hand like to have a Smith-chart based quick estimation method for the network, before we design it considering the non-ideal component behavior using a numerical simulator. Which means to drop the classical exact mathematical approximation based on the assumption of ideal components. A common method to estimate the bandwidth of the matching goes through the quality factor Q as known from resonators. Our matching circuit, in fact, is a resonant circuit. As discussed in Chap. 1, the quality factor Q gives the ratio of the power that is

2 Wave Propagation on Transmission Lines and Cables

115

stored in the reactances to the power that is dissipated by the resistance at resonance frequency, expressed by the ratio of the inductive part of the reactance X k to the resistance R: |X k | Q= (2.153) R It has also been shown that the 3-dB bandwidth Δω of the resonator depends on Q according to: ωr (2.154) Δω = Q with ωr denoting the resonance frequency. We will not apply these findings to a matching network. First of all, in order to increase the bandwidth of the matching, we need to reduce Q. It might be counter intuitive to aim at a low value for a quantity called quality factor, but it makes perfectly sense from the physics point of view. The goal of impedance matching is to deliver as much power to the load as possible. Maximizing reactive power stored in the matching network, on the other hand, only serves the purpose to translate the load impedance into Z 0 . An ideal matched load of Z L = Z 0 would not require any matching, leading to Q = 0 due to the missing reactive elements. At the same time, the match would not be limited to a specific frequency band. Second, if we assume that the load can be approximated close to the target center frequency ωr by either Z L = R L + j X L = R L + jωL L or 1/Z L = G L + j B L = G L + jωC L , it becomes obvious that the frequency dependence is already introduced by the load to be matched. If we manage to cancel the reactive part by means of a resonant circuit, its minimum Q min is given by Q min =

|X L (ωr )| RL

or

Q min =

GL |B L (ωr )|

(2.155)

where ωr denotes the center frequency. There is therefore a maximum bandwidth that can be achieved for a certain load. Third, since the maximum bandwidth is determined by the load impedance, it is impossible to increase it. But it is straight forward to reduce it by introducing high-value reactive elements to the matching network. The actual Q factor therefore needs to be analyzed every time a new element is added to the matching network. The approximate design method for a matching circuit providing a certain bandwidth would therefore follow the following steps: 1. Determine the Q factor corresponding to the desired bandwidth, load impedance and center frequency. 2. Determine which area of the Smith chart denotes impedances of lower Q factor. All the impedance transformation needs to stay inside this area. 3. Use one or more two-element transformations within the allowed area of the Smith chart to achieve matching at the center frequency.

116

2.4.3.1

M. Rudolph

Constant- Q Circles in the Smith Chart

With the quality factor of a resonant circuit being defined as Q = |X |/R, contours for constant Q are easily drawn in the impedance plane. With the real part R drawn on the x axis, the imaginary part ±X is given by the two lines ±X = ±Q · R. In the Smith chart, the lines are transformed into circles that cut through the short circuit Γ = −1 and also through the open circuit Γ = 1. Considering the relation between reflection coefficient and impedance: Z = R + j X = Z0

1+Γ 1−Γ

(2.156)

we find the following equations for the real and imaginary parts: R = Z0

1 − Γ 2 − Γ 2 |1 − Γ |2

X = Z0

2Γ |1 − Γ |2

(2.157)

Determining Q = |X |/R yields: Q=

2Γ 1 − Γ 2 − Γ 2

(2.158)

which can be transformed into an equation expressing a circle:   1 1 2 2 1 + 2 = Γ + Γ ± Q Q

(2.159)

The center is given by: ±j and the radius is given by

1 Q

(2.160)

1 Q2

(2.161)

 1+

Figure 2.43 shows the contour for Q = 1 as an example in the impedance plane and in the Smith chart.

2.4.4 Application Examples for the Smith Chart 2.4.4.1

Reflection Coefficient Along a Transmission Line

Problem 1: We are given an assumed lossless line with the characteristic impedance Z 0 = 50 , relative dielectric constant εr = 2.25 and length l = 12.66 cm. The line is terminated at f = 300 MHz with an impedance Z 2 = (30 − j50) . We would

2 Wave Propagation on Transmission Lines and Cables

117

Fig. 2.43 Contours of constant Q a in the impedance plane, b in the Smith chart. Both cases show the example of the contour for Q = 1, and denote the areas of higher and lower Q

like to determine the reflection coefficient Γ2 at the end of the line, the reflection coefficient Γ1 at the start of the line and the input impedance Z 1 for the line. Solution: We plot the normalized impedance Z2 = 0.6 − j Z0

(2.162)

in the Smith chart in Fig. 2.44a (point [1]). Based on the length of the vector from the matching point R/Z 0 = 1 to point [1], we can read off the magnitude of the reflection coefficient Γ2 along with its phase on the outer division of the line chart. Γ2 = 0.57e− j4π(0.36−0.25) = 0.57e− j4π·0.11 = 0.57e− j79.4



(2.163)

We now calculate l/λ for f = 300 MHz. λ= √

c = 66.66 cm εr f

l 12.66 = = 0.19 λ 66.66

(2.164)

Since the magnitude of the reflection coefficient does not vary on a lossless line, the geometric position of the reflection coefficient Γ1 and normalized impedance Z 1 /Z 0 we are seeking must lie on a circle with constant magnitude |Γ | = 0.57 for the reflection coefficient. According to Eq. (2.78), the phase of the reflection coefficient Γ2 varies corresponding to e− j4πl/λ . In the Smitch chart, this corresponds to a rotation of the vector for Γ2 through the arc ≡ l/λ = 0.19 in the clockwise

118

M. Rudolph

direction (mathematically negative direction of rotation). In this manner, we form point [2] in the line chart and read off as follows: Γ1 = 0.57e j4π(0.25−0.05) = 0.57e j4π∗0.2 = 0.57e j144 Z1 = 0.3 + j0.3 ZL



(2.165)

The absolute value of the input impedance is obtained by multiplying Z 1 /Z 0 by Z 0 = 50 . (2.166) Z 1 = 15(1 + j) .

2.4.4.2

Determine Admittance Value from Complex Impedance

Problem 2: We are given an impedance Z = (30 − j50) and would like to determine the admittance Y = 1/Z . Solution: We normalize Z to a suitable characteristic impedance. In this example, we will choose Z 0 = 50 and enter the point [1] in the line chart. According to Eq. (2.85), a line of length l = λ/4, produces a transformation corresponding to the reciprocal of the impedance. We imagine a λ/4 line connected in front of the impedance Z which transforms the normalized terminating impedance Z /Z 0 into the normalized input impedance Z 1 /Z 0 . Z 1 /Z 0 = Z 0 /Z = Z 0 Y.

(2.167)

In the Smith chart, this transformation corresponds to a rotation of the reflection coefficient vector from the point [1] through the arc π . We plot point [3] in the line chart and read off as follows: Z 0 /Z = Z 0 Y = 0.44 + j0.725.

(2.168)

We obtain the absolute value of the admittance we are seeking through denormalization, i.e. division by Z 0 = 50 or multiplication by 20 mS: Y = (8.8 + j14.5) mS.

(2.169)

Since transformation by means of a λ/4 line always corresponds to a rotation of the reflection coefficient vector through the arc π , we can also find point [3] by simply mirroring point [1] at the matching point.

2 Wave Propagation on Transmission Lines and Cables

2.4.4.3

119

Matching Using Line and Capacitance

Problem 3: We are given the same line as described in Problem 1, but now the line length l is to be defined. The circuit’s reflection coefficient is to be compensated by connecting a capacitive reactance in series. According to Fig. 2.44b, we are looking for the shortest length L to which the compensation is to be applied. Moreover, we would like to know the capacitance of the compensation capacitor. Solution: Using the circuit shown in Fig. 2.44b, we would like to compensate the reflection coefficient at the position l on the line. In other words, the total impedance of the circuit must assume the normalized value Z /Z 0 = 1 at the position l. Since only the imaginary part of an impedance can be compensated by connecting a reactance in series, we must first transform the terminating impedance Z 2 using a line section of length l such that the transformed, normalized real part already assumes the value {Z (l)/Z 0 } = 1. In our example, this is the case at point [4] at which the reflection coefficient circle and the line chart circle R/Z 0 = 1 intersect. From the Smith chart, we read off the value of l/λ which is necessary to reach the point [4]. l/λ = 0.313;

l = 0.313 · 66.66 cm = 20.8 cm.

(2.170)

By connecting a normalized series reactance of j X/Z 0 = − j1.4 = 1/( jωC Z 0 ).

(2.171)

we are able to move from point [4] to the matching point. At a frequency of f = 300 MHz, this reactance corresponds to a capacitance of C = 1/(1.4 ωZ 0 ) = 7.6 pF.

2.4.4.4

(2.172)

Narrowband and Broadband Matching

Problem 4: Find a matching circuit for a load consisting of a resistor R L = 100 in series with a capacitance C L = 8 pF to Z 0 = 50 providing (a) 1 GHz and (b) 2 GHz of bandwidth around 1 GHz. Solution: As suggested, we design two matching networks, using the Smith chart at a frequency of f 0 = 1 GHz. The load impedance then reads: Z L = (100 − j20) . The first step is to mark the normalized impedance Z L /Z 0 in the Smith Chart, see Fig. 2.45a and b. The bandwidth Δf is considered by constraining the quality factor Q of the matching circuit. Since Δ f = f 0 /Q, the matching circuit’s Q must not exceed Q = 1 for a bandwidth of 1 GHz, and Q = 0.5 for a bandwidth of 2 GHz. The second step is therefore to draw the respective Q circles by centering our compasses at ± j/Q,

120

M. Rudolph 1,0 0,9 0,8 0,7 0,6 0,5 0,4 0,3 0,2 0,1 Reflection coefficient |г|



0,8

1.5

0,7

60

b

°

0. 18 50

5 0,

°

4 0,

° 0 3.



4

0,3

15

30°

0.21

0.0 4

3

2

0.22

4.0 5.0

0,2

0,1 j

20

10

4,0

5,0

3,0

2,0

1,5

0,9 1,0

0,8

0,7

0,6

0,5

0,4

0,2

0,1

X Z

180°

0,3

X j Z

l/ λ

0

0

Z

2

0.34

1,0

280°

0.36

270°

0.37

0.38

0,8

1,5

0.35

0,9

0° 29

0,7

0,6

0° 30

250° 260° 0.39

0.4 0

0° 22

23



2,0

0,

5

3 0.3

44 0.

0° 31

32 0.

° 210

1



5 0.4

1

0. 30

,3

31 0.

6 0.4

9

5

32

4

4,0

−0

,1

,2



−0

0.2 9

l/ λ 0,

−0

l/ λ

33

0,3

5,0

l/ λ

0.2 8

6

0,2

3, 0

00°

0.2 7

0.48

10

190°

20 50

0.26

0,1

350°

0.49

5

340°

0.47

0.25



l 1/ λ-0,1

orcr

20 50

R

0.24

0.01

10

10°

170°

0.23

0.02

20°

160°

0 0.2

14 0°

l 0.1 7

2.0

13

0. 06

0.1 6

40

0.0 5

70°

19 0.

0.03

°

0 12

80°

Zl

Z0 0.15

0,6

7 0.0

100°

°

110

0.14

90° 1,0

9 0.0 8 0.0

0.13

0.12

0.11

0,9

0.10

0

0. 43



24

0.4 2

0.4 1

Fig. 2.44 a Smith chart with application examples; b for problem 3: series compensation with C

reading the Smith chart as the cartesian coordinate representation of the reflection coefficient. The constant-Q circle cuts through Γ = ±1, see Fig. 2.45a and b. For the 1-GHz-bandwidth case (a), we see that it is possible to use parallel capacitance C1 = 1.3 pF which transforms Z L so that the real part of the impedance already matches Z 0 . The transformation path and the actual values for the reactive admittance would be read from the admittance grid printed on top of the impedance grid shown in Fig. 2.45a. The admittance grid and labels are omitted in the graph in order to make it easier readable. We observe that the capacitance transformed Z L to Z L = Z 0 − j Z 0 , which requires a series inductance L 1 = 8 nH to complete the matching to Z 0 . Figure 2.45c shows load and matching circuit. For the 2-GHz-bandwidth case (b), we can not use the two-element matching derived in part (a), since the condition Q = 0.5 restricts us to use a much smaller

2 Wave Propagation on Transmission Lines and Cables

a

121

b

ΓL

ΓL

Q=

Q=1

c

1 2

d ZL ΓL

Γin L'1

ZL ΓL

Γin RL CL

C'1

e

L1

L2

L3

C1

C2

C3

RL CL

f

Γ = –10 dB

Γin (dB)

0

ΓL broadband match

narrowband match

0.25–2.25 GHz

narrowband match

0.4–1.4 GHz

–10 –20

broadband match

–30 –40 1

2

3

4 f (GHz)

Fig. 2.45 Example for narrowband and broadband match. Smith chart showing transformation path and circle segments for maximum Q (a, b), c matching circuit corresponding to (a), d matching circuit corresponding to (b), frequency response for the load and the two matching circuits in the frequency range 0.5 . . . 2 GHz (e), frequency response drawn in dB (f)

area within the Smith chart, see Fig. 2.45b. Instead of using a two-element match, we therefore iterate towards the matching, by cascading two-element sections as shown in Fig. 2.45d. Determining the transformation paths and parameter values is otherwise identical to the solution of part (a). In the end, we obtain the following element values: C1 = 0.46 pF, L s = 6.5 nH, C2 = 0.9 pF, L 2 = 5.3 nH, C3 = 1.4 pF, and L 3 = 4.5 nH.

122

M. Rudolph

The third step is to check the frequency response of our solution. At this stage, pen and paper are replaced by a computer with circuit simulator or other programming tool like python with the scikit-rf package as used to create the basis of these figures. Figure 2.45e shows the locus of the unmatched Γ L and the input reflection coefficients after 1-GHz (narrowband) and 2-GHz (broadband) matching for the frequency range 0.5 ≤ f ≤ 2 GHz. The locus turns clockwise with frequency, so that we know that f = 0.5 GHz corresponds to the lower end of the lines, while the upper ends correspond to f = 2 GHz. The matched curves cut through the center of the Smith chart for f = 1 GHz. As a guide, we drew a circle corresponding to |Γ | < −10 dB, which would be a boundary commonly considered to be a reasonably good match. As we see, the two-element match yields a frequency response where the impedance changes rapidly, covering quite a broad area of the Smith chart. The frequency response of the six-element match, on the other hand, stays within the circle, corresponding to the lower Q. Finally, Fig. 2.45f plots |Γin | in dB for both cases. We observe a negative peak in both curves at 1 GHz corresponding to the perfect match that we designed using the smith chart. For the two-element match, we obtain |Γin | < −10 dB in the frequency range of roughly 0.4 . . . 1.4 GHz, while the six-element matches provides matching for frequencies 0.25 . . . 2.25 GHz. Corresponding to the number of two-element sections, the frequency response of the narrowband match shows one minimum, while the three-section wideband match shows three minima. It is also observed that the response is not symmetric around f 0 = 1 GHz.

2.5 Scattering Parameters In this chapter so far, we focused on the transmission line that was terminated by a certain impedance. In the analysis of the wave phenomena on the line we derived the reflection coefficient that corresponds to the combination of load impedance and characteristic line impedance, and we learned that the voltage and current at the load terminal are defined by the superposition of transmitted and reflected wave voltage and current. It is now about time to shift the focus back to what we so far considered only by its property to be connected to the end of the line. In general, transmission lines will be used to connect all sorts of electronics, most of them being multiports. It makes therefore sense to extend the theory developed so far to linear multiports. Linear multiports are commonly described by a matrix connecting terminal currents and voltages. A four-port as depicted in Fig. 2.46a could for example be characterized through an Y matrix, defined as ⎞ ⎛ Y11 I1 ⎜ I2 ⎟ ⎜ Y21 ⎜ ⎟=⎜ ⎝ I3 ⎠ ⎝ Y31 I4 Y41 ⎛

Y12 Y22 Y32 Y42

Y13 Y23 Y33 Y43

⎞ ⎛ ⎞ Y14 V1 ⎜ V2 ⎟ Y24 ⎟ ⎟·⎜ ⎟ Y34 ⎠ ⎝ V3 ⎠ Y44 V4

(2.173)

2 Wave Propagation on Transmission Lines and Cables

a

123

b I3

I1 V1

V3

V2

V4

I4

I2

c

a1

a3

b1

b3

a2

a4

b2

b4

d Z0

I1 V1

I2

a1 b1

I3

Z0

I4

b2

b3

b4

Z0

Z0

Fig. 2.46 Current and voltage definitions for a four port (a), wave definitions (b). Measurement condition to determine Y11 , Y21 , Y31 and Y41 (c), measurement condition to determine S11 , S21 , S31 and S41 (d)

In order to determine an element of the matrix, e.g. Y11 , one would apply a voltage V1 and short-circuit all other ports, V2 = V3 = V4 = 0, see Fig. 2.46c. The first line of the matrix therefore simplifies to I1 = Y11 · V1

for V2 = V3 = V4 = 0

(2.174)

and Y11 can be determined form measurement of I1 . The relations between currents and voltages for a certain two-port can also be formulated in other ways, e.g. through Z parameters. As the different matrix representations describe the electrical properties of the multiport, it is possible to transform one representation into another representation. In the RF domain, it has to be considered that transmission lines will be connected to the ports, so that it becomes advantageous to describe the multiport in terms of incident and reflected waves instead of port voltages and currents. Figure 2.46b depicts the definition of incident waves labeled a1 . . . a4 and emitted, reflected or transmitted waves b1 . . . b4 . These waves are defined as normalized voltage waves as follows. At a port n, voltage Vn and current In are given by the superposition of waves Vn+ , In+ incident to the port and waves Vn− , In− emitted by the port: Vn = Vn+ + Vn− In = In+ − In−

(2.175) (2.176)

124

M. Rudolph

where the characteristic impedance defines the ratio between current and voltage according to Z 0 = Vn+ /In+ = Vn− /(−In− ). If the focus should be on the waves instead of current and voltage, it is common to define normalized waves as follows: 

V+ Z 0 In+ = √ n Z0 −  V bn = Z 0 In− = √ n Z0

an =

incident wave at port n

(2.177)

emitted wave at port n

(2.178)

This definition leads to the known definition of the reflection coefficient, that is given by: V− bn (2.179) Γn = n+ = an Vn Port current and voltage are given by the normalized waves according to: Vn =



Z 0 (an + bn )  In = 1/ Z 0 (an − bn )

(2.180) (2.181)

√ The normalization by Z 0 has the advantage, that the incident power delivered towards port n is given by Pn+ = 1/2|an |2 , while the power delivered into the opposite direction is given by Pn− = 1/2|bn |2 . The power delivered into port n therefore can be expressed by:

in terms of normalized waves Pn,eff = 1/2 |an |2 − |bn |2

∗ Pn,eff = 1/2 ·  Vn · In in terms of current and voltage

(2.182) (2.183)

Instead of deriving the normalized waves from current and voltage, we can as well derive them from electromagnetic fields that constitute the waves towards and from the ports. This approach is advantageous e.g. in case of rectangular waveguides which are better understood in terms of an electromagnetic wave guided inside a metal structure than as in terms of current and voltage. The details like the field pattern will be discussed in detail in Chap. 5. ⎡ ⎤ !!

|an |2 1 ⎣ ∗ = Pna,eff =  Eqa × Hqa d F⎦ 2 2 F ⎡ ⎤ ! ! 2

|bn | 1 ∗ = Pnb,eff =  ⎣ Eqb × Hqb d F⎦ 2 2 F

(2.184)

(2.185)

2 Wave Propagation on Transmission Lines and Cables

125

where Eq × Hq ∗ = S is the Pointing vector defining the power density delivered towards the multiport (index a) or into the opposite direction (index b), and F is the cross-sectional area of the wave port. The phase information is obtained from the phase of E q according to: |a| = |b| =

 

2Pa,eff ,

arc(a) = arc(E qa )

(2.186)

2Pb,eff ,

arc(b) = arc(E qb )

(2.187)

Based on these definitions, we can express the multiport in terms of an S- or Scattering-parameter matrix as follows: ⎞ ⎛ S11 b1 ⎜ b2 ⎟ ⎜ S21 ⎜ ⎟=⎜ ⎝ b3 ⎠ ⎝ S31 b4 S41 ⎛

S12 S22 S32 S42

S13 S23 S33 S43

⎞ ⎛ ⎞ S14 a1 ⎜ ⎟ S24 ⎟ ⎟ · ⎜ a2 ⎟ S34 ⎠ ⎝ a3 ⎠ S44 a4

(2.188)

In order to determine the S-parameters, it is required that only one port is excited by an incident wave an , while for all other ports am=n = 0 holds. The other ports are therefore to be terminated by Z 0 , as shown in Fig. 2.46d. In order to determine an element of the matrix, e.g. S11 , port 1 would be excited, while the other ports are terminated. b1 = S11 · a1

for a2 = a3 = a4 = 0

(2.189)

and S11 can be determined form measurement of b1 . The elements of the S-parameter matrix are called S-parameters. The elements on the diagonal, Snn , are given by: Snn

$ bn $$ = = Γn an $am=n =0

(2.190)

and therefore can be interpreted as the port reflection coefficient Γn under the condition that all ports are terminated by Z 0 . The other matrix elements Snm with m = n can be understood as a normalized power transfer coefficient: $ bm $$ (2.191) Smn = a $ n am=n =0

It is important to note that the interpretation of an S-parameter to represent a transfer or reflection coefficient is only valid in case of a proper termination. In other cases, input reflection coefficient and power transfer coefficients differ from the S-parameter values. The S-parameter matrix representation has a number of benefits:

126

M. Rudolph

1. The coefficients of the S-parameter matrix are quantities that are easy to measure for an RF component. Measurement requires the conditions that all ports are matched by an impedance Z 0 , which is much easier to achieve at high frequencies than applying perfect short circuit or open circuit termination for Y-parameter or Z-parameter matrices, respectively. Terminating the ports by Z 0 in addition reduces the risk of oscillations or damage to the device that might not be safe for short-circuit or open-circuit operation. 2. The S-parameters are determined based on the normalized waves that can be determined through power measurement. This is always possible, even for transmission lines like rectangular waveguides where it becomes difficult to define and locate current and voltage. The characteristic impedance Z 0 required to derive S-parameters is designated hereafter as the normalization impedance. The S-parameter matrix is derived considering that the multiport to be described is connected to transmission lines of characteristic impedance Z 0 . The incident normalized waves an and outgoing normalized waves bn in this case correspond to real waves on the connected lines. But the S-matrix definition does not require that the respective lines are actually attached to the multiport, or that Z 0 matches the characteristic impedance of the connected lines. The mathematics even work when lumped elements or other multiports are connected to the terminals. Z 0 in the formulas above can basically be chosen like any other normalization parameter, and it is commonly set to 50 . The S-matrix therefore offers a higher level of abstraction, allowing us to understand and calculate multiports on the basis of travelling waves. Thus, normalized waves are primarily mathematical quantities that can be transformed into current and voltage at a lumped port, or renormalized to the actual characteristic impedance if required. It is also usual to normalize to Z 0 = 50 . This choice agrees with the characteristic impedance of coaxial cables and measurement equipment, but also allows for a comparison between S-parameters of different devices, e.g. in datasheets.

2.5.1 S-Matrix for Lossless Multiports The S-matrix is defined in a way that it relates incident to emitted normalized waves of a mulitport. Especially in the case of a lossless passive network, where the effective power delivered into the multiport is zero, the elements of the S-matrix are not independent of each other, but instead have to reflect that power delivered towards the multiport must either be reflected or emitted at other ports. We will derive the conditions that apply for a passive’s multiport S-matrix in the following. One can take advantage of these conditions for example in order to check if a multiport is or ideally can be lossless, or in order to support the estimation of the S-matrix if not all parameters are accessible to measurement.

2 Wave Propagation on Transmission Lines and Cables

127

The effective power delivered into port n of a multiport was derived above in

Eq. (2.182) to be Pn,eff = 1/2 |an |2 − |bn |2 . The total power delivered to a mulitport can be given adding the effective powers delivered into the ports. Defining the vectors a and b according to: ⎛ ⎞ ⎛ ⎞ b1 a1 ⎜ b2 ⎟ ⎜ a2 ⎟ ⎜ ⎟ ⎜ ⎟ b=⎜ . ⎟ (2.192) a = ⎜ . ⎟, ⎝ .. ⎠ ⎝ .. ⎠ an bn The total effective power delivered to the mulitport thus reads: Peff =

1 T∗ a · a − bT ∗ · b 2

(2.193)

with a T ∗ denoting the transposed conjugate of vector a. Considering that b = S · a, we can write: 1 T∗ a · a − a T ∗ · ST ∗ · S · a 2  1  T∗

= a · E − ST ∗ · S · a 2

Peff =

(2.194) (2.195)

Where E denotes the unity matrix. For a lossless passive network, it holds that Peff = 0, which requires that E = ST ∗ · S −1

S

=S

T∗

(2.196) (2.197)

The inverse of the S-matrix describing a lossless passive mulitport therefore equals its transposed conjugate. Matrices of this type are called unitary. For a reactance two-port network that is commonly encountered in RF engineering (e.g. a filter with negligible losses), Eq. (2.197) leads to the relationships |S11 |2 + |S21 |2 = 1

(2.198)

|S22 | + |S12 | = 1

(2.199)

2

2

In case of transmission symmetry, we only require a single condition (since S21 = S12 ) (2.200) |S21 |2 = 1 − |S11 |2 In other words, for reactance two-port networks exhibiting transmission symmetry the magnitude of the transmission coefficient can be determined from the magnitude of the reflection coefficient.

128

M. Rudolph Zin Γin = S11

a Z0

Z1

Z0

Z3

Z2

Z0

Z0

ΓL = 0

ΓS = 0 ZS = Z0

ZL = Z0

I1 = I1+ – I1–

I2 = –I2+

b Z0

V1 = V1+ + V1–

Z1

Z3

Z2

V2 = V2+

Z0

Fig. 2.47 Direct calculation of the S-matrix of a two-port network. a Considering S11 , b considering S21

2.5.2 Deriving the S-Matrix of a Multiport There are basically two ways to determine an S-matrix for a known circuit. The first one is to directly determine the S-parameters, the second is to determine Y or Z parameters that are then transformed into the S-matrix by matrix manipulation. The direct approach is introduced here in order to deepen the understanding of the relation between the circuit network, defined based on voltages, currents and network elements on one side and the S-matrix, defined based on normalized waves and reflection- and transmission coefficients. In most practical cases, however, determining the Y- or Z-matrix is much more convenient and transformation into the S-matrix becomes part of the numerical circuit simulation. The condition for the derivation of the S-parameters is that all ports are matched by Z 0 . This situation is shown in Fig. 2.47 for the example of a T-type twoport that we will use as an example. Determining S11 and S22 is quite straight-forward, as depicted in Fig. 2.47a. The Sparameter S11 , for example, equals the input reflection coefficient when the other port is terminated into Z 0 . Therefore, we determine Z in and transform it into Γin = S11 :

2 Wave Propagation on Transmission Lines and Cables

129

Z 3 (Z 0 + Z 2 ) Z0 + Z2 + Z3 Z in − Z 0 = Γin = Z in + Z 0

Z in = Z 1 +

(2.201)

S11

(2.202)

In order to determine the transmission parameter S21 = b2 /a1 , we need to revert back to the waves travelling on the lines, as shown in Fig. 2.42b. a1 and b2 are normalized waves, but since we are interested into the ratio, the normalization cancels out and we work with the voltage waves: S21 = b2 /a1 = V2+ /V1+ . At the input port, the voltage V1 is given by the superposition of the forward and backward travelling waves: V1 = V1+ + V1− = V1+ (1 + Γin ) = V1+ (1 + S11 ) V1 V1+ = 1 + S11

(2.203) (2.204)

At the output, port 2, only the wave travelling towards the load exists, therefore we get: V2 = V2+

(2.205)

The transmission parameter S21 can therefore be determined from the ratio of the input and output voltages according to S21 =

V2+ V2 = (1 + S11 ) V1 V1+

(2.206)

The ratio V2 /V1 is easily derived:  S21 = (1 + S11 )

   Z2 Z 1 (Z 2 + Z 3 + Z 0 ) +1 · +1 Z 3 (Z 2 + Z 0 ) Z0

(2.207)

The other two S-parameters, S12 and S22 , are determined in the same way by exchanging the ports. It can be concluded that for very simple two-ports, deriving the S-matrix directly can be useful. But for more involved network topologies, determining Z- or Ymatrices might be much easier. The Z-matrix of the T-network, for example, reads:  ZT =

Z3 Z1 + Z3 Z3 Z2 + Z3

 (2.208)

Therefore, it is common to establish the link between network elements and multiport parameters through an analytical derivation of the Y- or Z-matrix, while the detailed calculations are left to a computer code. For example, one might derive the Y-matrix of a low-pass filter from the capacitances and inductances, but then leave

130

M. Rudolph

it to the computer to actually calculate its transmission coefficient S21 depending on element values as a function of frequency. The calculation would include the step of transforming the Y-matrix into the S-matrix. Also the reverse direction is common: determining device parameters, like a capacitance value, from an S-parameter measurement. We would analytically derive how the capacitance can be calculated once the Z-matrix is known, but leave it to the computer to transform the measured Sparameter values into Z-parameter values and then to apply the extraction algorithm that we derived. We would now like to calculate the S-matrix S for a multiport from a given Y- or Zmatrix. For this purpose, we must first choose a suitable normalization characteristic impedance Z 0 , which we write in the form of a matrix Z0 : ⎛

⎞ Z0 0 · · · 0 ⎜ 0 Z0 ⎟ ⎜ ⎟ Z0 = ⎜ . ⎟ .. ⎝ .. ⎠ . 0 Z0

(2.209)

The common choice is Z 0 = 50 , although it is possible to define different arbitrary values for the various ports if required in special cases. Next, we define normalized voltages V¯ and currents I¯ according to 

V I



 =

  √ V 1/ Z0 √0 I Z0 0

(2.210)

where the quantities written in bold face represent vectors or matrices, respectively. Using these definitions, the relation between normalized waves quantities and current and voltage read in matrix notation:   b = a   V = I

 V I    1 E E b a 2 −E E 1 2



E −E E E



(2.211) (2.212)

E is the identity matrix, e.g. for a four-port network ⎛

1 ⎜0 E=⎜ ⎝0 0

0 1 0 0

0 0 1 0

⎞ 0 0⎟ ⎟ 0⎠ 1

(2.213)

In order to calculate the S-matrix S in b=S·a

(2.214)

2 Wave Propagation on Transmission Lines and Cables

131

the following transformations are used: V = a + b = a + Sa = [E + S] a −1

a = (E + S)

(2.215)

V

(2.216)

I = a − b = a − Sa = (E − S) a

(2.217)

= (E − S) (E + S)−1 V

(2.218)

=Y·V

(2.219)

where the matrix Y stands for the normalized Y-matrix:   Y = Z0 · Y · Z0

(2.220)

We can therefore calculate the Y-matrix from an S-matrix according to:   Y = 1/ Z0 · (E − S) (E + S)−1 · 1/ Z0

(2.221)

Solving the equation for the S-matrix allows to calculate it from a known Y-matrix: −1



· E−Y S= E+Y



−1 = E−Y · E+Y

−1 =2· E+Y −E

(2.222) (2.223) (2.224)

Equivalent expressions are obtained for the transformation between Z-parameters and S-parameters: −1



· Z−E S= Z+E



−1 = Z−E · Z+E

−1 =E−2· Z+E where the matrix Z stands for the normalized Z-matrix:   Z = 1/ Z0 · Z · 1/ Z0

(2.225) (2.226) (2.227)

(2.228)

2.5.3 Wave Chain Matrix If we introduce two port groups such that input ports have the quantities a1 , b1 and output ports the quantities a2 , b2 , we can write as follows:

132

M. Rudolph



b1 b2



 =

S11 S12 S21 S22

   a1 · a2

(2.229)

In case of port symmetry (number of input ports = number of output ports), we can define the wave chain matrix as follows:       b1 T11 T12 a2 = · (2.230) a1 T21 T22 b2 T is the wave chain matrix or transmission matrix. The wave chain matrix allows for an easy calculation of the total transmission matrix Tt for cascaded multiports by matrix multiplication: (2.231) Tt = T1 · T2 · · · Tn The transmission matrix is in general not used unless the cascade of two-ports is to be determined. There are also different definitions of the matrix, e.g. interchanging the a and b vectors, or even reversing the direction of the a and b waves at the output to match with the input of the subsequent multiport. The relation between S-matrix and T-matrix is given by:  T=  S=

S12 − S11 S21 −1 S22 S11 S21 −1 −S21 −1 S22 S21 −1



T12 T22 −1 T11 − T12 T22 −1 T21 T22 −1 −T22 −1 T21

(2.232)  (2.233)

2.5.4 Calculating Networks Based on S-Parameters RF systems consist of multiports, like filters or amplifiers, that are connected through transmission lines. Since perfect matching of all components is not possible in practice, we will have to deal with multiple reflections on the lines – reflections at load and source – mixed with lumped multiports. RF circuit and system design today is performed relying on a circuit or system simulator, that basically uses the chain matrix of the transmission line (see Sect. 2.3.1) to simulate everything like any other network, solving Kirchhoff’s equations for all nodes and branches. But in certain cases, it is required to derive everything analytically. One example is a measurement system, where we would like to remove the impact of cables, a low-noise amplifier and a filter from the measurement result by means of calibration. Using a circuit simulator is impractical – and the license costs might be prohibitive – so that we might want to determine attenuation and reflection coefficient of the structure by pen and paper. The result should be a compact formula that is easily coded into the calibration routine. One way of doing so is to use so-called Mason graphs [5, 6]. Figure 2.48 shows the Mason-graph representation of the S-matrix of a two-port. The normalized wave

2 Wave Propagation on Transmission Lines and Cables

133

S21

a1

b1

b2 S22

S11

a2

S12

Fig. 2.48 Expressing an S-matrix in terms of a Mason graph

a1 a1

S1

a2

S2

a3

S3

a4

S4

b S6

S5 a5

Fig. 2.49 Mason graph example showing series, parallel and loop connection of branches

quantities are drawn as the nodes, while the S-parameters are drawn as arrows denoting the direction of wave propagation. From the graph it is directly visible, that an incident wave a1 will add to the emitted waves b1 and b2 according to S11 · a1 and S21 · a1 , respectively. Other generic cases are shown in Fig. 2.49. If we are interested into the total transmission factor S41 = b/a1 , we have to consider a series of branches, branches in parallel and a feedback loop. The series connection yields a3 /a1 = S31 = S1 S2 , since a2 /a1 = S1 and a3 /a2 = S1 hold. Series branches therefore are multiplied.  = a4 /a3 = S3 + S4 . For the For the parallel branch, S3 and S4 add up, so that S43 feedback loop, we find for the loop gain is Sl = S5 S6 , and we obtain b = a4 =  a3 + Sl a4 . For the feedback loop, with the preceeding branch, we therefore obtain S43  /(1 − Sl ). We are now able to write down S41 = b/a1 = S1 · S2 · (S3 + S43 = S43 S4 )/(1 − S5 · S6 ).

2.5.4.1

Power Match Versus Termination into Z0

In the derivation of the reflection coefficient above, we considered a transmission line of a characteristic impedance Z 0 . There are actually waves on the line, and the maximum power is transferred for Γ L = 0, Z L = Z 0 . Power match in this case means the suppression of reflections on the line. If we consider the abstract concept of S-parameters, we use Z 0 as a normalization constant to calculate the normalized waves a and b. Of course, Z 0 is commonly set to 50 which is the target value of commercial cables’ characteristic impedance. But it is not required that there is any component within our system where electromagnetic waves actually are transmitted with this characteristic impedance.

134

M. Rudolph

A common application case is that a source is not perfectly matched, i.e. Γ S = 0, See Fig. 2.50. If we terminate it into a load providing an impedance of Z 0 , we obtain: b2 = a1

(2.234)

b1 = 0 1 1

PL = |b2 |2 − |b1 |2 = |a1 |2 2 2

(2.235) (2.236)

On the other hand, if the load is mismatched, we have to account for the feedback loop and get: a1 1 − ΓL ΓS a1 Γ L b1 = 1 − ΓL ΓS   1 1 − |Γ L |2 1

|a1 |2 PL = |b2 |2 − |b1 |2 = 2 2 |1 − Γ L Γ S |2 b2 =

(2.237) (2.238) (2.239)

In order to extract the maximum power from the source, it requires to select an appropriate Γ L = |Γ L |e jφL . From the denominator, we can determine the optimum phase, since |1 − Γ L Γ S | = |1 − |Γ L ||Γ S |e j (φL +φS ) | ≥ 1 − |Γ L ||Γ S |

(2.240)

The minimum is reached for φ L = −φ S . Determining the minimum for the term (1 − |Γ L |2 )/(1 − |Γ L ||Γ S |)2 yields the condition for the load reflection coefficient for maximum power transfer to be Γ L = Γ S∗

(2.241)

which equals the well-known condition Z L = Z S∗

(2.242)

A source delivers the maximum power to a matched load, i.e. a load of conjugatecomplex impedance. The impedance Z 0 , on the other hand, is merely a quantity we used for the normalization when calculating the S-parameters. Figure 2.50c and d shows the corresponding circuit representations of the two cases, where the source is either terminated by a load impedance Z 0 or by an other impedance value Z L , in order to support the finding that the maximum power is delivered to the load for Z L = Z S∗ , which is not necessarily equal to Z 0 . The maximum power available from a mismatched source is therefore given by:

2 Wave Propagation on Transmission Lines and Cables Fig. 2.50 Mason graph for a mismatched source terminated into a a load impedance Z 0 , Γ L = 0, and b a mismatched load. c Circuit-representation of a source terminated by Z 0 and d terminated by Z L

135

a

b

Source

Load 1

Source

1

a1

b2

1

a1

b2

ΓS

ΓS

b1

ΓL

b1 1

1

c

d

Source

Load

Source

V0

Load ZS

ZS

PS,av

Load 1

Z0

V0

   2  1 |a1 |2 1 2 1 − |Γ S | = |a1 | = 2 |1 − |Γ S |2 |2 2 1 − |Γ S |2

ZL

(2.243)

At this point, it is required to revisit the definitions of a matched load and of the maximum available power. In a system where the source impedance and all transmission lines characteristic impedances all equal Z 0 , matching a port by Z 0 provides the maximum power. In all other cases, it still is common to use the terms, and it makes sense to do so. Speaking of a matched load refers to a load providing Γ L = 0. It establishes a constant reference value throughout the system. From a practical point of view, commercial components, cables, sources and measurement equipment is designed to provide an impedance level as close to 50 as possible. It therefore makes sense to consider an ideal Z 0 = 50 as matched, and also to give the maximum available power that a source provides in that case. Z 0 = 50 thereby serves as an interface definition in the commercial world. The maximum available power in this understanding refers to the power an optimized source delivers into a standard load. On the other hand, during the design phase, especially when it comes to the design of matching circuits, values of maximum available power to Z 0 and of maximum available power delivered to a conjugate match differ significantly, and one needs to be careful about the difference.

2.5.4.2

The Non-touching Loop Rule

Solving Mason graphs for complex structures is not feasible based on a branchby-branch analysis. Fortunately, Mason derived the so-called non-touching loop rule

136

M. Rudolph

a

b a1

a2

S1

a1

S2

a3

S3

a4

a1

S7 b

S8 a8

S10 S11

S9 S6

a7

S5

S12 S13 S4

a5

a3

a3

S3

a4

a4 S12

b

a8

S6

a7

S5

a6

a5

d a1

a2

a8

a7

S2

a1

S5

a6

S4

a2

a1 S14

b

S2

S14

a6

c a1

a2

S1

a1

S7 b

a5

S8 a8

S2

a3

S3

a4

S4

a5

S9

S14 a7

S5

a6

e a1

a1

S1

a2

S12 S13

S10 S11 b

a8

S6

a7

a4

a3

a6

S14 a5

Fig. 2.51 Mason graph to determine the input reflection coefficient for a network (a). Examples for (b) one of the direct paths, (c) a first-order loop, (d) a second-order loop, (e) a direct path and two non-touching first-order loops, at the same time a non-touching second-order loop

that allows for the derivation of reflection and transmission coefficients even in complicated cases. In order to introduce the non-touching loop rule, we need a few definitions that will be explained at the example of Fig. 2.51. As an example, we consider a cascade of three two-ports, which could be e.g. an amplifier, that is connected to load and source by cables. All the components provide mismatch to some extent, and the respective mason graph is shown in Fig. 2.51a. In order to determine, e.g. the input reflection coefficient Γin = b/a1 , we first need to determine the following parts: Direct paths P connecting the input, a1 with the output b. Figure 2.51b highlights one of the four direct paths that exist due to reflection. This path would read P1 = S1 · S2 · S12 · S5 · S6 . The network in the example provides 4 direct paths. First-order loops L(1) found anywhere in the system. Figure 2.51c shows one of them: L (1) 12 1 = S2 · S3 · S14 · S4 · S5 · S9 . The network in the example %provides first-order loops. We will denote the sum of all first-order loops by L (1) . Higher-order loops L(n) found anywhere in the system. A loop of order n is defined as the product of n first-order loops that are not sharing an node, therefore are nontouching. Figure 2.51d shows a second-order loop: L (2) 1 = (S7 · S8 ) · (S2 · S3 · S14 · S4 · S5 · S9 ). The network in the example provides 12 second-order loops, 7 thirdorder loops, one fourth-order loop. We will denote the sum of all nth-order % and loops by L (n) . Non-touching loops N are defined as the subset of loops of all order, that are not sharing a node with a certain direct path. Figure 2.51d shows an example of two (1) (1) = S11 · S12 and N22 = S13 · S14 and the second-order loop first-order loops N21

2 Wave Propagation on Transmission Lines and Cables

a

137

b a1

a1

b2

S21

ΓL

S11 S22 b1

S12

a1

S21

a1 ΓS

a2

b2 ΓL

S11 S22 b1

S12

a2

Fig. 2.52 Mason graph to determine the input reflection coefficient for twoport terminated by Γ L (a), and for a twoport connected to a mismatched source and load (b)

(2) N21 = (S11 · S12 ) · (S13 · S14 ) that are not touching the direct path P2 = S% 1 · S10 · Nm(n) . S6 . We will denote the sum of all nth-order loops not touching path m by

The non-touching loop rule reads: bi = aj

%  n

 % (1) % (2) % (3) Pn 1 − Nn + Nn − Nn ± · · · % % % 1 − L (1) + L (2) − L (3) ± · · ·

(2.244)

The non-touching loop rule allows to derive formulae for transmission and reflection coefficients quite straight-forward. • As mentioned when introducing the S-matrix, S11 of a two-port only equals the input reflection coefficient if the output is terminated into Z 0 . Consider the task to design an input and output matching network for a transistor in order to obtain a small-signal amplifier. How does the output reflection coefficient Γ L impact the input reflection coefficient? The mason graph is shown in Fig. 2.52a. For Γin = b1 /a2 , we have two direct paths P1 = S11 and P2 = S21 Γ L S12 , and one first-order loop L (1) = S22 Γ L , which is non-touching path P1 . By inserting these into the nontouching loop rule, we get: Γin =

b1 S11 (1 − S22 Γ L ) + S21 Γ L S12 S21 Γ L S12 = = S11 + a1 1 − S22 Γ L 1 − S22 Γ L

(2.245)

• Considering the mismatch at source and load, we end up with various definitions for linear amplifier gain. The transducer gain, for example, is defined as G tr =

PL power delivered to the load = available source power PS,av

(2.246)

The available source power was derived above in Eq. (2.243): PS,av

1 = 2



|a1 |2 1 − |Γ S |2

While the power delivered to the load is given by

 (2.247)

138

M. Rudolph

PL =

1 2 |b2 | − |a2 |2 2

(2.248)

The system contains the following loops: L (1) 1 = Γ S S11

(2.249)

L (1) 2 L (1) 3 (2) L1

= Γ L S22

(2.250)

= Γ S S21 S12 Γ L

(2.251)

= (Γ S S11 )(Γ L S22 )

(2.252)

The direct path for a1 → b2 is given by S21 , and for a1 → a2 it is S21 Γ L . There are no nontouching loops, so that the relations are easily written down: b2 = a1 · a2 = a1 ·

1− 1−

 

S21 L (1) 1

+

L (1) 2

 + L (2) + L (1) 3 1

S21 Γ L

L (1) 1

 (1) + L (2) + L (1) + L 2 3 1

(2.253)

(2.254)

Inserting everything into the equation for G tr , we arrive after a few steps the formula for the transducer gain: G tr =





1 − |Γ S |2 |S21 |2 1 − |Γ L |2 |(1 − S11 Γ S )(1 − S22 Γ L ) − S21 Γ L S12 Γ S |2

(2.255)

2.5.5 Example: FET and HBT Amplifier Matching To conclude this chapter, we would like to have a first look at matching of transistors in order to realize small-signal low-noise amplifiers. Figures 2.53 and 2.54 show example circuits based on the Avago ATF34143 HEMT and based on the Infineon BFP420F HBT, respectively. The corresponding S-parameters in the frequency range 0.5 . . . 4 GHz for the HEMT and HEMT-based amplifier are shown in Fig. 2.55. S11 of the HEMT alone follows the circle one would expect for the capacitive gate input impedance in series with extrinsic (metallization and contact) resistances and Rgs . S11 reaches into the inductive area of the Smith chart at the higher frequency end due to lead inductances within the SMD package. S22 also shows a circular frequency response, but extrapolating the locus towards lower frequencies is not pointing to the open circuit, but to a few 100 , which is the effect a limited output impedance has on the S-parameters. S21 is shown in polar coordinates, as it is a transmission coefficient

2 Wave Propagation on Transmission Lines and Cables

139

Vgg

Vdd C

C 900

10 pF 40 nH

5k 1 nH 15 pF

5

15 pF

2 nH ATF34143

Fig. 2.53 Example circuit for a low-noise amplifier based on the Avago ATF 34143 HEMT

that has no relation to an impedance. It shows a typical low-pass characteristic, seen in the phase shift and the decrease in amplitude. S12 , the feedback, is too low in amplitude to be visible in the graph. Matching and biasing the HEMT moves the reflection parameters closer to the origin, but not exactly to Γ = 0. Inspecting the circuit, Fig. 2.53, we see that in addition to the two inductances at the transistor’s gate and drain, serving as matching elements, a series and a parallel resistor are added at the gate branch. The 5 k resistor serves the purpose to provide the gate with bias voltage. The high-ohmic resistor is the easiest way to decouple the DC supply branch from the RF path, since no DC gate current flows. The series 5 resistance was inserted to prevent the amplifier from oscillating. At the drain side, an inductance of high value together with a large capacitance to ground is used to provide the DC bias. Input and output of the amplifier are DC decoupled through series capacitances at the ports. Designing a matching circuit is much more involved in this case than for the cases discussed so far, since the output reflection coefficient depends on the input matching

Fig. 2.54 Example circuit for a low-noise amplifier based on the Infineon BFP420F HBT

140

M. Rudolph

a

b HEMT Amplifier

S21

2 S22

HEMT

S11

4

6

8

10

Amplifier

Fig. 2.55 S-parameters of the Avago ATF 34143 HEMT for frequencies 0.5 . . . 4 GHz compared to the S-parameters of the circuit depicted in Fig. 2.53. Black lines: HEMT, grey lines: amplifier. a S11 , S22 , b S21

HBT

S21 20

HBT 10

S11 S22

Amplifier

Amplifier

Fig. 2.56 S-parameters of the Infineon BFP420F HBT for frequencies 0.5 . . . 4 GHz compared to the S-parameters of the circuit depicted in Fig. 2.54. Black lines: HBT, grey lines: amplifier. a S11 , S22 , b S21

circuit and vice versa, due to the transistor feedback. Also, it is required to ensure that the amplifier is stable and not oscillating. But even in this complicated case, using the Smith chart to analyze the circuit together with a numerical circuit simulator is advantageous over a pure trial-anderror approach. We will discuss it at the example of the output matching of the HBT circuit shown in Fig. 2.54. The S-parameters of the HBT and the corresponding amplifier are shown in Fig. 2.56. In contrast to the HEMT, S11 of a bipolar transistor is governed by the forward-biased base-emitter diode, providing usually some 100 at DC, following a semi-circle within the complex plane to cut the real axis at a low-ohmic value mainly determined by the base resistance. In the figure, we see the tail of the semicir-

2 Wave Propagation on Transmission Lines and Cables

141

b

a

S22 dB HBT feedback input match

0

HBT feedback input match

S22 of HBT

−5 −10 −15

HBT

HBT

feedback

feedback

−20 S22 of HBT −25 −30 0.5

2 1.5 Frequency (GHz)

1

2.5

3

d

c

S22 dB

0 parallel C −5

HBT feedback input match

−10 −15

HBT feedback input match

−20 −25 series L

parallel C

−30 series L −35 −40 0.5

1

f

e

S22 dB

2.5

3

HBT match db2 0

DC feed inductance

−5 DC feed inductance

1.5 2 Frequency (GHz)

−10 −15 parallel capacitance

−20 parallel capacitance

−25 −30 −35 −40 0.5

1

1.5 2 Frequency (GHz)

2.5

3

Fig. 2.57 Output matching of the circuit shown in Fig. 2.54. a and b S22 of HBT, HBT with feedback and HBT, feedback and input matching and DC supply circuit. c and d Impact of the parallel capacitance and series inductance on S22 . e and f Impact of DC feed inductance and parallel capacitance on S22

142

M. Rudolph

cle, and the extension of the curve into the inductive upper part of the Smith chart due to the lead inductances of the package. The output reflection coefficient S22 , on the other hand, is determined by the reversely biased base-collector junction and thereby starts at the open circuit for zero frequency. The shape of S21 and S12 resemble the HEMT case. A feedback through a resistor and a capacitance blocking DC is introduced in order to enhance bandwidth and stability, see Fig. 2.54. The feedback changes the output reflection coefficient, of course. But also the matching at the input of the HBT influences the output reflection coefficient. Figure 2.57a shows the change in the reflection coefficient for the frequency range of 0.5 . . . 2 GHz in the Smith chart. The same result is shown in dB in Fig. 2.57b. We see that the feedback already eases output matching by transforming S22 towards the center of the Smith chart. The input matching, unfortunately, has a rather big impact on S22 . In our case, we will take feedback and input matching for granted and focus on the analysis of the output match. The first element connected to the HBT’s collector is a capacitance to ground. Figure 2.57c shows that this parallel capacitance is used to transform the output impedance to the circle where its real part matches Z 0 at two frequencies. The series inductance then transforms the curve upwards so that it circles closer to the origin of the Smith Chart. Figure 2.57d shows the output reflection coefficients in dB. The transformation through the parallel capacitor is not changing much in terms of |S22 |, but it enables the transformation through the series inductance that yields the second minimum in |S22 |, which extends the matching bandwidth significantly. Finally, DC bias needs to be provided to the collector. This is done through the 40 nH choke inductor. As we see in Fig. 2.57e, the choke is not perfect but transforms S22 through its finite parallel inductance. The capacitance to ground is effectively connected in parallel to the inductance for RF signals, and adjusts S22 to provide even higher bandwidth.

References 1. 2. 3. 4. 5.

Lecher, E.: Eine Studie über elektrische Resonanzerscheinungen. Ann. Phys. 41, 850–870 (1890) Heaviside, O.: Electromagnetic Theory. 3 Volumes. London (1893–1912) Smith, P.H.: Transmission line calculator. Electronics 29–31 (1939) Smith, P.H.: An improved transmission line calculator. Electronics 130–133, 318–325 (1944) Mason, S.J.: Feedback theory—some properties of signal flow graphs. Proc. IRE 41(9), 1144– 1156 (1953). https://doi.org/10.1109/JRPROC.1953.274449 6. Mason, S.J.: Feedback theory—further properties of signal flow graphs. Proc. IRE 44(7), 920– 926 (1956)

Chapter 3

Impedance Transformers and Balanced-to-Unbalanced Transformers Holger Maune

Transformation elements are required to interconnect components and systems with different input and output impedances as well as cables with different characteristic impedances. Furthermore, the in- and output configuration of many system components as well as the cables, which typically have a coaxial implementation, are designed to be balanced or un-balanced with respect to ground. So, there are components that exhibit different balance properties with respect to ground and which must be interconnected in a reflection-free manner. In all of these applications, balanced-to-unbalanced transformers (“baluns”) are used which in many cases can also simultaneously transform the impedance (Fig. 3.1).

3.1 High-Frequency Transformers Overview The transformations illustrated in Fig. 3.2 are used in the field of RF engineering to enable matching of different impedances without reflections. Figure 3.2a shows the classic type of winding transformer with (or without) core, Fig. 3.2b the autotransformer, Fig. 3.2c a resonant transformation implemented as an two-port network in a -topology and Fig. 3.2d its dual implementation in T-topology. Figure 3.2e shows a homogeneous λ/4 line with characteristic impedance Z C and Fig. 3.2f an inhomogeneous line with a continuously varying characteristic impedance Z C (z). Transformers as shown in Fig. 3.2a, b are used up to about 100 MHz if the windings are realized as single-wire lines. On the other hand, if the windings are realized as two-wire or multiwire lines, components constructed based on this principle can currently be used up to about 10 GHz. Depending on the application area, the transition from transformers with lumped elements to transformers made from lines occurs H. Maune (B) Otto-V.-Guericke University Magdeburg, Magdeburg, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_3

143

144

H. Maune

a

b

Z1 / Z2

Z1

Baluns

Z1

R2

R2 /2

R2 /2

Fig. 3.1 (Left) Impedance transformer from source Z1 to load R2 ; (right) balanced-to-unbalanced transformers (“baluns”) from asymmetric to symmetric transformation

n1

R2

1'

1

2'

g

2

1

2 I

R2

1'

R1+jX1

b

2

R1+jX1

1

R1+jX1

a

2I R2

2' I

1

C

L

R2

C

1'

e

d

2

L

2

2'

2'

f

2

1'

R2

C

1'

2'

1

L

1

R1+jX1

R1+jX1

c

2

Z C = R1.R2

R2

R1+jX1

R1+jX1

1 R1

Z (z) C

R2

R2

1' 1'

2' l- /4

z 2' l

Fig. 3.2 Conventional impedance transformation types. a Transformer; b auto-transformer; c -matching network (Collins filter); d T-matching network; e Homogeneous λ/4-line (stepped impedance) transformer; f Inhomogeneous line transformer; g transformer with bifilar windings and ferrite core

at frequencies starting at about 1 GHz. Construction involves line pairs, coaxial lines, waveguides or one of the various types of microstrips. Line transformers with constant characteristic impedance are realized as λ/4 transformers and often have adequate bandwidth as bandpass filters. For large bandwidths, it is possible to use inhomogeneous lines. Line transformers with a ferrite core as shown in Fig. 3.2g are broadband and space-saving. In all impedance transformations, the power loss should be as small as possible in relation to the transferred power. Then, the effective power P2 = I22 R2 absorbed by the real load resistance R2 is nearly equal to the effective power P1 = I12 R1 supplied on the source side, yielding the transformation ratio t of the effective resistances

3 Impedance Transformers and Balanced-to-Unbalanced …

R2 t= ≈ R1



I1 I2

2 =

145

1 , c2

(3.1)

with c the conversion ratio of the currents (or voltages) c=

I2 V1 = . I1 V2

(3.2)

3.1.1 Transformers for Impedance Transformation Figure 3.3 shows a classic transformer with two coupled coils. Based on the designations in this figure, we have the following: V1 = I1 (R L1 + jωL 1 ) + I2 jωM

(3.3)

V2 = I2 (R L2 + jωL 2 ) + I1 jωM.

Here, RL1/2 are the loss resistances, L 1/2 the coil inductances and M the mutual coupling inductance. Based on these equations, we can easily derive the equivalent circuit shown in Fig. 3.4. The conversion ratio of this transformer is with I2

I1

V1

L1

L2

RL1

RL2

V2

M

Fig. 3.3 Equivalent circuit of a transformer with two coupled coils

I1

V1

RL1

L1-M

L2-M

M

RL2

I2

V2

Fig. 3.4 Equivalent circuit without magnetic coupled elements of a transformer

R2

146

H. Maune

c=

N1 N2

(3.4)

dependent on the number of winding turns N 1 and N 2 . The transformer’s limit frequencies can be computed from the equivalent circuit in Fig. 3.4. At low frequencies, the inductivity of the primary inductance L 1 can no longer be neglected with respect to the transformed resistance R1 = c2 R2 . The resulting lower limit frequency f min is defined by R1 2

(3.5)

R1 4π L 1

(3.6)

ωmin L 1 = as f min =

At the upper limit frequency f max , the voltage drop across the leakage inductance can no longer be neglected with respect to the voltage drop across R1 . If we define ωmax σ L 1 = 2R1 with the leakage factor σ = 1 − M 2



(3.7)

  L 1 L 2 it follows that

f max =

R1 , πσ L1

(3.8)

under the assumption that the inherent coupling capacitance is negligible at this frequency. From Eqs. (3.6) and (3.8), we thus obtain the frequency ratio 4(L 1 /L 2 ) f max 4 = = , f min σ (L 1 /L 2 ) − M 2

(3.9)

In transformers with a laminated, iron-powder or ferrite core with good magnetic continuity and windings realized as single-wire lines, we can obtain values for the leakage factor of σ ≤ 1%, i.e. frequency ratios of ≥400. By realizing the windings as two-wire (bifilar) or multiwire lines, we can obtain frequency ratios of ≥100,000. In air-core transformers, the σ values are in the range between about 2 and 25% resulting in a frequency ratio between 16 and 200.

3 Impedance Transformers and Balanced-to-Unbalanced …

147

3.1.2 Resonance Transformers Consisting of Lumped Elements Two-port networks can transform impedance values exactly at the center frequency f c and approximately in a frequency range (the “bandwidth”) that is dependent on the circuit. Based on the impedance transformer in Fig. 3.5 the conditions for a real-valued source at port 1 can be defined as Re{Y S } = G 1 = 1/R1 = t/R2 Im{Y S } = 0.

(3.10)

We can exactly determine the two reactances for continuous wave (CW) operation at the center frequency f c with  B0 = ± t/(1 − t)G 2



 B0e = ∓ t(1 − t)G 2 .

(3.11)

However, the circuits are usable only for certain conversion ratios within a defined bandwidth. Networks with more than two reactances can also be used for impedance transformation purposes. The additional circuit elements provide degrees of freedom that make it possible to influence the bandwidth by means of the dimensioning or use a more favorable selection of component values in the practical implementation. Consisting of three reactances, the Collins filter shown in Fig. 3.6 is commonly used. Due to its structured it is also referred to as -configuration. The input admittance of this circuit is Y I = jωC1 +

1 jωL +

1 G 2 +jωC2

.

(3.12)

It thus follows [20] that

jB0 e

Ys

jB0

G2

Fig. 3.5 Impedance transformer in L-configuration with two reactances G2 and jB0 or G2 and jB0 e

148

H. Maune L

R1+jX1

1

2

C2

C1

1'

R2

2'

Fig. 3.6 Impedance transformer in -configuration with three reactances (Collins filter)

G2 Re{Y I } =  2 2 1 − ω LC2 + (ωLG 2 )2   ωC2 1 − ω2 LC2 − wLG 22 Im{Y I } = ωC1 +  . 2 1 − ω2 LC2 + (ωLG 2 )2

(3.13)

Using the substitution x = C1 /C2 , Eqs. (3.10) are fulfilled at the center frequency f c for  ωc C1 = 

1−t x G2 x 2 /t − 1

1−t G 2 = ωc C1 /x −1   (1 − t) x 2 /t − 1 R2 . ωc L = |x − t|

ωc C2 =

x 2 /t

(3.14)

If center frequency ωc , transformation ratio t and load impedance R2 are specified, this leaves only the capacitance ratio x to be selected. According to Eq. (3.14), the elements are real-valued for t t. C2

(3.15)

√ For the case √ in which x → ∞, i.e. C2 → 0, we obtain ωc C1 = (1 − t)/t · G 2 and ωc L = (1 − t)/t · R2 . These formulae are consistent with Eq. (3.11) which were derived for reactance transformers consisting of two elements. Additionally, we also obtain real-valued elements for

3 Impedance Transformers and Balanced-to-Unbalanced …

t >1



x=

149

√ C1 < t. C2

(3.16)

The frequency range in which the magnitude || of the input reflection coefficient  = S11 is less than a specified maximum value max is designated as the bandwidth B of the impedance matching network. Figure 3.7a shows the relative bandwidth B/ f c as a function of x = C1 /C2 for max = 10% versus different transformation ratios t. The parameter is t > 1. For t < 1, we replace t with 1/t and obtain the curves mirrored on the lines C1 /C2 = 1 since swapping the terminal designations inverts the resistance conversion ratio while the bandwidth does not change. Figure 3.7a shows the achievable relative bandwidth versus transformation ratios t for different maximum reflection max . The T circuit shown in Fig. 3.8 is electrically equivalent to the Collins filter. If the Collins filter is constructed using equal capacitances x = C1 /C2 = 1, the dimensioning formulae in Eq. (3.14) are greatly simplified to ωc L =

 1 = X K = R1 R2 . ωc C

(3.17)

From Fig. 3.7a, however, we can see that 1 < t < 4 optimal bandwidths are not achieved in practice. Here unequal capacitances with x = C1 /C2 ≤ 0.5 should be chosen instead. Figure 3.7b shows the relative bandwidth versus transformation ration t for x = 0 and √ different reflection coefficients. Since half of the power is reflected for max = 1/2 = 0.707, much smaller values of max are intended for RF transformation networks. Thus, connecting two ports with different impedances 100 % 60

100 % 60

t = 1,5

m

40

= 70,7%

40 2

20

40

20 4

20 10 8

10

6

B/fm

B/fm

10 8

20

4

10

6 5

4 3 100

2

2

1 0,8

1 0,8

1%

0,6 0,1

0,2

0,4 0,6 0,81,0 C1/C2

2

4

6

8 10

0,6 0,1

0,2

0,4 0,6 0,8 1,0

2

4

6

8 10

t

Fig. 3.7 Left: Relative bandwidth B/ f c of the Collins filter as a function of x = C1 /C2 for different transformation ratios t = R2 /R1 for a maximum reflection of max = 10%. Right: Optimal relative bandwidth as a function of the transformation ratio t for different maximum reflections for C 1 = 0

150

H. Maune 1

L1

L2

2

C

R2

1'

2'

Fig. 3.8 Impedance transformer in T-configuration with three reactances

via a matching network will always limit the bandwidth and achievable reflection limit max (see also Bode Fano). Perfect matching can only be achieved at a single frequency point. The larger the bandwidth gets the higher the reflection will be. By connecting several reactance transformers with individually smaller conversion ratios in series, we can increase the bandwidth or reduce the reflection coefficient max at the cost of higher insertion loss.

3.1.3 Line Transformers Consisting of Homogeneous, Low-Loss Lines 3.1.3.1

Single-Stage Transformers with λ/4 Line

As shown earlier, a transmission line of characteristic impedance Z C length l and load Z 2 has an input impedance Z 1 of Z1 = ZC

Z2 ZC

+ j tan

2πl λ j ZZC2 tan 2πl λ

.

(3.18)

2πl l=λ/4 π −→ tan = ∞ λ 2

(3.19)

1+

For the special case of a λ/4 line tan follows directly Z1 =

Z C2 Z2

(3.20)

3 Impedance Transformers and Balanced-to-Unbalanced …

151

i.e. the λ/4 line produces a transformation that is proportional to the reciprocal of the impedance. Accordingly, a load capacitance appears as an inductance at the input and an inductive load appears as a capacitance at the input. Given an ohmic terminating impedance Z 2 = R2 , Eq. (3.20) indicate that the input impedance Z 1 = R1 is real valued as well. For matching, the characteristic impedance of the line is determined by ZC =



R1 R2

(3.21)

One drawback of the λ/4 transformer is that it only can match a real load impedance Im{Z 2 } = 0. Complex load impedances can always be transformed to real valued ones by compensating elements, at the expense of narrow bandwidth. Furthermore, the electrical length of the line is λ/4 only at the design frequency. This length changes with frequency, invalidating Eq. (3.20) and thus resulting in mismatch and reflections. For the input reflection coefficient 1 = S11 referred to Z 0 = R1 corresponding to the circuit in Fig. 3.9, we obtain the following 1 =

Z 1 − R1 . Z 1 + R1

(3.22)

By combining with (3.18) we get t −1

1 = √ t + 1 + j2 t tan π2

√ t − 1/ t = √ √ . 2 p + t + 1/ t √

f fc

(3.23)

where the substitution p = j tan{π f /(2 f c )} is known as the Richards transformation [1] and reflects the periodic dependence on the frequency. The center frequency f c is defined as the frequency at which we have the electrical length l = λ/4. We thus obtain the relationship 4l f = . fc λ R1

~

(3.24)

/4

m

Zc

R2

Fig. 3.9 Single-stage transformers with λ/4 line of characteristic impedance Z C between source with impedance R1 and load impedance R2

152

H. Maune

For this value p = ∞ the input reflection becomes ( f = f c ) = 0 as intended. For values l/λ = 0, 0.5, 1, . . . corresponding to f / f c = 0, 2, 4, . . . we get p = 0 and thus maximum reflection. According to (3.23) this maximum value is 0 =

t −1 . t +1

(3.25)

Combining this again with (3.23) we obtain 1 =



0

1 + j 1 − 02 tan



π f 2 fc

.

(3.26)

Figure 3.10 shows ( f / f c ) as a function of frequency according to Eq. (3.26). Due to the symmetry of the tangents around π/2 the reflection coefficient is symmetrical around f c with

π fc + δ f tan 2 fc



π fc − δ f = − tan . 2 fc

(3.27)

Due to the periodicity of the tangent, it is periodic with a period of f c . If we now allow the magnitude of  to attain a maximum value max at the limits of the frequency range to be transferred, we obtain a lower limit frequency fl and an upper limit frequency f u . We define the relative bandwidth or fractional bandwidth as   f u − fl fl B . = =2 1− fc fc fc

(3.28)

within which the λ/4 transformer can be operated. The bandwidth increases as we allow the maximum reflection max to increase and/or as the impedance transformation t approaches 1 and max thus decreases. The lower frequency fl can be calculated 1.0 %

ZL/Z0 = 10, 0.1 0.75 ZL/Z0 = 4, 0.25

50 | |

f = 16% fm

0.5

0.25 10

ZL/Z0 = 2, 0.5 0

0,23

0,25

0,27

0,5

f = l/ 4fm

0

1 f/f0

Fig. 3.10 Reflection coefficient as function of frequency for different transformation ratios t

2

3 Impedance Transformers and Balanced-to-Unbalanced …

b

a Z1 = R1

Zt = R1.R2

Z2 = R 2

Z1 = R 1

Zt = R1.R2

153

c Z2 = R2 Z1 = R1

Zt

Z2 = R2

C

d Z1 = R1 0

0

4

4C

e Zt

Z1 = R1



Zt

Z2 = R2

Z2 = R2



3/4

Fig. 3.11 Examples of λ/4-line transformers. a and b Show coaxial realizations for R2 > R1 while in (a) the size of the inner conductor is changed (b) utilizes a dielectric filling to obtain different line impedance. In c and d a two-wire line transformers is shown for R2 < R1 with (c) changing line diameter or (d) different line distances. In e a planar microstrip line transformer with different line width is shown

by (3.26) to  |0 |  −1 fl 2 −1 max  = tan . fc π 1 − |0 |2

(3.29)

Inserting into (3.28) results in

B 4 −1 1 t(1 − max ) − (max + 1) . = 2 − tan √ fc π 2 max t

(3.30)

Figure 3.10 shows the reflection for different transformation ratios t. For a transformation from R2 = 600 to R1 = 150 and a maximum reflection max = 0.1 a fractional bandwidth of 16% can be achieved. Figure 3.11 shows different line of λ/4-line transformers in coaxial and two-wire topology.

3.1.3.2

Multi-section λ/4-Line Transformers

If the required properties for the transformation ratio t, bandwidth B and permissible input reflection coefficient max cannot be fulfilled using a single-stage λ/4 transformer, we can connect N λ/4-line sections with different characteristic impedances ranging from Z c1 to Z cN in a chain (see Fig. 3.12). The length l of each line sections is chosen to l = λc /4. A selection of unequal lengths results in an increase in the period of r 1 = r 1 (f /f c ). In general, the transfer properties are not improved. In the following we assume equal length and monotonically increasing or decreasing characteristic

154

H. Maune R1

~

/4

/4

m

m

Zc

m

Zc

1

/4

Zc

2

N-1

/4

m

Zc

R2 N

Fig. 3.12 Multi-section transformer consisting of N λ/4-line sections with different impedances Z c1 to Z cN

impedance across the transformer. Thus, Eqs. (3.23) and (3.24) hold also for the case in which N > 1. There are various possibilities for the selection of the N characteristic impedances. If the rule for forming characteristic impedances Z c1 to Z cN is given, it is relatively easy to calculate the input reflection coefficient |1 ( f / f c )| based on this information. The disadvantage of such “analysis” is that the maximum permissible input reflection coefficient max and the fractional bandwidth B/ f c cannot be predetermined, as is the objective of a “synthesis”. Four typical possibilities to determine the characteristic impedances Z c1 to Z cN are discussed in the next sections.

Binomial/Geometrical Multi-section Transformers The binominal multi-section transformer is based on the line impedances of  2N

Z c(n+1) = Z cn











N⎠ n

N⎠ Z c(n+1) t n ←→ ln = Z cn 2N ⎝

ln t for n = 0 . . . N

(3.31)

with Z c0 = R1 and Z c(N +1) = R2 . For geometrical multi-section transformers the impedances are chosen according to √ Z c(n+1) 1 = ln t for n = 1 . . . (N − 1) Z c(n+1) = Z cn n t ←→ ln Z cn n

(3.32)

In general, in case of an odd value of N, the characteristic impedance of the middle stage is always Z m = Z c( N 2+1 ) =



R1 R2 .

(3.33)

Moreover, the product of the characteristic impedances which are equally spaced from the center of the transformer is always Z cn Z c(N +1−n) = R1 R2 = R12 t for n = 1 . . . N .

(3.34)

3 Impedance Transformers and Balanced-to-Unbalanced … R1

155

/4

/4

R2 = tR1 ZC

ZC

~

2

1

Fig. 3.13 Multi-section transformer consisting of two λ/4-line sections with different impedances Z c1 and Z c2

From now on, for the characteristic impedances normalized to R1 we will write Z cn /R1 = wn

(3.35)

wn w N +1−n = t for n = 1 . . . N .

(3.36)

Inserting into (3.34) leads to

In the following we will investigate transformers with different number of stages starting with a two-section transformer according to Fig. 3.13. (a)

Two-section transformer

The symmetry condition implies w1 w2 = t. If we now apply Eq. (3.18) twice to calculate the input impedance Z in of the transformer terminated with R2 = t R1 we obtain   √ √ √ √ p 2 w12 / t − t/w12 + t − 1/ t Z in − R1     1 = = 2 2 √ √ √ √ √ √ Z in + R1 p w1 / t + t/w12 + 2 p w1 / t + t/w1 + t + 1/ t (3.37) with p = j tan{π f /(2 f c )}. The reflection coefficient is thus dependent only on t and the selectable ratio w1 = Z c1 /R1 . According to (3.31) and (3.32), we now can calculate the characteristic impedances for both types (binomial and geometrical) to √ √ √ 4 Z c1 = R1 4 t and Z c2 = R1 t 3 = R2 / 4 t,

(3.38)

which results in w1 =

√ 4 t.

Inserting (3.39) in (3.37) results in the reflection coefficient

(3.39)

156

H. Maune

a

b

ln(Zt /R1) lnt 1

60 %

ln(R2/R1) ln(Zt2/R1)

3/4 1/2

40

1

t=R2/R1 ln(Zt1/R1)

20

1/4

0

0,5

1

z/l

0

0,5

1,0

1,5

2,0

f/fm

Fig. 3.14 Two-stage transformer with total length λ/2 with a characteristic impedances Z c1 and Z c2 normalized to R1 and b Reflection coefficient 1 versus frequency when terminated with R2 = 4R1

√ t − 1/ t √ √ √ t + 1/ 4 t + t + 1/ t √

1 =

2 p2 + 2 p

√ 4

(3.40)

For f / f c = 0 and 2, we have p = 0 and 1 = (t − 1)/(t + 1) agrees with 0 according to Eq. (3.25), while for f / f c = 1, in contrast to Eq. (3.23), 1 has a double zero in the band center due to the power p2 in the denominator. As consequence, the fractional bandwidth for max = 0.1 climbs from 16% to nearly 48% (see Fig. 3.14). (b)

Three-section transformer

The symmetry conditions for the three-section transformers are Z c1 = Z c3 = R1 R2 = t R12 and Z c2 = Z m =



√ R1 R2 = R1 t.

(3.41)

If we now apply Eq. (3.18) three times to calculate the input reflection 1 of the transformer terminated with R2 = t R1 we obtain  √ √ √ √ p 2 2(w1 − 1/w1 ) − t/w12 − w12 / t + t − 1/ t  1 = √ √ √ √ √ √ 2 p 3 + p 2 2(w1 + 1/w1 ) + t/w12 + w12 / t + 2 p t/w1 + 1 + w1 / t + t + 1/ t

(3.42) with p = j tan{π f /2 f c }. In the band center, we have | p| = tan{π/2} = ∞, i.e. 1 ∝ p 2 / p 3 has a single zero at mid-band if the factor of p 2 in the numerator does not disappear. In case of binomial impedance distribution, the characteristic impedances of the three transformation stages have the following values referred to R1 : w1 =

√ Z c1 √ Z2 Z3 √ 8 = 8 t, w2 = = t and w3 = = t 7. R1 R1 R1

(3.43)

3 Impedance Transformers and Balanced-to-Unbalanced …

157

With this, Eq. (3.42) thus simplifies to 13-binom =

 √ √ √ √ √ √ p 2 2 8 t − 1/ 8 t − 4 t − 1/ 4 t + t − 1/ t   √ √ √  √ √ √ √ √ 8 3 8 2 p 3 + p 2 2 8 t + 1/ 8 t + 4 t + 1/ 4 t + 2 p t + 1 + 1/ t 3 + t + 1/ t

(3.44) The single zero from Eq. (3.40) is preserved but the factor of p2 in the numerator is extremely small and negative (for t > 1). The denominator always remains positive. In case of geometrical impedance distribution, the characteristic impedances of the three transformation stages have the following values referred to R1 : w1 =

√ Z c1 √ Z2 Z3 √ 6 = 6 t, w2 = = t and w3 = = t 5. R1 R1 R1

(3.45)

With this, Eq. (3.42) thus simplifies to 3-geo 1

√ √ √ √ 6 t − 1/ 6 t + t − 1/ t √ √ = √ √ √ √ 2 p 3 + 3 p 2 6 t + 1/ 6 t + 2 p 3 t + 1 + 1/ 3 t + t + 1/ t p2

(3.46)

In contrast to binomial impedance distribution, the factor of p2 in the numerator is positive and relatively large. Accordingly, there are two additional zeroes lying symmetrically about the zero in the band center whose position follows from the disappearance of the numerator where √ √ √

√ t − 1/ t t − 1/ t 2 π f =√ p = −√ √ → tan √ . 6 6 2 fc t − 1/ 6 t t − 1/ 6 t 2

(3.47)

Resulting in the zeros at ⎫ ⎧  √ √ ⎬ ⎨ f t − 1/ t 2 = tan−1 ± √ √ . 6 ⎩ fc π t − 1/ 6 t ⎭

(3.48)

A comparison of the binomial and geometric impedance generators is shown in Fig. 3.15. The introduction of two additional zeros is clearly visible in Fig. 3.15b. For further comparison√let’s have a closer look at a impedance transformation ratio t = R2 /R1 = 16, so 4 t = 2. For the binomial transformer, the numerator gets −0.0858 p 2 + 3.75, resulting in very flat behavior of 13-binom around f c . In contrast, the nominator in geometric transformers is +0.9574 p 2 + 3.75 which results in a 3-geo around f c . Due to the two additional zero apart from much steeper behavior of 1 f = f c the fractional bandwidth B/ f c is increased for the latter one while keeping the maximum reflection max at the same level. While the reflection of the binomial transformer is maximally flat, the response of geometric transformers exhibits ripples up to the maximum reflection max . Table 3.1 compares the bandwidths for binomial

158

H. Maune

a

b

ln(Zt /R1) lnt

60 %

ln(R2 /R1)

f/fm = 76%

1 40

5/6 ln(Zt3/R1)

f/fm = 50%

ln(Zt2/R1) 1

1/2

20 ln(Zt1/R1)

4,4

1/6 0

1/3

1/2

2/3

1 z/l

0

0,5

1,0

1,5

2

f/fm

Fig. 3.15 Three-stage transformer with total length 3λ/4 with a the characteristic impedances Z c1 … Z c3 normalized to R1 for the binomial (dashed line) and geometric (solid line) construction rules and b Reflection coefficient 1 versus frequency for both cases when terminated with R2 = 4R1 Table 3.1 Relative bandwidth of three-section λ/4 transformers t= √ 2 2 4 8

R2 R1

Binomial transformer w1 = √ 16 2 √ 8 2 √ 4 2 √ 8 3 2

Z C1 R1

Geometrical transformer

max (%)

B/ f c (%)

1.1

52.1

2.2

51.8

4.4

50.0

6.4

47.1

w1 = √ 12 2 √ 6 2 √ 3 2 √ 2

Z C1 R1

max (%)

B/ f c (%)

1.1

78.1

2.2

77.8

4.4

76.0

6.4

73.3

√ and geometrical transformers for four transformation ratios t between 2 and 8. The maximum max is chosen from the geometrical transformer and used also for evaluation of the binomial transformer. With this, the bandwidths for geometrical graduation are roughly 1.5 times the bandwidths for binomial graduation. (c)

Four- and five-section transformers

Here again, the characteristic impedances are generated symmetrically around the center according to Eqs. (3.31)–(3.34). Like all transformers with an even number of stages, the reflection coefficient 1 for the four-section arrangement has a double zero for f = f c , while the five-stage binomial transformers have a single zero in the center of the band. Figure 3.16a shows the reflection coefficient for different number of stages. The geometrical transformer with five sections has five distinct zeroes with (in each case) two maxima of different magnitudes for the reflection coefficient. Figure 3.16b shows, that the bandwidth for a given max can be smaller for higher number of stages as one of the ripples can violate max . Thus, the bandwidth B of geometric transformers exhibits unsteady behavior as function of N for given max . (d)

Synthesis techniques for N-stage transformers

The last example with maxima of different magnitudes shows that geometrical transformers are not optimal for larger values of N. Typically, we seek the following: (I)

3 Impedance Transformers and Balanced-to-Unbalanced …

a

159

b 60 %

60 %

40

40

1

1

f/fm n = 4 f/fm n = 5 20

20 10

0

0,5

1,0

1,5

2,0

0

0,5

1,0

f/fm

1,5

2,0

f/fm

Fig. 3.16 Reflection coefficient 1 for a binomial and b geometrical transformers for different number of stages N

The maxima of 1 should have the same magnitude and (II) their magnitude in the passband max should be specifiable with, say, 1% or 3% or 10% accuracy. In this case, the reflection coefficient must be specified using Chebyshev functions and the necessary characteristic impedances are determined on this basis, see the following section. If we require an extremely small reflection coefficient near the band center (“maximally flat” curve, Butterworth approximation [2, 3]), then an N-stage transformer must have an N-fold zero in the band center. The example of the three-stage transformer showed that although the numerator factor of p2 in Eq. (3.42) does not disappear for binomial transformers of the characteristic impedances, it is indeed very small (for t = 4, this factor is ≈ –0.0067). This means the frequency dependency of the reflection coefficient 1 and the characteristic impedances for a “maximally flat” curve come very close to the values for binomial graduation with N ≥ 3. For four stages and t = 4, for example, the relative bandwidth for a maximally flat curve with max = 10% is about 82.7% versus 82.1% for binomial transformers, while a two-stage binomial transformer precisely match the values for a “maximally flat” behavior.

Chebyshev Multi-section Transformers (a)

Two-section transformer

√ If, in contrast to Eq. (3.38), we choose the ratio w1 = Z c1 /R1 = 4 t, then the double zero in the band center of (3.37) disappears. For f = f c equal to p → ∞, 1 obtains an auxiliary maximum max which corresponds to the ratio of the factors of p2 in the √ numerator and denominator. Thus, following multiplication with t/w12 , we obtain max

1 − t/w14 = 1 + t/w14



Z c1 √ w1 = = 4t R1

 4

1 + max . 1 − max

(3.49)

160

H. Maune 1.0

n=0

n=1 0.5

Tn (x)

n=4

n=2

0.0 n=3 n=5

–0.5

–1.0 –1.0

–0.5

0.5

0.0 x

1.0

Fig. 3.17 Plot of the amplitude of Chebyshev polynomials of the first type |TN {x}| for degree n = 0, 1, 2, 3, 4, 5 in the interval –1 < x < 1

In this simple case involving a two-stage transformation, 1 is easy to determine using Eq. (3.37) as soon as the value of max is chosen. By fixing max , the ratio Z c1 /R1 = R2 /Z c2 is determined simultaneously. (b)

Three-section transformer

For three stages, calculation of w1 = Z c1 /R1 as a function of the magnitude max of the two auxiliary maxima that occur symmetrically with respect to the band center according to Eq. (3.42) becomes challenging, while the calculation is extremely difficult for more than three stages. However, it is possible to express the square magnitude of the input reflection coefficient |1 |2 in a clear manner with the aid of the Chebyshev function of the 1st type, Nth order TN {x} for N stages [2, 3]. We thus obtain Tn |1 |2 = 2 1−max 2 max

1− p12 1− p2

+ Tn



!2

1− p12 1− p2

!2 .

(3.50)

with p = j tan{π f /2 f c } and p1 = j tan{π f 1 /2 f c }. The Chebyshev function TN {x} has N single zeroes in the range 0 ≤ |x| < 1. Like the two boundary values for x = ±1, the intermediate N − 1 maxima all have the same magnitude of 1. For |x| > 1, |TN {x}| increases monotonically to ∞ for increasing |x|, see Fig. 3.17. In Eq. (3.50), p1 = j tan{π f 1 /2 f c } is tied to the lower limit frequency f 1 . For f = f 1 , we have p = p1 and TN {1} = 1 and thus

3 Impedance Transformers and Balanced-to-Unbalanced …

161

60 %

40 f/fm n = 5 1

f/fm n = 4 f/fm n = 2

20

0.5

0

1.0

1.5

2.0

f/fm

Fig. 3.18 Input reflection 1 of a Chebyshev transformer with different number of sections N

|1 ( f = f 1 )|2 =

1 2 1−max 2 max

+1

2 = max .

(3.51)

For f 1 / f c , there exists a simple relationship with max and 0 = (t − 1)/(t + 1): For p = 0, we have 1 = 0 and thus according to Eq. (3.50) TN

1 − p12 = TN

⎧ ⎨

1

⎫ ⎬

= ⎩ cos π f 1 ⎭ 2 fc

2 1 − max 2 max

2 1 − max t −1 1 = √ = . 2 A 2 t 2  max 1 − 0 0

(3.52)

With the quantity 1/A which follows directly from the transformation ratio t and the maximum reflection max , we can explicitly specify the relative bandwidth B for any number of stages N. Namely, we have   1 B 4 " " ## . = 2 − arccos fc π cosh N1 arcosh A1

(3.53)

As example, the reflection coefficient for max = 0.1 and t = 4 is shown in Fig. 3.18. A manual procedure for finding the characteristic impedances as a function of max and the number of stages N for the Chebyshev transformer is given in [3]. Table 3.2 shows the characteristic impedances normalized to R1 for n = 2, 3, 4 and 5 for t = 4 for all previously discussed algorithms. Based on the small differences in the numerical values, we can see that the difference between binomial and “maximally flat” behavior is only a question of manufacturing tolerances for real-world transformers. It is therefore not worth the effort to present the synthesis of transformers with “maximally flat” graduation since they are nearly identical to transformers with binomial graduation.

162

H. Maune

Table 3.2 Characteristic impedances for λ/4-line transformers for a transformation ratio of t = 4 with N = 2–5 stages for different algorithms Number of stages N

Normalized characteristic impedance

Binomial

Geometric

Chebyshev with max = 0.1

Maximally flat

w1

1.414

1.414

1.487

1.414

w2

2.828

2.828

2.690

2.828

w1

1.189

1.260

1.323

1.191

w2

2.000

2.000

2.000

2.000

w3

3.364

3.175

3.025

3.359

w1

1.091

1.189

1.252

1.092

w2

1.542

1.682

1.683

1.544

w3

2.594

2.378

2.376

2.590

w4

3.668

3.364

3.196

3.663

w1

1.044

1.149

1.214

1.045

w2

1.297

1.516

1.519

1.300

w3

2.000

2.000

2.000

2.000

w4

3.084

2.639

2.633

3.077

w5

3.830

3.482

3.295

3.827

wn = 2 3

4

5

Algorithm

Z cn R1

Given the same number of stages N and the same maximum reflection coefficient max at the band limits, the bandwidth of the Chebyshev transformers is about 50– 55% larger than that of the transformers whose reflection rises constantly from the band center. However, if the group delay in the passband has not to fluctuate by more than ~20%, the full bandwidth of Chebyshev transformers cannot be utilized. Compensated λ/4 Transformers In order to improve the transfer properties of a λ/4-line transformer, there is—in addition to a cascade connection with N line sections—also the possibility to connect further M line sections in parallel or in series. Known as stubs or compensation lines, such low-loss line sections have a nearly purely imaginary input impedance because of their open-circuit or short-circuit termination. As a result, if properly connected they can compensate the reactance component of the input impedance of an N-stage λ/4-line transformer which occurs in case of deviation from the center frequency in the vicinity of this center frequency. In order to obtain a curve for the input reflection coefficient that is symmetrical about f = f c , these compensation lines are made to have the same length l = λc /4 as the cascaded line sections. The two simplest arrangements (m = 1, n = 1) for a compensated λ/4 transformer are shown in Fig. 3.19; the stub can be arranged on the generator side as well as on the load side.

3 Impedance Transformers and Balanced-to-Unbalanced …

163

a l R1

Zp Zt

~

R2

l

l

b Zs

R1 ~

R2

Zt l

Fig. 3.19 Sketch of the two simplest circuits for a compensated λ/4 transformer, a Parallel and b Series compensation

Two of the possibilities (parallel connection of a line that is open at the end and series connection of a line that is short-circuited at the end) are disregarded since in these cases an input reflection coefficient with a magnitude of 1 results for f = f c . In contrast to the uncompensated λ/4 transformer with 1 ( f / f m ∈ {0, 2, 4, . . .}) = 0 < 1

(3.54)

we have the following if the λ/4 transformer has at least one stub: 1 ( f / f m ∈ {0, 2, 4, . . .}) = 1.

(3.55)

This is because at these frequencies the stubs result in a short-circuit (Fig. 3.19a) or a discontinuity (Fig. 3.19b). The parallel compensated circuit is discussed in more detail hereafter. Using Eq. (3.1), we obtain the following expression for the input reflection coefficient 1 referred to R1 :  2    Z p 2 Rt2 − t + p RZ1t t − 1 − ZZ pt − ZZ pt t    . (3.56) 1 =  12 p 2 ZRt2 + t + p RZ1t t + 1 + ZZ pt + ZZ pt t 1

164

H. Maune

The two characteristic impedances Z t and Z p are determined based on the requirement that the function 1 = 1 ( f / f c ) in the range 0 < ( f / f c ) < 2 should have the maximum possible number of two real zeroes. Given p = j tan(π f /(2 f c )) it follows that in the numerator of Eq. (3.56), the factor of the imaginary frequency function must be t −1−

Zt = 0. Zp

(3.57)

Zt . t −1

(3.58)

We thus obtain the relationship Zp =

Since Z t and Z p must be positive, it follows from Eq. (3.58) that we must have t > 1; this means that the compensation must be implemented by means of a single stub connected in parallel at the low-impedance end of the λ/4 transformer. If the input reflection coefficient in the band center ( f / f c = 1, | p| = ∞) is to disappear, then it follows from Eq. (3.56) that the following relationship must be fulfilled: √ Z t = R1 t.

(3.59)

If Eq. (3.58) is also fulfilled simultaneously, then we obtain a double zero for f / f c = 1; see Fig. 3.20. For t = 4 and max = 10%, a relative bandwidth f / f c ≈ 33% follows in this case. On the other hand, if the input reflection coefficient is to have the maximum permissible value max in the band center, we then find with Eqs. (3.56) and (3.58) that  1 − max . (3.60) Z t = R1 t 1 + max For t = 4 and max = 10%, the relative bandwidth we obtain here is f / f c ≈ 43%. If we perform the corresponding calculation for the series compensated line shown in Fig. 3.19b, we discover that the series compensation must be implemented using a single stub at the high-impedance end of the λ/4 transformer. For t = 4 and max = 10%, we again obtain the curve shown in Fig. 3.20 for the input reflection coefficient. Figure 3.21 shows examples of single-stage, compensated λ/4 transformers in coaxial and balanced arrangements. Compensation of a λ/4 transformer with a stub is also possible with multistage transformers (n > 1). Moreover, more than one stub (m > 1) can be used for

3 Impedance Transformers and Balanced-to-Unbalanced …

165

100 %

75 67

1

50

25 10

0

0.5

1.0

1.5

2.0

f/fC

Fig. 3.20 Input reflection coefficient as a function of frequency for a single-stage λ/4 transformer with a compensation line with t = 4 and max = 10% with double zero at f = f c (–––) and with maximum allowed mismatch at f = f c (– – –) leading to a bandwidth of f / f c ≈ 33% and

f / f c ≈ 43%, respectively

a

c

2

4

R2

1

2'

1'

R1 = Z1

Z2 = R2

Zt Zs

L =

d

Zp

Zt 4 2

1 R1

b L

1

R2

Zt 1'

=

2' Zs

m 4

Zp

2 Zt

Z1 1' L =

m 4

R2 2'

Fig. 3.21 Examples of single-stage, compensated λ/4 transformers for t > 1. a Compensation with short-circuited stub, coaxial version; b Compensation with short-circuited stub, balanced version; c Compensation with open-circuited stub, coaxial version; d Compensation with open-circuited stub, balanced version

166

H. Maune

4

l

Fig. 3.22 λ/4 transformer with double compensation

-2 R1-Z1

R2

Zt -2'

compensation. Thus, several structures arise from which the optimal structure can be chosen for a given set of parameters ( f / f c , max , t, total length, etc.). Calculation of the λ/4 transformer for m > 1 and n > 1 involves specifying the behavior of the magnitude of the input reflection coefficient as a function of frequency (maximally flat or Chebyshev curve); in this manner, we can obtain according to Riblet [3] the characteristic impedances of the cascaded line sections by elimination from the impedance function for p = 1; the characteristic impedances of the stubs are obtained by eliminating the poles for p = 0 from the impedance function or admittance function since in the p-plane lumped elements (L or C) ensue formally from the stubs. Only in the case of the double-compensated, single-stage λ/4 transformer (m = 2, n = 1) as shown in Fig. 3.22 with a maximally flat curve for the input reflection coefficient we can specify simple relationships for the characteristic impedances: √ Z t = R1 t, Z p = Z t

2 t −1 , and Z s = Z t t −1 2

(3.61)

For t = 4 and max = 10%, we obtain a relative bandwidth of f / f c ≈ 68% for this transformer.

3.1.4 Line Transformation with Inhomogeneous Low-Loss Lines The total length ltot of an N-section λ/4-line transformer is ltot = N λc /4. For the center frequency f c we obtain the following expression:

(3.62)

3 Impedance Transformers and Balanced-to-Unbalanced …

fc =

167

c0 N . 4 ltot

(3.63)

If we now increase the number of sections N while holding the total length ltot constant, then the center frequency f c will grow with the number of stages N according to Eq. (3.63). As N increases, the difference between the characteristic impedances of two successive stages also becomes smaller. If the lower limit frequency of the transformer converges to a finite limit for N → ∞, then the stepped-impedance line with periodic bandpass behavior becomes the inhomogeneous line with highpass behavior since its “center frequency” according to Eq. (3.63) and its “upper limit frequency” go to infinity.

3.1.4.1

Mathematical Description of the Inhomogeneous Line

In order to describe the inhomogeneous line, we start from the unit cell description of a transmission line, see Fig. 3.23. In contrast to the derivation of the telegrapher’s equation, the distributed quantities R , L , G and C are now dependent on the position along the length of the line. However, their relative change should be small on a path that is comparable to the conductor spacing. Since when used as a transformer the inhomogeneous line is at most a few wavelengths long, we can neglect the losses, as was the case with the λ/4-line transformer. Thus, for a line element of length δz, we obtain ∂ V (z) = jωL  (z)I (z) ∂z ∂ I (z) = jωC  (z)V (z). − ∂z −

(3.64)

For a homogeneous line, we have L  C  = const = 1/υ 2 in case of small losses. If we specify 1/υ 2 , L  and C  dependent on each other, resulting in L  (z) = L 0 · fct(z)

(3.65)

C  (z) = C0 /fct(z). R'

Fig. 3.23 Unit cell of a transmission line

i1

1

2

dz

L' 2

G'dz

dz

L' 2

dz

C'dz

R' 2

dz

i2

2

168

H. Maune

The function fct(z) is always positive in its range. For the characteristic impedance of the line, we obtain  Z (z) =

L  (z) = C  (z)

 L0 1 . · fct(z) = υ L  (z) = C0 υC  (z)

(3.66)

Differentiating Eqs. (3.64) and substituting I and dI/dz, we obtain ∂ 2 V (z) 1 ∂ L  (z) ∂ V (z) + ω2 L  (z)C  (z)V (z) = 0 − ∂z 2 L  (z) ∂z ∂z ∂ 2 I (z) 1 ∂C  (z) ∂ I (z) − + ω2 L  (z)C  (z)I (z) = 0. ∂z 2 C  (z) ∂z ∂z

(3.67)

If we now replace L and C according to Eq. (3.65) with the characteristic impedance Z(z) and the factor β02 = ω2 L  C  , we obtain ∂ V (z) ∂ 2 V (z) ∂ ln{Z (z)} + β02 (z)V (z) = 0 − 2 ∂z ∂z ∂z ∂ I (z) ∂ 2 I (z) ∂ ln{Z (z)} + β02 (z)I (z) = 0. + ∂z 2 ∂z ∂z

(3.68)

These equations differ from the differential equations for the homogeneous line in the second element which reflects the spatial dependency of the line constant. We have 1 ∂ Z (z) 1 ∂fct(z) ∂ ln{Z (z)} = = . ∂z Z (z) ∂z fct(z) ∂z

(3.69)

For the current and voltage, the differential equations are now also different so that their solutions must also differ. Since we wish to use the inhomogeneous line as a transformer, we are interested primarily in the reflection coefficient . Instead of calculating it based on a detour via the current and voltage, we would like to obtain it here directly from a differential equation for  which should have only the spatial dependency of the characteristic impedance and frequency [4]. The reflection coefficient is defined as =

V /I − Z . V /I + Z

(3.70)

From this, we can compute the ratio V /I. V 1+ =Z . I 1−

(3.71)

3 Impedance Transformers and Balanced-to-Unbalanced …

169

We now differentiate V /I: ∂ VI 1 ∂V V ∂I = − 2 . ∂z I ∂z I ∂z

(3.72)

∂ V /∂z and ∂ I /∂z are given by Eqs. (3.64) while the ratio V /I is given by Eq. (3.71). Applying these equations, we now obtain   1+ 2 ∂ 1+   Z = −jωL + jωC Z . ∂z 1 −  1−

(3.73)

Replacing L and C according to Eq. (3.66) by Z(z) and differentiating by z, we obtain ∂  ∂ − jβ0  + 1 −  2 ln Z = 0. ∂z ∂z

(3.74)

For the reflection coefficient, we obtained a nonlinear differential equation of the 1st order. The solution contains only one integration constant. This also makes sense in physical terms since the reflection coefficient on the line is determined solely by the terminating impedance. With the definition of the “reflection function” as P(z) =

1 ∂ ln Z . 2 ∂z

(3.75)

we obtain 2

  ∂ − j2β0  + 1 −  2 P(z) = 0. ∂z

(3.76)

A solution to this Riccati equation can be found only in special cases. Accordingly, we will seek an approximate solution. For small reflection coefficients (which is all we are interested in), we can neglect  2 with respect to 1. We can thus solve the differential equation as follows ⎛ (z) = ej2β0 z ⎝C1 −

$z

⎞ P(z)e−j2β0 z ∂z ⎠.

(3.77)

0

We calculate the integration constant C 1 from the boundary condition at the end of the line z = l. We assume that the inhomogeneous line is terminated there in a reflection-free manner |(z = l)| = 0. C 1 is then:

170

H. Maune

$l C1 =

P(z)e−j2β0 z ∂z.

(3.78)

0

At the input to the inhomogeneous line (z = 0), we then calculate the input reflection coefficient 1 as $l 1 = (z = 0) =

P(z)e−j2β0 z ∂z.

(3.79)

0

Assuming the behavior of the characteristic impedance along the line is known, this relationship makes it possible to calculate the reflection coefficient as a function of frequency. On the other hand, the reflection coefficient is often given as a function of frequency and we are interested in the characteristic impedance of the inhomogeneous line. In Eq. (3.79), P(z) is thus unknown. To solve this, we continue the inhomogeneous line at both ends with a homogeneous line with characteristic impedances Z(0) and Z(l), respectively. The function P(z) is then equal to zero for z < 0 and z > l. We can then write the integral as $+∞ 1 = (β0 ) =

P(z)e−j2β0 z ∂z.

(3.80)

−∞

This is a Fourier integral which can be inversed easily $+∞ P(z) = (β0 )ej2β0 z ∂β0 .

(3.81)

−∞

In the context of the approximation (theory of small reflections) we applied above, we are able to calculate the reflection coefficient from the characteristic impedance or the reflection function P(z) as a function of frequency or the necessary characteristic impedance behavior along the line from the specified reflection coefficient |(β0 )| [4]. For each of the two calculation possibilities described by Eqs. (3.79) or (3.81), we provide an example hereafter which was also discussed in the calculation of a transformer with N λ/4-line sections. The calculation techniques which yield zeroes for this transformer in the input reflection coefficient in the band center only (binomial or maximally flat transformer) cannot be applied to the inhomogeneous line since as N grows, not only the center frequency f c but also the lower limit frequency f 1 goes to infinity.

3 Impedance Transformers and Balanced-to-Unbalanced …

3.1.4.2

171

Exponential Inhomogeneous Line as Transformer

Extending the geometrical multi-section transformers, Eq. (3.32), with N = ltot /l and n = zl + 1   z z Zn Z (z) 1 lim ln ln t = = ln = lim + ln t N →∞ N →∞ l tot R1 R1 2N ltot

(3.82)

Substituting μ = ln t/ltot we finally obtain Z (z) = R1 eμz

(3.83)

Due to the exponential dependency of the characteristic impedance Z(z) on the spatial coordinate z, we refer to this inhomogeneous line as an “exponential line” or “exponential taper”. If we plug this characteristic impedance relationship into the differential Eqs. (3.68), we obtain ∂ V (z) ∂ 2 V (z) + β02 (z)V (z) = 0 −μ 2 ∂z ∂z ∂ 2 I (z) ∂ I (z) + β02 (z)I (z) = 0. +μ 2 ∂z ∂z

(3.84)

Which only contains constant coefficients. Thus, the input reflection coefficient of the exponential line can be calculated exactly, we finally obtain 1 =

sin βltot sin ϕ . cos βltot cos ϕ + j sin βltot

(3.85)

with % β=

β02 −

2π μ μ2 ω , β0 = = , and sin ϕ = 4 υ λ 2β0

(3.86)

The behavior of the input reflection coefficient versus frequency is very similar to the case of geometrical stepped transformer. Likewise for the exponential line, we obtain maxima having magnitudes that decrease with increasing frequency; see Fig. 3.24. Moreover, since max is determined for a given t like in the case of geometrical-stepped transformer, this line with exponential impedance characteristic does not deliver optimal results.

172

H. Maune 60 %

1

40

20

0

0.5

1.0

1.5

2.0

lges/λ

Fig. 3.24 Input reflection coefficient 1 for a nine-section geometrical-stepped transformer (solid line) in comparison to an inhomogeneous line with exponential impedance characteristic (dashed line) for a transformation ratio of t = 4

3.1.4.3

Chebyshev Inhomogeneous Line as Transformer

If we realize the limiting process n → ∞ in Eq. (3.50) while holding the total length ltot = nl constant, then we obtain the exact relationship for the input reflection coefficient of this inhomogeneous line 2   cos (β0 ltot )2 − (β1ltot )2 |1 |2 = 2   2 1−max 2 2 + cos l − l (β ) ) (β 0 tot 1 tot 2 

(3.87)

max

where β1ltot

   2  1 −  ltot 0 max = 2π = cosh−1 λ1 max 1 − 02

(3.88)

Here, ltot /λ1 is the total length of the line normalized to the wavelength λ1 of the limit frequency. In order to be able to, at least approximately, calculate the characteristic impedance behavior of this inhomogeneous line with the aid of Eq. (3.81), we will again make use of the approximation ||  1. We then obtain the relationship [5] 1 with

& & &   2 & 2 & ≈ max &cos (β0 ltot ) − β1ltot &&

(3.89)

3 Impedance Transformers and Balanced-to-Unbalanced …

β1 ltot = cosh−1



0 max

and 0 =

173

1 ln t 2

(3.90)

According to Klopfenstein [5], integration of Eq. (3.81) provides in terms of the behavior of the characteristic impedance, assuming we introduce the coordinate transformation z  = z/ltot − 1/2 the following relationship

⎫ ⎧ ⎧  2z   $ 2 ⎪ ⎪ ⎪ β I l 1 − y 1 ⎪ ⎪   2 ⎪ 1 tot ⎪ ⎪ ⎪ ⎪ ⎪ ⎪  β l dy ⎨ ⎬ ⎪ tot 1 & & ⎪  2  β l 1 − y   ⎪ ⎪ tot 0 + max for &z  & ≤ 21 1 ⎨ 0     Z z ⎪ ⎪ ⎪ ⎪ ⎪ ln = ⎪ + H z  − 1 − H −z  − 1 ⎪ ⎪ ⎩ ⎭ ⎪ R1 ⎪ ⎪ 2 2 ⎪ ⎪ ⎪ ⎪ ln t for z  > 21 ⎪ ⎩ 0 for z  < − 21 (3.91) Here, I 1 is the modified Bessel function of the 1st type and 1st order. H is the Heaviside step function. H (x) =

0 for x < 0 1 for x ≥ 0

(3.92)

One notable property of this line is that it exhibits a step in the characteristic impedance curve at the start and end. Figure 3.25 illustrates this with a numerical example in comparison to the continuous behavior of an exponential tapered transformation line. The error which results from the assumption that ||  1 can be seen in Fig. 3.26. There, the solutions with the precise behavior according to Eq. (3.87) compared to the approximation according to Eq. (3.89).

174

H. Maune

ln(Z/R1)/lnt

1.0

0.5

0 0

0.5

z/lges

1.0

–0.5

0

z'

0.5

Fig. 3.25 Comparison of the characteristic impedance Z (z) for inhomogeneous lines with exponential (dashed line) and Chebyshev gradient (solid line) (t = 10, max = 3%)

3.1.4.4

Compensated Inhomogeneous Lines

As was the case with stepped λ/4 transformers, we can also improve the transmission properties of inhomogeneous lines by adding reactances; in particular, we can reduce the total length of an inhomogeneous line in this manner. In order to preserve the high-pass nature of the inhomogeneous line, these reactances are realized here using lumped elements. The stub that is connected in parallel and short-circuited at the end corresponds to a parallel coil, while the stub that is connected in series and open at the end corresponds to a series capacitor. For single- or double-compensated inhomogeneous lines, we thus obtain the circuits shown in Fig. 3.27. Figure 3.28 illustrates the dependency of the total length L ≡ ltot on the transformation ratio t for zero to two compensation elements. Given the same compensation type, the superiority of the inhomogeneous Chebyshev line (T) is also apparent from its steady behavior compared to the exponential line (E).

3 Impedance Transformers and Balanced-to-Unbalanced …

175

a 120 %

1

80

40

0

0.2

0.4

0.6

0.8

1.0

lges/λ

b

6 % 5

4

1

3

2

1

0 0.6

0.8

1.0

1.2

1.4

1.6

1.8

2.0

lges/λ

Fig. 3.26 Input reflection coefficient of a Chebyshev designed with the exact Eq. (3.87) (––––) and approximated Eq. (3.89) (– – –) behavior. (– · – · –) shows the solution of the differential Eq. (3.74) with the coordinate transformation Eq. (3.91). a Shows the overview for all reflection coefficient, while b shows a zoomed view for |1 | < 6%

3.1.4.5

Cosine-Squared Inhomogeneous Lines Transformers.

Using equation (3.79), it is possible to calculate the reflection coefficients for various inhomogeneous lines. Bolinder [4] provides a wide range of examples. It turns out that the exponential line does not possess the most favorable transformation properties. Another example is the cosine-squared transmission line. We set the reflection function   z R2 1 1 (3.93) ln cos2 π − P(z) = ltot R1 ltot 2

176

H. Maune

a

R1

~

L

b

R2

In

C

R1

~

R2

In

c

C

R1

~

L

R2

In

Fig. 3.27 Different prototypes of compensated inhomogeneous lines 1.0

E0

E1

0.8 T0

0.6

L/

1

T1 E2

0.4

T2

0.2

0

1 1.2 1.4 1.6 1.8 2

4 t

6

8 10 12 14 16 18 20

Fig. 3.28 Comparison of electrical length L/λ of the inhomogeneous line with Chebyshev behavior (T) with the exponential line (E) for max = 10%; The index 0, 1, and 2 stand for lines without compensation, with single compensation, and with double compensation, respectively (The bend in the curves at t = 2 is due to the change in scale.)

3 Impedance Transformers and Balanced-to-Unbalanced …

a

177

c

Ln

%

Z R1

Ln

R2 R1

60 40

Exponential line

0 0

0,5

cos2 line Expon. line

20

cos2 line 1,0 z/l

0

0,5

1,0

1,5

l/

b

(

Z R1 dz

d ln

) cos2 [ (z/l -0,5)]

0

0,5

1,0 z/l

Fig. 3.29 Comparison of the cosine-squared transmission line with the exponential line for the same transformation ratio t = 4. a Curve of the characteristic impedances along the line; b Curve of the reflection function; c Curve of the reflection coefficient over l/λ

The characteristic impedance Z(z) then

Z (z) =



 ⎞⎫

 ⎧ ⎛ ⎨ z ⎬ sin 2π ltotz − 21 R2 ⎝ ⎠ R1 R2 exp ln 1+ 2π z ⎩ ltot ⎭ R1 l

(3.94)

tot

For the reflection coefficient, we obtain the following according to Eq. (3.79): () R |1 | =

2

R1 R2 R1

* +& #& " sin 2πlλtot && π 1 R2 && 1 R2 −K t − ln e λ + ln & &   2 R1 & π 2 − 2πltot 2 2πlλtot & + 1 2 R1 λ (3.95) −1

In Fig. 3.29, the reflection coefficient is plotted versus frequency along with that of the exponential line. Here, the first maximum of the cosine-squared transmission line after the first zero is equal to 2.6% of the initial value for ω = 0 versus 21.7% for the exponential line. However, this favorable behavior comes at the cost of a greater total length for the transformer since the first zero does not occur until l = λ (vs. l = λ/2 for the exponential line).

3.1.5 Transformers in Microstrip Technology The trend towards miniaturization in microwave engineering has favored replacement where feasible of previously conventional transformers based on coaxial and

178

H. Maune

b

W

a /4

m

W1

m

m

/4

/4

W2

Fig. 3.30 Structures of λ/4-transformers based on microstrip technology a series connection of line sections b parallel connection of line sections

waveguide technology with planar waveguide technologies. Typical benefits of these devices include ease of integration, compact dimensions, low weight, dependability and the ability to realize even complex conductor structures in a cost-effective and reproducible manner. On the other hand, typical disadvantages are higher losses accompanied with lower power handling compared to coaxial and waveguide technology and limitation to planar conductor geometries. This section will discuss the properties of microstrips that are relevant especially when building transformers with these line components. See Sects. 4.7, 4.8 and 4.9 for a discussion of conventional design models for these devices, calculation of their static parameters (and especially the realizable characteristic impedances), wave propagation, dispersion, losses and connection at the line ends.

3.1.5.1

Transformers in Microstrip Technology

λ/4-Line Stepped-Impedance In Sect. 1.3.2, cascaded stepped-impedance λ/4-line sections are used to construct stepped-impedance transformers. Additional stubs are added in some cases. These are either connected in parallel and short-circuited at the end or connected in series and left open at the end. Series connection and parallel connection of line sections using microstrip technology does not pose any inherent difficulties. Figure 3.30 provides schematic depictions of the geometry of conductor structures of this sort. On the other hand, it is not possible to realize a series connection of line sections as is feasible, say, using coaxial technology (see Fig. 3.21c, d) due to the microstrip’s two-dimensional structure in this technology. The possibility to arrange two microstrips over or adjacent to one another over a base plate does not lead to a system consisting of two independent lines. Instead, a coupled three-conductor system arises having transfer properties that differ fundamentally from those of their

3 Impedance Transformers and Balanced-to-Unbalanced …

a

b

l 2 R1

~

Z1

~

4

a

l R1

R2

3

b

1

179

R2

V2 = V4 = 0

c

ZP1

ZP2

l

l

l

R1

üp1

Zt2

l

R2 üs:1

Fig. 3.32 Realization of a series stub using microstrip technology with coupled lines a implementation as a microstrip; b Equivalent circuit with uncoupled lines; c Transformation according to Kuroda (see Sect. 4.14.2)

a

b

Fig. 3.33 Cascade connection of a four-stage λ /4 transformer and an inhomogeneous line in microstrip environment

3 Impedance Transformers and Balanced-to-Unbalanced …

181

line are arranged in a cascade configuration. The spatial arrangement of the input and output in a component of this sort constructed using microstrip technology can be chosen within wide limits based on the shaping of the conductor. Some examples of applications of this technique are broadband matching of a coplanar line to a microstrip line on a superconductive substrate [4] and broadband impedance matching of a laser diode (3–10 ) to a 50 system [6].

3.2 Matching Between Balanced and Unbalanced Lines In the previous sections, we examined the processes that occur on lines without considering their structure and the influence of their environment. We will now consider three different types of lines: the single line over ground, the double line (line pair) over ground and the coaxial cable (Fig. 3.34). In the single line over ground, the operating state is clearly determined. The current flows in the conductor to the load while the ground carries the return current (I1 = −I0 ). The voltage V is between the conductor and ground. The characteristic impedance follows from the   as Z c = 1/υC10 . capacitance per unit length C10 In contrast, the state is ambiguous for the line pair shown in Fig. 3.34b. We can operate the line such that conductors 1 and 2 have opposing voltages versus ground (V1 = −V2 ) and conductor 2 carries the return current of conductor 1 (I2 = −I1 ∧ I0 = 0). This is known as the balanced operating state (differential or odd mode). The other possibility is for conductors 1 and 2 to have the same voltage over ground (V1 = V2 ) and the currents I2 = I1 flow in the same direction (common or even mode) while the return current flows through the ground I0 = −2I1 . This unbalanced operating state (Fig. 3.34c) basically does not differ from the operating mode illustrated in Fig. 3.34a. The characteristic impedance for the even-mode Z ce is Z ce =

1     υ C10 + C20

(3.96)

The balanced operating state (odd-mode) clearly has three prerequisites: The line pair must be fed by the generator in a balanced manner, the balance may not be

a

b 1

c

V 1

2

1

V=0

d

2

1 C12

C12 V

C10 0

V1 0

C10

C20

V2= –V1 0

V1

C10

C20

V2

2a 2b

C20

Fig. 3.34 Different line types over ground. a single line over ground, b balanced line pair over ground, c unbalanced line pair over ground and d coaxial cable over ground

182 Fig. 3.35 Load impedances for a line pair over a conductive plane

H. Maune

a

Z1

I1

Z2

3

1

Z30

V1

b

I2

0

2

I1

V2

V1

1

2

l2 Z10 0

Z20

V2 0

disrupted by the terminating impedance and the line pair must be constructed to be   = C20 . The characteristic impedance for balanced over ground. This means that C10 balanced mode is then obtained as follows: Z co =

1   υ C11 +

 C10 2



(3.97)

In the general case, the load impedance of a line pair over conductive ground represents a T or  topology (Fig. 3.35). According to the definition of balanced mode, we must have the currents I2 = −I1 and the voltages V1 = −V2 . This is the case only if point 3 is at ground potential V3 = 0, i.e. if Z 1 = Z 2 . Since no current will then flow via Z 30 . For the balanced wave we obtain the load impedance. Z lo = Z 1 + Z 2 = 2Z 1 .

(3.98)

Z 30 does not enter into Z l and can thus be of any arbitrary value in differential mode without disrupting the matching. In case of unbalanced operation, we have V1 = V2 . We obtain the load impedance for the common-mode wave for Z 1 = Z 2 as Z le =

Z1 + Z 30 2

(3.99)

By choosing a suitable value for Z 30 , we can ensure reflection-free termination for the common-mode wave. Analogously, in Fig. 3.2/2b we must have Z 30 > 100Z 1 not to disrupt the balance of this arrangement. Then, the terminating impedance for the differential-mode wave is Z lo =

2Z 10 Z 12 2Z 10 + Z 12

(3.100)

Z 10 2

(3.101)

and for the common-mode wave Z le =

3 Impedance Transformers and Balanced-to-Unbalanced …

a

183

b 5A

a

E 2A

1A

4A

5A

5A

+ 2A

3A

b 3A

Sheath current Ground current

4A 1A Differential Common mode mode

2A 2A Ground current

Ground

Fig. 3.36 Transition from a coaxial cable to a balanced line pair without balun

Figure 3.34d shows a cross-sectional view of a coaxial cable over ground. This three-conductor system is unbalanced to ground: Although the inner conductor 1 has a capacitance C12 versus the inner skin 2a of the cable shield, it has zero or only a negligible capacitance to ground. In other words, the partial capacitance C10 is missing compared to Fig. 3.34b. The characteristic impedance of the coaxial cable for f ≥10 kHz (see Sect. 2.1.4), is real and is equal to Z inner =

1  υC12

(3.102)

Analogous to Fig. 3.34a, the outer skin 2b of the cable shield forms an independent conductor system along with ground. Its characteristic impedance is Z outer =

1  υC20

(3.103)

In Fig. 3.36, a balanced line is connected directly to an unbalanced line over ground. The current on the inner conductor of, say, 5 A enters undisturbed into one wire of the line pair. In the coaxial cable, the current on the inner skin of the cable shield must be equal and opposite to the current on the inner conductor. However, at the junction from the coaxial cable to the line pair, a current branch forms. The current flowing on the inner skin of the cable shield consists of the current on the second wire of the line pair and the ground current. This is regardless of whether we locate the short-circuit between the cable shield and ground (directly at point b or, as shown in the figure, at a point E that is apart from the cable end). In our numerical example, it is assumed that the return current is distributed in the form of 3 A on the other wire and common-phase with 2 A on ground. Figure 3.36b shows that we can imagine this situation as the superimposition of a differential-mode current of 4 A with a common-mode current of 1 A. This distribution of the currents is dependent on the length of the line and the different terminating impedances which are encountered by the common-mode and differential-mode waves at the end of the line. Accordingly, the current and impedance relationships are undefined when we

184

H. Maune Z1'

Z2' 2a

1b ' Z30

R1

Z1

1a 2

1b

V1

Z1

Z2

2b

S 2b

Z2

V2

0

1b 2b

2

Z30

2b Zb

Z30

0

0

Fig. 3.37 Connection of a unbalanced and a balanced line

connect a balanced line to an unbalanced line as shown in Fig. 3.36. Accordingly, undesired reflections can occur even if the balanced line is properly terminated with its characteristic impedance for the differential-mode wave. If such a line is used to feed a balanced antenna, for example, the antenna’s radiation pattern can also be distorted by the radiation produced by the feeder line’s common-mode wave. For a more systematic approach, we investigate the circuits in Fig. 3.37. If we directly connect Pins 1a to 2a and 1b to 2b, the differential-mode current that flows into the balanced line at 2a does not flow out at Pin 2b with the same magnitude since part of it can flow via Z 30 and Z b0 . In order to eliminate this partial current, there are the following possibilities: 1.

2.

3.

4.

We can eliminate the direct connection between terminal pair 1 and terminal pair 2 and connect a balun as transformer (Fig. 3.38a). Then, Z b0 can be of low-impedance or even be short-circuit between cable shield and ground. Even if it were possible to keep Z 30 > 100Z 1 or Z 30 > 100Z 2 (unfeasible in a larger frequency range), the shunt current via Z 30 would be negligible but we would not necessarily have V2 = −V1 which is the condition for differential mode. In order to fulfill this condition, Z b0 must be as large as possible (e.g. |Z b0 | > 10 k ). This is possible on a frequency-selective basis using sleeve baluns (Fig. 3.38b). It is better to include a circuit to form a balanced bridge. For Z 1 = Z 2 the balancing unit (balun) must add an impedance Z a0 as an image of Z b0 on the inner conductor of the coaxial cable at 1a (Fig. 3.38c). In Fig. 3.38d, an interesting possibility involves connecting terminal 1a directly to 2a and moreover connecting 2b via an inner λ/2 bypass line to 1a (see Figs. 3.2/20). Then, the current in the coaxial cable is twice as large as the current at the start of the balanced line. Moreover, the voltage between 1a and 1b is half as large as the voltage between 2a and 2b such that a 1:4 transformation (R = 4Z) is associated with this balancing mechanism. For example, this transformation offers a practical way to simultaneously match balanced lines with a characteristic impedance of 240 to a coaxial cable with Z = 60 .

3 Impedance Transformers and Balanced-to-Unbalanced …

a

185 R = 4Z

d 1a

2a

2a

2b U

U

I

II

2Z l

l 1b

2b

I/2 1a

b

I/2

Zsleve

Isleve

1b

Z

I

2Z

U

Isleve

Z

2b

1a

R-Z 1b

2a

Vsleve

S

/2

Vsleve /4

c

/4

/4

Z1

Z2 = Z1

1a 1b

2a

2b

Symmetric line

Fig. 3.38 Balun circuits a transformer b sleeve balun, c balanced bridge d λ/2 bypass

3.2.1 Balancing Transformer If we wish to connect from an unbalanced line such as a coaxial cable to a balanced line (or vice versa), the preceding discussion states that we must insert an intermediate element to prevent a common-mode wave from arising. In the long-, medium- and shortwave ranges where the geometrical dimensions are small with respect to wavelength, we can use the classic winding transformer shown in Fig. 3.39a. Without a static shield between the primary and secondary coils, parasitic capacitances between the windings must be considered. Even if the capacitances between 1a–2a and 1b–2b are identical, the capacitive currents will have different magnitudes due to different voltages. If we provide an electrostatic shield connected to ground between the two windings, the same voltage will be present on the ground capacitances of the

186

H. Maune

a

b 1a

2a

1a

2a

1b

2b

1b

2b

c

d 1a

I2

2a

A

2a 1a

I1

I1

M

1b 1b

B

I1–I2 I2

2b

2b

Fig. 3.39 Balancing transformer a standard transformer, b standard transformer with static shield, c auto-transformer with unbalance connection d auto-transformer with unbalance connection

balanced winding (Fig. 3.39b). The windings are thus loaded in the same manner by the parasitic capacitances to ground so the balance is retained. Instead of a transformer with two separate windings, an auto-transformer is commonly used at higher frequencies. An arrangement that is suitable for providing balance in air coils is shown in Fig. 3.39d. The shield of the coaxial cable is connected at point M which is at ground potential from the perspective of the balanced side. The inner conductor is fed in a coaxial manner within on half of the coil tube, comes out in an isolated manner after n windings (point A) and is connected to point B which is the mirror image via the center M of A.

3.2.2 Baluns Consisting of Line Elements 3.2.2.1

Sleeve Baluns

As seen in Fig. 3.36, the differential-mode wave arises at a transition from a coaxial cable to a line pair due to the current branch at point b. We can force balance by making the current flowing on the outer skin of the cable shield at point b equal to zero. The line formed by the outer skin of the cable shield and ground with characteristic impedance Z a is short-circuited at its end at point E. The input impedance of this line is Z 1 = jZ 2 tan

2πl λ

(3.104)

3 Impedance Transformers and Balanced-to-Unbalanced …

a

187

b

m

/4

m

/4

Zsleve

Isleve

Isleve

Z

2b

1a

R=Z 1b

Usleve

2a S

Usleve /4

mast / feedline

Fig. 3.40 Sleeve balun a Theory, b technical realization at a dipole antenna

if we neglect the losses. The current disappears if the input impedance of this stub line exhibits very large values, i.e. for a λ/4-long short-circuit stub line”). In the technical realization, we do not connect the coaxial cable at a distance λ/4 from the end to ground; instead, we wrap the end of the cable with a tube of length λ/4. This tube is connected to the shield the far-end end and forms a short-circuited λ/4 line (“sleeve balun”). Such an arrangement is sketched in Fig. 3.40a together with the current and voltage behavior. If the operating frequency is not equal to the resonant frequency of the sleeve balun, the impedance drops off between 2a and S and a sheath current flows via the outer skin of the balun and the adjacent cable shield. The balance is disrupted in this manner. Accordingly, the sleeve balun is useful only in a relatively narrow band around λ/4 (narrowband balancing). Figure 3.2/7 shows an example with a clever design. There is an open dipole antenna with the lower half of the dipole formed simultaneously with the sleeve balun formed by the coaxial feed cable within the stand pipe and a folded end of the stand pipe.

3.2.2.2

Collinear Baluns and Balancing Loops

Another way of providing balance involves loading the inner conductor additionally with an impedance that is just as large as that resulting on the outer conductor due to the sheath current. Such an arrangement is known as a collinear balun and is sketched in Fig. 3.41. The left side contains the feeder coaxial cable on which a sheath current forms. The inner conductor is connected to the image arranged on the right side. If

188

H. Maune

a

b /4

l< /4

/4

Z1

Z2 = Z1 1a

1a 1b

2a

2b

1b

2a

2b

Symmetric line

Symmetric line

Fig. 3.41 Collinear baluns a with symmetric image load and b with additional capacitive loading

the characteristic impedances Z 1 = Z 2 as well as the lengths of the short-circuited lines are equal, the desired balance is obtained and is independent of frequency. The input impedance of the line pair at the connecting point 2a–2b has a reactance in parallel of magnitude X |2a−2b = 2Z 1 tan(2πl/λ). For l = λ/4, its influence disappears. As the frequency diverges from the resonant frequency, it causes a mismatch which limits the usable bandwidth. The total length can be reduced by providing capacitive loading as shown in Fig. 3.41b. In both examples shown in the figure, the fields are fully shielded by the housing with respect to the environment which also prevents radiation by the λ/4 resonators. In principle, this shielding is not necessary for the balance. While in this case we have considered the image opposite to the cable end, in Fig. 3.42 it has been turned by 180°, arranged parallel to the cable and connected at point E to the cable shield. This arrangement is known as a “balancing loop”. Here again, the balance is independent of frequency. We can also imagine how the balancing loop works in another way: If we reduce the number of windings in Fig. 3.39d until there is only a single winding left for the secondary and primary side, we obtain Fig. 3.43. This circuit is known as “EMI loop” developed by “Electrical & Musical Ind. Ltd.”.

VUsymm inner conductor

outer conductor

a

E image line

b

symmetric line

Vsymm l = /4

Fig. 3.42 Collinear baluns with image line parallel to unbalanced coaxial cable (balancing loop)

3 Impedance Transformers and Balanced-to-Unbalanced …

189 l = /4

Fig. 3.43 EMI loop Z Z

M 2a

1a

R=Z

2b 1b

b

a Z 1a

2a 1b

R=Z 2b movable short /4

Fig. 3.44 a Balancing loop with adjustable short-circuit and b Balancing loop as magnetic dipole antenna

The load impedance of the coaxial cable is the parallel connection of the input impedance of the balanced line pair and the short-circuited line which forms the balancing loop. If we assume the line pair is terminated in reflection-free manner, we obtain a real terminating impedance for the coaxial cable if the length of the balancing loop is equal to λ/4 at the operating frequency. If the frequency needs to be changed more often, a sliding short-circuit bridge as shown in Fig. 3.44a can be used in place of the fixed short-circuit. Figure 3.44b shows an interesting application of the balancing loop. This is a magnetic dipole antenna. Here, the folded dipole itself forms the balun. In the “balancing half-shell” in Fig. 3.45, the outer sheath of concentric line 1 is enclosed by a half-shell 2 of length l = λ/4 which is connected by a segment 3 to the inner conductor and a segment 4 to the outer conductor of the concentric line. This arrangement is especially practical in cases where a small Z p is needed to compensate reactance components of λ/4 transformers (Sect. 3.1.3.3).

3.2.2.3

Split Tube Baluns

The split tube balun shown in Fig. 3.46 represents a special type of balancing arrangement. The image of the cable shield for the conductor that is connected to the inner

190

H. Maune

1

3

1

2

4

2 l = /4

Fig. 3.45 Balancing half-shell C12

C13 A

1 2

3

C23 3

2

2

R2 3

1

1

B

V1

A–B

V3

V2

l– /4

Fig. 3.46 Split tube balun a cross section alon line b cross section and c cross section with capacitances

conductor of the coaxial cable is not arranged next to the cable sheath; instead, it is part of the cable shield itself. From a concentric coaxial line, the outer shield is cut open at a length l = λ/4 to form two symmetrical half-shells. The inner conductor is connected at the end with one half-shell. The split tube balun differs from the balancing loop in that the current and voltage on the inner conductor are also coupled with the half-shells. It represents a three-conductor system (or a four-conductor system over a conductive plane). The transformation properties can be characterized as follows: At the end of the split tube balun, the load resistance R2 is in parallel to a reactance X 2 = Z s tan ßl. The latter arises due to the line which the two half-shells form. Z co is the characteristic impedance of the two half-shells and l is the length of the slot. Z co can be calculated from the partial capacitances shown in Fig. 3.46c: Z co =

1  υ C12 +

For the parallel circuit, we obtain

C13 2

=

1  υ C12 +

C23 2



(3.105)

3 Impedance Transformers and Balanced-to-Unbalanced …

Z2 =

jR2 Z co tan{βl} R2 + jZ co tan{βl}

191

(3.106)

It now turns out that for a continuous inner conductor with an unchanged diameter d, R2 = 4Z 0 must be connected as the load resistance so that for l = λ/4, Z 0 appears as the input impedance at the input to the split tube balun at z = 0 and thus matching to the characteristic impedance Z c of the unsplit coaxial line is possible. For a continuous inner conductor, we have Z ce = Z c . At the input according to Eq. (3.106), we then have Z 2 /4. For l = λ/4, the input impedance of the slotline with characteristic impedance Z s which is short-circuited √ at z = 0 is high that we have Z 2 /4 ≡ R2 /4. In other words, we must choose Z ce = Z 0 R2 /4. The magnitude of Z ce follows from the equation for the common-mode wave that propagates between the inner conductor and the two parallel half-shells as shown in Fig. 3.46c: Z ce

1 1 1 60 Dt = = = = √ ln υ(C13 + C23 ) 2υC13 2υC23 εr dt

(3.107)

Here, d t and Dt are the inner diameter and outer diameter within the slotted transformation path, respectively.

3.2.2.4

λ/2 Bypass Line

Balanced operation of a line pair requires the currents as well as the voltages on both lines to be out of phase with respect to one another. We can produce an outof-phase current and an out-of-phase voltage very easily using a line of length l = λ/2. We connect one conductor of the line pair directly to the inner conductor of the coaxial cable and the second conductor via a λ/2 bypass line to the inner conductor (Fig. 3.47a). Since from the perspective of the incoming feeder cable with characteristic impedance Z c the two lines I and II appear to be in parallel, each of them must have the characteristic impedance 2Z c for reflection-free connection to the feeder cable. From the perspective of the terminating resistance R, the characteristic impedances of lines I and II appear to be in series. Thus, for reflection-free termination we must have R = 2•2Z = 4Z. Along with the balancing effect, the λ/2 bypass line also produces a 1:4 transformation. Mismatches do not change the balance since the path difference is always λ/2. The balance condition that one path must always be longer than the other by λ/2 limits the bandwidth of this arrangement. If we wish to use a λ/2 bypass line in different frequency ranges, we can employ a trombone-type design or a design like the “phase transformer”, shown in Fig. 3.47b, where the connection point and hence the length difference can be adjusted.

192

H. Maune R = 4Z 2a

2b

R = 4Z 2a

2b V

V II

I

2Z 2Z l I I/2

1a

I/2 1b

Z I

2Z

V

/2

Z 1b

/4

1a

/2

/2

Fig. 3.47 a λ/2 bypass line balun and b adjustable phase transformer

3.2.3 Broadband Line Transformers for Transformation and Balancing Made of Lines and Ferrite Components We will now take another look at the junction between a coaxial cable and a balanced line pair. According to the discussion there, the desired pure differential-mode operation on the balanced line pair can be achieved using measures on the coaxial cable as well as on the balanced line pair. Besides the possibilities considered in previous sections, such balance can also be achieved using ferrite components. If we enclose the cable sheath with a material having the best possible magnetic properties as shown schematically in Fig. 3.48a, this increase in inductance will boost the value of |Z b0 | as desired and thus reduce the cable sheath current. If we enclose the line pair with a ferrite core of this sort or wind the line on a bar or ferrite ring as shown schematically in Fig. 3.48b, c, then the differential-mode current

3 Impedance Transformers and Balanced-to-Unbalanced …

a

193

Ferrite tube Ri

Z1

Z30

Z1

Coaxial cable ZL

b

c Ferrite core Rl

Z1

l1 l2

Serrite ring core Ri

Z1

Z30

Z1 Symmetric (double) line ZL

Z30 l0

l0

Z1 Symmetric (double) line ZL

Fig. 3.48 Balancing with ferrite components a suppression of the sheath current on the coaxial cable and b/c suppression of the common-mode current on the balanced line pair

will be practically unaffected while the common-mode current will be subject to a large inductive impedance in comparison to the characteristic impedance, thereby reducing this undesired current. The different impact on the differential-mode and common-mode currents by the magnetic material occurs for the following reasons: 1.

2.

For currents that are equal but opposite (I 1 = –I 2 , differential mode), no magnetic flux is developed in the magnetic material (I 0 = 0, Fig. 3.48b) and the mutual inductance between two successive windings (Fig. 3.48c) is negligible since the magnetic field is concentrated primarily in the region between the two conductors, For currents flowing in the same direction (I 1 = I 2 , common mode), magnetic flux is developed in the magnetic material causing an increase in the inductance such that the common magnetic field induces voltages in the adjacent windings, resulting in increase of the mutual inductance.

Besides balancing effects, these line transformers also allow transformation. Since the current via the common ground connection can be suppressed in the circuits shown in Fig. 3.48, it is possible to build transformers with these circuits as the basic element. The simplest arrangement (a 1:1 transformer with polarity reversal) is shown for a balanced line pair in Fig. 3.49. It is now possible to realize transformers with a conversion ratio |c| = 1 by connecting several of these basic elements to the inputs in series and to the outputs

a

b R1

R1 V1

V2

R2 = R 1

V1

V2

R2 = R1

ZL ZL

Fig. 3.49 Examples of 1:1-transformers with polarity reversal; for matching we have Z L = R1 = R2 a Transformer with ferrite core; b Transformer with ferrite ring

194

H. Maune

in parallel (|c| > 1, t < 1) or to the inputs in parallel and to the outputs in series (|c| < 1, t > 1). Examples for a transformer with c = 2 (t = 1/4) are shown in Fig. 3.50. Using the circuit, a transformation is realized along with balancing of the junction. Transformers built using this principle exhibit an integral transformation ratio. If we extend this principle such that series circuits as well as parallel circuits consisting of these basic elements are allowed at the input and output, then it is also possible to realize transformation ratios with fractional values [7, 8]. Based on a simple example, we would now like to investigate the limit frequencies of baluns and transformers made of line sections and ferrite components. We will somewhat rearrange the circuit in Fig. 3.49a for this purpose. As was mentioned above, the differential-mode current through the ferrite core is practically unaffected while the common-mode current that flows via the common ground connection is subject to an inductive impedance. We thus obtain the equivalent circuit shown in Fig. 3.51. In terms of the lower limit frequency, the line transformer is no different from a normal winding transformer, as we can see by comparing Fig. 3.51 with Fig. 3.4. We have the following for the lower limit frequency: ωmin L C =

a

(3.13)

b

ZL

R1

R1 R1 → f min = 2 4π L C

R2 = R1/4 ZLgg

ZLu

ZL

ZL

Fig. 3.50 Line transformer with c = 2 (t = 1/4). a Operated unbalanced to ground on both sides, Z L = R1 /2 = 2R2 ; b With transition from balanced to unbalanced line, Z L = Z Lgg /2 = 2Z Lu

R1 V1

V1

R1

Lc

Fig. 3.51 Equivalent circuit for the transformer in 3.49a at low frequencies; L C = Inductance of short-circuit loop via the common ground connection

3 Impedance Transformers and Balanced-to-Unbalanced …

195

However, there is a significant difference in the upper limit frequency. The leakage inductances present in the winding transformer do not occur at all in the coaxial line transformer shown in Fig. 3.48a. Moreover, in the line transformer shown in Fig. 3.48b, c, they can be made smaller by orders of magnitude compared to the winding transformer by using a spatially compact arrangement of the two conductors. The winding capacitances which also influence the upper limit frequency for the winding transformer enter only into the line’s characteristic impedance for the line transformer and have no direct influence on the upper limit frequency. In fact, the upper limit frequency of the line transformer is determined essentially by the wave propagation on the line, i.e. by the upper limit frequency of the line itself as well as by any reflections that occur. In the interest of the most broadband transmission possible, usage of a suitable line is critical along with the following points: 1. 2. 3.

The characteristic impedance Z L of the line that is used must be matched to the generator’s internal impedance and the load impedance When using multiple line sections as shown, say, in Fig. 3.50, the propagation times must be precisely equal. The discontinuities that are unavoidable when connecting multiple lines may only exhibit slight deviations from the calculated characteristic impedance behavior.

In actual practice, this approach can be used to create matching and balancing circuits over a very wide frequency range. An individual component can exhibit a bandwidth of several hundred MHz. Values can be realized for the lower limit frequency of approx. 1 kHz and for the upper limit frequency of approx. 10 GHz. Such components are used, for example, when connecting antennas to feed lines, in broadband amplifier technology, pulsed technologies such as radar and especially in components needed to meet miniaturization requirements in microwave engineering. Numerous examples of these components as well as technical realizations, theoretical discussions and experimental measurement results can be found in [7, 9].

References 1. Richards, P.I.: Resistor-transmission-line circuits. Proc. IRE 36(2), 217–220 (1948). https://doi. org/10.1109/JRPROC.1948.233274 2. Collin, R.E.: Theory and design of wide-band multisection quarter-wave transformers. Proc. IRE 43(2), 179–185 (1955). https://doi.org/10.1109/JRPROC.1955.278076 3. Riblet, H.J.: General synthesis of quarter-wave impedance transformers. IRE Trans. Microw. Theor. Tech. 5(1), 36–43 (1957). https://doi.org/10.1109/TMTT.1957.1125088 4. Bolinder, F.: Fourier transforms in the theory of inhomogeneous transmission lines. Proc. Inst. Radio Eng. 38(11), 1354–1354 (1950) 5. Klopfenstein, R.W.: A transmission line taper of improved design. Proc. IRE 44(1), 31–35 (1956). https://doi.org/10.1109/JRPROC.1956.274847 6. Carvalho, M.C.R., Margulis, W.: Transmission line transformer. Electron. Lett. 27(2), 138–139 (1991). https://doi.org/10.1049/el:19910090

196

H. Maune

7. MacDonald, M.: Design broad-band passive components with ferrites. Microw. RF 32(10), 81–000 (1993) 8. Myer, D.: Synthesis of equal delay transmission line transformer networks. Microw. J. 35(3), 106–111 (1992) 9. Ruthroff, C.L.: Some broad-band transformers. Proc. IRE 47(8), 1337–1342 (1959). https://doi. org/10.1109/JRPROC.1959.287200

Chapter 4

Properties of Coaxial Cables and Transmission Lines, Directional Couplers and RF Filters Matthias Rudolph

Abstract This chapter addresses properties of technically important RF and microstrip lines, such as coaxial, microstrip, coplanar waveguide and striplines. It is discussed in detail, how the geometrical dimensions and material properties translate into line parameters as characteristic impedance or propagation constants, and the respective formulas are given. The second part of the chapter is devoted to passive microwave circuits that can be realized on the basis of lines: couplers, dividers, and line-based filters. Special emphasis is laid on the comprehensive treatment of surface-acoustic wave filters in the final section of the chapter.

4.1 Properties of Coaxial Cables and Transmission Lines This section addresses properties and dimensions of coaxial cables and transmission lines. Coaxial cables are the most common types of transmission lines for flexible connections over longer distances, while microstrip lines are typically used on circuit boards and in integrated circuits. At highest frequencies and at highest powers, when line losses need to be at the absolute minimum achievable, rectangular waveguides offer significant advantages. The discussion of transmission line properties requires the analysis of the electromagnetic fields of the propagating waves. This is rather straight forward in case of coaxial cables which will be discussed in detail. The field vectors, as we will see, are oriented orthogonaly to each other and to the direction of propagation. These socalled TEM (transversal electromagnetic) waves are non-dispersive, which means that line impedance is constant over frequency, and phase and group velocity are constant and equal to the velocity of light, depending on the dielectric. On striplines, in contrast, propagating waves are only approximately of the TEM type. They do have a field component parallel to the propagation direction, which is not very strong. These waves are often called quasi-TEM waves, which means M. Rudolph (B) Brandenburg University of Technology, Cottbus, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_4

197

198

M. Rudolph

that although properties change over frequency, there is a broad range of frequencies where it is safe to ignore the dispersion in practical circuit design. Rectangular waveguides and free-space waves require more involved reasoning based on Maxwell’s equations, which requires a chapter on it’s own: Chap. 5. Some properties of traveling waves that we use in the analysis of coaxial lines and striplines, such as the wave impedance, will be properly derived in Chap. 5.

4.1.1 Concept of the Wave Impedance Analogous to the familiar concept of the characteristic impedance of a line from transmission line theory, it is found that the ratio of the electric and magnetic fields of an electromagnetic wave can be understood as the “wave impedance”. For a pure traveling wave, the wave impedance1 Z F is the ratio of the transverse field components E and H: ZF =

E . H

On a transmission line, or in free space when observing wave propagation in one spacial direction, the same value of ZF is obtained for the forward wave with transverse components E p and H p and for the reflected wave with E r and H r . ZF =

Ep Er =− . Hp Hr

The wave impedance for a transverse electromagnetic (TEM) wave (see Chap. 5) is determined solely by the material constants of the medium and (to a small extent) of the conductors and is thus spatially-independent in the case of a homogeneous medium. The ratio E p /H p has a constant value at high frequencies: Ep = ZF = Hp



μ0 μr = Z F0 · 0 r



μr . r

(4.1)

Here, we have  Z F0 =

μ0 = 120 π  = 377  0

(4.2)

which is the wave impedance of free space (vacuum). The wave impedance of air is very close to Z 0 since μr = 1 and εr ≈ 1.0006. For lines and cables, we often have μr = 1 but εr > 1 and thus 1

In general, Z F is complex. However, the imaginary part can be neglected as an approximation for low-loss lines.

4 Properties of Coaxial Cables and Transmission Lines …

199

Z F0 Z F = √ < 377 . r For a given current and voltage definition, the characteristic impedance of a line which is determined based on the voltage and current differs from the wave impedance only by a numerical factor that is dependent on the geometry of the line’s cross section.

4.1.2 Characteristic Impedance of a Line and Capacitance Per Unit Length  √  Given v = 1/ L  C  and Z 0 = CL  (see Chap. 2), we obtain a direct relationship between the line characteristic impedance Z 0 and the capacitance per unit length C  :  Z0 · v = Or, given the phase velocity ν =

ω β

L 1 1 ·√ =  C C L C  =

Z0 = For c =

√1 μ0 ε0

√c εr

(4.3)

, we have

√ r 1 · . C c

(4.4)

≈ 3 · 1010 cm/s, we obtain √ r 1 s · Z0 =  · 10 cm C 3 · 10

and since s/ = 1 F (or 1/1012 s/ = 1 pF), we obtain the adapted quantity equation √ pF/cm Z 0 = 33.3  r · C

(4.5)

In other words, determination of the characteristic impedance Z 0 of a line does not require insight into the inductance per unit length L  and capacitance per unit length C  . Instead, it suffices to determine only C  , e.g. by performing a capacitance measurement on a section of the line that is open at the end. Z 0 and C  are then inversely proportional according to Eq. (4.5).

200

M. Rudolph

4.1.3 Characteristic Impedance of a Line and Inductance Per Unit Length Analogous to our the previous section, we obtain the following by √ observations in √ dividing Z 0 = L  /C  by ν = 1/ L  C  : Z0 = L v

(4.6)

Given that c v = √ , c ≈ 3 · 1010 cm/s and 1 s = 1 H r we thus obtain Z0 L 30 cm L = √ · 3 · 1010 =√ ·  r s r nH/cm

(4.7)

The characteristic impedance and inductance per unit length L  are thus proportional. Equations (4.5) and (4.7) are both valid for any arbitrary dimensions of a cable or line pair. The inductance per unit length L  can be determined by measuring the inductance of a section of the cable with the end short-circuited at a measurement frequency at which the wavelength is greater than the length of the cable section by a factor of at least 30 (l ≤ λ/30).

4.1.4 Power Transfer and Power Density The power P that is transferred in a cable is equal to the following in case of matching (Z 0 = Z L ): 2 V  = I 2 Z0. P=V I = Z0

(4.8)

 and  Here, V I are rms values.  This transferred power must be strictly distinguished from the power dissipation I 2 R  dz that arises in the conductors and the thermal  2 loss V G  dz produced in the dielectric. In the space permeated by the field, we have ¨ ¨ P= SdA = S Z dbda. (4.9)

4 Properties of Coaxial Cables and Transmission Lines …

201

· H  is the temporal average of the power density (temporal average Here, Sz = E of the Poynting vector S) and dA = dbda is the surface permeated vertically by Sz .  is the effective value of the electric transverse field strength and H  is the E effective value of the magnetic transverse field strength. Since  da = d U  and H  db = d  E I We thus obtain ¨ P=

H  db da = E

¨

 dV I,

i.e.  P=V I

(4.10)

4.1.5 Voltage Loading, Line Attenuation and Heat Limitation in High Power Cables In the presence of electromagnetic fields, the highest load on the dielectric occurs there where the electric field strength exhibits a maximum. In a coaxial cable, this is the case on the surface of the inner conductor. In other words, if we apply voltage V to a cable with capacitance per unit length C  , the linear charge density Q = C  V will arise on the inner and outer conductors. Based on the requirement that the dielectric flux  that passes through a potential surface must be equal to the charge that  d A = Q), we obtain the following for the coaxial cable: it encloses ( D ε0 εr E2πr z = Q  z

(4.11)

and E=

CV Q 1 1 · = · 2π 0 r r 2π 0 r r

(4.12)

If the inner conductor has diameter d, then the maximum field strength E max =

2V CV 1 · = π 0 r d d ln D/d

(4.13)

will occur on its surface. Since this field strength E max may not exceed a specified maximum value taking into account the electric strength of the dielectric material, the

202

M. Rudolph

permissible voltage V max is limited for a given cable according to Eq. (4.13). Thus, assuming a voltage that exhibits sinusoidal behavior versus time, the maximum power the cable can transport is given by Pmax =

2 Vmax , 2Z 0

(4.14)

if the cable is terminated into its characteristic impedance. In actual practice, such power can be transported only if the heat loss that occurs does not thermally overload the cable. o into a line with attenuation coefficient α at its If we feed the power P0 =  Io · V start (z = 0), the power 0 e−αz = P0 e−2αz P(z) =  I0 e−αz V

(4.15)

will pass through the line cross-section at position z. The power loss pL per unit of length that must be transferred to the environment in the form of heat is then pv = −

dP = 2α P0 e−2αz = 2α P. dz

(4.16)

For a low-loss line, the attenuation coefficient α of a line is α≈

1 1 R + G  Z 0 = α R + αG Z 0 ≈ (Z 0 ) 2 Z0 2

(4.17) 

as we can conclude from Eqs. (2.22) and (2.28). The component α R = 21 ZR0 is associated with the current attenuation (resistance attenuation) and the component αG = 21 G  Z 0 is associated with the voltage attenuation (conductance attenuation). For the latter, we obtain in conjunction with Eq. (4.4) and the relationship for the loss angle δ G of the dielectric tan δG =

G ωC 

the following relationship: √ r 1 1  1 G Z 0 = ωC  tan δG  · 2 2 C c √ r αG = π f tan δG . c Substituting the speed of light c by its value, we obtain

(4.18) (4.19)

4 Properties of Coaxial Cables and Transmission Lines …

αG ≈ 1.05 f



εr tan δG

203

10−2 m MHz

(4.20)

Although tanδ G is generally dependent on frequency, it is nearly constant in the frequency range of interest for most cable insulator materials used in engineering applications.  The component associated with the resistance attenuation α R = 21 ZR0 is determined by the resistance of the forward and return conductors. If the skin effect occurs on both conductors, the current will flow only on the surface of the conductor that is facing the field; the effective conductor cross-section is equal to the circumference times the skin depth δ. For example, if a coaxial cable has an outer conductor with inner diameter D and inner conductor with diameter d and both have resistivity ρ, then the total resistance per unit length is R = 



1 1 + π dδ π Dδ

 = R

1 π



 1 1 . + d D

(4.21)

R is the surface resistivity. At high frequencies, it is equal to ρ = πρμf δ   1 1 1 1 + αR = · · πρμf . 2Z 0 π d D R =

(4.22) (4.23)

δ G must From Eq. (4.19), we can see that α G ~ f . The prerequisite here is that tan√ be independent of frequency. According to Eq. (4.23), we then have α R ~ f . If a suitable dielectric is used, we can neglect α G with respect to α R . The specific power loss pL is then equal to pv ≈ 2α R P ∼



fP

√ [cf. Eq. (4.16)]. For pL to not exceed a certain maximum value, the product P f must be held constant. The power that can be transported thus falls off with the root of the frequency (Fig. 4.1). While it is limited by the voltage at low frequencies, the limitation at higher frequencies is tied to the maximum permissible heating. The maximum heat output that can be dissipated depends on the diameter of the cable and the thermal conductivity of its insulation. A typical value for the power dissipation for the example of an excess temperature on the inner conductor of 40 °C is about 20–100 W/m.

204

M. Rudolph

voltage limit

thermal limit

Fig. 4.1 Maximum power transfer for a cable as a function of frequency (schematic). The corner frequency happens to lie at 1 MHz

4.1.6 Optimal Coaxial Cables If we have a coaxial cable and wish to increase its electric strength, decrease its attenuation or increase the power it can transfer, we could simply increase the crosssection. For reasons of economy, however, we generally attempt to obtain the best possible properties for the given outer diameter. The diameter of coaxial cables is also limited to a fraction of the wavelength, which is a severe restriction in the mmwave range and beyond. For a given dielectric, our only choice is therefore to choose a suitable value for the inner conductor diameter.

4.1.6.1

Characteristic Impedance of a Coaxial Cable

In order to proceed in this manner, we will first compute the characteristic impedance Z 0 of a coaxial cable having an outer conductor with inside diameter D and an inner conductor with diameter d (Fig. 4.2). We can obtain the capacitance per unit length C  from Eq. (4.12) by computing the cable voltage V from E = E r .

D/2 V = d/2

Q Er dr = 2π 0 r C =

D/2 d/2

Q dr D = · ln r 2π 0 r d

2π 0 r Q = V ln Dd

(4.24)

4 Properties of Coaxial Cables and Transmission Lines …

205

Fig. 4.2 Cross-sectional dimensions of a coaxial cable

Applying Eq. (4.4) with c = coaxial cable:

√1 μ0 ε0

, we obtain the characteristic impedance of a

 Z0 =  Since

μ0 0

ln Dd μ0 · √ 0 2π r

(4.25)

= 120 π  , we obtain 60  D Z 0 = √ ln r d

(4.26)

Figure 4.3 shows Z 0 as a function of D/d.

4.1.6.2

Cables with Minimum Attenuation

For transporting signals over long distances, we prefer cables with the smallest possible attenuation. We are thus interested in the value of the characteristic

206

M. Rudolph

Fig. 4.3 Characteristic impedance and attenuation α R of a coaxial cable as a function of the diameter ratio D/d. In the marked tolerance zone, a single line represents a deviation of 1% from the optimum value

impedance of the line Z 0 for which the attenuation coefficient α = α R + α G is minimized for a given inside diameter D of the outer conductor.2 From Eq. (4.19), we can see that α G is independent of the line cross-section and is thus a constant for the  purpose of the following observations. All that remains to investigate is α R = 21 ZR0 . Using Eqs. (4.21) and (4.26), we obtain αR =

√ R εr 1 + Dd π D120  ln Dd

substituting the field impedance ZF :

2

If the ratio D/d is constant, then the resistance attenuation decreases proportional to 1/D. However, since the cost of the cable increases roughly proportional to D, we must minimize D.

4 Properties of Coaxial Cables and Transmission Lines …

√ R r 1 + Dd αR = · ZF D ln Dd

207

 with Z F =

μ0 0

(4.27)

Figure 4.3 shows the function def

fα = α R

1 + Dd ZF D √ = R εr ln Dd

versus D/d. If we define x = D/d as a single variable, then fα = (1 + x)/ln x = u(x)/v(x) exhibits a minimum if d/dx(u/v) = 0 or u/v = u (x)/v (x) or 1 + x(α) 1 = 1 ln x(α) x

(α)

As a solution to this transcendental equation, we obtain  x(α) =

D d

 (α)



D = 3.6 or ln d

 (α)

= 1.28

such that the cable with minimal attenuation has the characteristic impedance 77  Z 0(α) = √ . r

(4.28)

If the outer and inner conductors are made of different materials (e.g. outer conductor = aluminum, inner conductor = copper), then the surface resistivity values are different. This is true also if the outer conductor is made of copper braiding instead of copper tubing. In this case, the optimal dimensions will change. In the latter case, for example, we obtain the attenuation-optimized characteristic impedance as follows: 95  Z 0(α) ≈ √ . r

4.1.6.3

Cables with Maximum Electric Strength

Section 4.1.5 already mentioned the voltage loading of a cable. Now we will investigate the characteristic impedance a cable must have in order to minimize the field strength for a given inside diameter D of the outer conductor and a given operating voltage V on the inner conductor. According to Eq. (4.13), the field strength on the inner conductor is

208

M. Rudolph

E max =

CV 1 · . π 0 r d

Replacing C here according to Eq. (4.24), we obtain E max =

2V Dd def 2V = fE . D ln Dd D

(4.29)

If we again compute the extreme values as in Sect. 4.1.6.2, we obtain the following for minimal field strength on the inner conductor: 

D ln d



 (E)

= 1 or

D d

 (E)

= 2.718, respectively

Thus, according to Eq. (4.26) we have 60  Z 0(E) = √ . r

(4.30)

Figure 4.4 shows the function

2

·D ·

Fig. 4.4 Field strength on the inner conductor and possible power transfer for a coaxial cable. In the marked tolerance zone, a single line represents a deviation of 1% from the optimum value

4 Properties of Coaxial Cables and Transmission Lines …

fE =

D d ln Dd

=

209

D E max 2V

versus D/d.

4.1.6.4

Cables with Optimal Power Transfer

Now we will determine the characteristic impedance for a cable in which the possible power transfer P is maximized for a given inside diameter D of the outer conductor and a given field strength E max on the inner conductor. Here, the cable must be terminated into its characteristic impedance and the voltage v must exhibit the temporal function v = V sin ωt. The transferred power is then P=

V2 . 2Z 0

(4.31)

If the dielectric may be loaded maximally with field strength E max , then the maximum permissible cable voltage according to Eq. (4.29) is Vmax =

E max D ln Dd · D 2 d

(4.32)

In combination with Eq. (4.26), we obtain √ 2 2 E max D 2 r ln Dd Vmax P= · 2 . = 2Z 0 240  2 Dd

(4.33)

Performing the extreme value calculation described in Sect. 4.1.6.2, we find the cable with the best power transfer as follows:  ln

D d

 = P

1 or 2



D d

 =

√ e = 1.65, respectively

P

Thus, the characteristic impedance of the cable with the best power transfer is 30  Z 0(P) = √ . r

(4.34)

Figure 4.4 plots the function f P = 100P ·

ln Dd 240  = 100 √ 2 . 2 D2  E max r 2 D d

versus D/d.

(4.35)

210

M. Rudolph

Table 4.1 Optimal cables and lines Coaxial cable

Line pair Open

Shielded

d d D D

d D

Da √



D/d

Z 0 · εr 

D/d

Z 0 · εr 

D/d

D/Da

√ Z 0 · εr 

Minimum attenuation

3.6

77

2.276

175.6

2.47

0.428

147

Maximum electric strength

2.72

60

2.932

208.6

2.69

0.48975

142

Maximum power

1.65

30

2.146

167.7

1.85

0.4935

94.7

Compromise values

2.3

50

2.42

185

2.50

0.490

133

Typical coaxial cables used in practice have characteristic impedances of 50 . If the space between the inner and outer conductors is filled entirely √ with Teflon (εr = 2.05) or polystyrene (εr = 2.5), then for a 50  cable Z 0 εr is equal to 72.5  or 79 , i.e. a cable of this sort is nearly optimal in terms of its attenuation. The optimal properties of open and shielded balanced line pairs can be derived in the same manner as for coaxial cables. Table 4.1 lists diameter ratios and characteristic impedances for minimum attenuation, maximum electric strength and maximum power transfer. The derivations of these quantities can be found in the 1st and 2nd editions of this “Lehrbuch der Hochfrequenztechnik” (in German).

4.2 Striplines 4.2.1 Overview of Different Designs and Applications Striplines in their various embodiments represent the main type of transmission line used in VHF and microwave systems as well as in high-speed digital circuits except

4 Properties of Coaxial Cables and Transmission Lines …

211

in cases where special requirements such as low attenuation or high power transfer necessitate usage of coaxial lines or waveguides. Table 4.2 compares the properties of striplines, coaxial lines and waveguides. Here, we use the term stripline as a general designation for all waveguides in which at least one conductor is in the form of a strip and the other conductors are at least planar (disregarding the panels of the enclosure). Striplines are situated on a layer known as the substrate. Figure 4.5 shows the most important types of striplines. All of the types represent lines with defined characteristic impedances and propagation Table 4.2 Comparison of properties of important types of lines (according to [1]) Property

Stripline

Coaxial line

Waveguide

Line attenuation

High

Medium

Low

Resonator quality factor

Low

Medium

High

Power transfer

Low

Medium

High

Decoupling of adjacent circuit elements

Low

Very high

Very high

Bandwidth

Large

Large

Small

Miniaturization

Outstanding Unsatisfactory Unsatisfactory

Volume, weight

Low

Production: passive circuits

Very simple Simple

Simple

Simple

Possible

High

High

Integration of • Semiconductor elements

Possible

• Lumped passive elements

Very good

Possible

Possible

• Ferrite components (e.g. in circulator)

Very good

Moderate

Good

• Dielectric components (e.g. as dielectric oscillator)

Very good

Moderate

Good

a

b

c

i e

g

d

j

k

f

h

Fig. 4.5 a–k Cross-section of striplines, coplanar waveguides, slotlines and finlines. a Stripline (triplateline); b suspended substrate line; c microstrip; d double-band line; e coplanar waveguide; f coplanar strip; g unbalanced coplanar waveguide; h slotline; i–k finlines: i unilateral, j bilateral, k antipodal

212

M. Rudolph

delays. However, unlike coaxial lines and waveguides, they can be produced using the same simple photoetching, thin-film or thick-film processes that are applied to produce printed circuit boards and hybrid circuits for lower frequencies. The stripline types shown in Fig. 4.5 make it easy to produce integrated microwave circuits. Filters, directional couplers, transformers, circulators and similar passive devices are constructed using suitably dimensioned striplines on an insulated substrate as the base and supplemented with lumped passive components and semiconductors to form so-called hybrid integrated microwave circuits. Alternatively, semiconductor substrates can be used on which the active components and lumped passive components are integrated on-chip as so-called monolithic integrated microwave circuits (MMICs). In digital circuit engineering, striplines are basically used to connect logic circuits on printed circuit boards with the proper characteristic impedance and to connect different printed circuit boards. Due to the many lines that are required, it is common to arrange multiple layers of lines over one another on multilayer boards. Despite using the same production technologies, the stripline types shown in Fig. 4.5 have different application areas. Stripline (triplateline) as shown in Fig. 4.5a is used for modules consisting largely of line components such as filters, couplers and tees. This line type was commonly used in the early days of integrated microwave circuits and is characterized by low dispersion and suppression of radiation losses due to its shielded design. However, hybrid integration of lumped elements is difficult due to the complete dielectric filling. The suspended substrate line (Brenner line [2, 3]) shown in Fig. 4.5b can be used with identical dimensions to achieve higher quality factors and higher characteristic impedances than the stripline in Fig. 4.5a or the microstrip in Fig. 4.5c. However, the suspended substrate line is more difficult to manufacture especially if ground connections are required. The microstrip in Fig. 4.5c is an open stripline that is unbalanced to ground. This is the main type of line in integrated microwave circuits. Open lines, parallel branches and components in series with the line are very easy to implement. The double-band line (Fig. 4.5d) is created by mirroring a microstrip on the ground plane; it is normally used only in conjunction with other line types. Coplanar waveguides as shown in Fig. 4.5e–g allow production of lines on substrates that are metalized on one side. They favorably complement the properties of microstrips, e.g. they allow easy implementation of short-circuits, high-impedance lines (Z 0 > 100 ) and components in parallel with the line. For the striplines shown in Fig. 4.5a–g, the characteristic impedance and line propagation time exhibit low frequency dependency compared to the lines in Fig. 4.5i–k, which means these lines are also suitable for distortion-free transmission of signals with DC components, as generally required in digital circuits, for example. In contrast, the finlines in Fig. 4.5i–k transport waves for which the energy of the wave is concentrated in the slot only at sufficiently high frequencies. At frequencies f → 0, the characteristic impedance goes to zero and the line propagation time changes noticeably such that low-frequency broadband signals cannot be transported distortion-free via finlines. Accordingly, slotlines are used customarily in the microwave range in conjunction with microstrip or coplanar waveguides, allowing

4 Properties of Coaxial Cables and Transmission Lines …

213

very easy implementation of short-circuits, series branches and components diagonal to the line. There is an extensive body of literature on the different types of striplines as well as the related fields, line constants and applications [1, 3, 4].

4.2.2 Field Types in Striplines Fields in striplines, and as a consequence, the line properties, are much more difficult to calculate than the fileds of coaxial cables. The easiest case is the stripline shown in Fig. 4.5a, that could be understood approximately as a coaxial line of rectangular cross section and a flat center conductor. All other types are unsymmetric and two types of dielectric are to be considered: substrate and air. Slotlines and finlines are the most involved structures in this respect as they guide an electromagnetic wave in the gap between grounded metal plates. These types of lines are therefore not able to carry DC, and exhibit a so-called cut-off frequency, which denotes the lowest frequency where a wave propagation is possible. This example of a slotline illustrates that a true understanding of these types of transmission lines requires concepts that are derived from the analysis of the electromagnetic fields. These concepts will be derived and discussed in detail at the example of rectangular waveguides in Chap. 5. It will be derived that waves that have field components parallel to the propagation direction are dispersive, i.e. that their properties including characteristic impedance, phase and group velocity change over frequency. Finally, there is an infinite number of possible solutions when solving Maxwell’s equations, which correspond to different forms of fields and are called modes.3 Dispersion on striplines is, however, not dominant if the physical dimensions are chosen properly for the envisioned frequency range. Therefore striplines are already introduced now, and the reader is referred to Chap. 5 for a detailed fieldoriented discussion of electromagnetic wave propagation. Different field types are propagated on the different stripline types shown in Fig. 4.5. In the following discussion, we will assume that the substrate material is isotropic, homogeneous and purely dielectric (μr = 1) such that it can be fully characterized in electrical terms by its relative permittivity εr . The stripline has a homogeneous dielectric and thus transports Lecher waves as the fundamental wave (see Sect. 5.2) as is the case, for example, with a coaxial line. (At high frequencies, Lecher waves correspond to transverse electromagnetic (TEM) waves but they take into account the conductor losses.) In the lossless case, the stripline thus transports TEM waves. The suspended substrate line, microstrip, double-band line and various coplanar waveguides in Fig. 4.5b–g have a layered dielectric in the field-permeated space and transport quasi-TEM waves as their fundamental wave, i.e. waves for which the longitudinal components of the electric and magnetic field strengths are 3

The EH 0 and EH 1 modes that will be mentioned in the following, refer to a field type where E and H fields do have components in the transmission direction.

214

M. Rudolph

still present even in the lossless case but are negligible at sufficiently low frequencies with respect to the corresponding transverse components. Finlines (Fig. 4.5i–k) also have a layered dielectric in the field-permeated space in addition to strip conductors connected to one another via the surrounding shielding, and thus transport—instead of the quasi-TEM wave that cannot exist on these lines— quasi-H waves as the lowest field type, i.e. waves for which the electric longitudinal field strength is negligible but the magnetic longitudinal field strength is not. All of the discussed field types are uniquely associated with a complex propagation coefficient γ = α + jβ = α + jω/vph

(4.36)

For lines with a layered dielectric, we introduce as a useful auxiliary quantity the effective relative permittivity εr eff defined by 2 εr eff = c0 /vph = (λ0 /λ)2

(4.37)

(c0 speed of light, vph phase velocity, λ0 free-space wavelength, λ wavelength on line). This definition of the effective relative permittivity implies that the wave on the line with a layered dielectric propagates with the same phase velocity as a TEM wave in a homogeneous dielectric with relative permittivity εr eff . εr eff is less than εr of the substrate material since the field is located not only in the substrate but also partially in air (εr = 1). The phase velocity is thus √ vph = c0 / εr eff ,

(4.38)

β = ω/vph = ω εr eff /c0 ,

(4.39)

and the phase constant is

√ such that the wavelength on the line is reduced by the factor εr e f f with respect to the free-space wavelength. In quasi-TEM wave lines, the phase velocity vph and thus εr eff are dependent on the line dimensions and to a slight extent also on the frequency. This frequency dependency is known as dispersion. By solving the wave equation, e.g. for the case of the microstrip [5–7], it is revealed in agreement with measurement results [8, √ 9] that for increasing frequency, the phase velocity vph tends towards c0 / εr and thus—because of (4.37)—εr eff also tends towards εr of the substrate material since the field is increasingly concentrated in the substrate at higher frequencies. If we take into account this dispersion, i.e. this frequency dependency of the phase velocity, in the development of a line circuit, we can unambiguously operate striplines to the lower limit frequency of the next higher field type.

4 Properties of Coaxial Cables and Transmission Lines …

215

Radiation

Fig. 4.6 Effective relative permittivity for the fundamental wave (quasi-TEM wave) and higher field types of a microstrip (according to [3])

As an example of this, Fig. 4.6 illustrates the frequency dependency of εr eff for the fundamental wave (HE0 or quasi-TEM wave) and the first two higher field types HE 1 wave, HE 2 wave on a microstrip [3]. Apart from the discrete field types, a continuous radiation spectrum also exists with the microstrip as an open line which is excited by discontinuities and causes energy loss due to radiation. The quasi-H waves of the slotlines exhibit a basically similar dependency of the phase velocity (and thus the effective relative permittivity) on the frequency. However, the frequency dependency is more pronounced than is the case for quasiTEM waves.

4.2.3 Quasi-static Line Constants While the complex propagation constants and the fields of the fundamental waves of striplines are clearly defined at any arbitrary frequency, this is true of the remaining line constants only in the quasi-static case, i.e. at frequencies that are so low that the

216

M. Rudolph

longitudinal components of the fields are negligible with respect to the corresponding transverse components. In this case, line wave approximations for the field provide good approximate values for the line constants. Given the static effective relative permittivity (see Fig. 4.6) εr eff,stat = εr eff ( f = 0) and the characteristic impedance Z 01 of a line with the same conductor arrangement but without a substrate, i.e. with εr = 1, we obtain the following TEM wave approximations for the remaining line constants which are valid in the quasi-static case: characteristic impedance √ Z 0 = Z 01 / r eff,stat , r eff,stat = (Z 01 /Z 0 )2 ,

(4.40)

inductance per unit length L  = Z 01 /c0 = Z 0 /vph,stat ,

(4.41)

capacitance per unit length C  = r eff,stat /(c0 Z 01 ) = 1/ vph,stat · Z 0 ,

(4.42)

At frequencies in the lower microwave range, this quasi-static analysis of striplines which transport quasi-TEM waves as their field type generally provides sufficient accuracy. At higher frequencies and for lines such as slotline and finline which transport quasi-H waves, however, it is necessary to solve the wave equation. An analysis of this sort provides, in addition to the frequency dependency of the phase velocity and thus of εr eff , also the frequency dependency of the characteristic impedance which can be defined from the voltage and current, voltage and power or current and power. (In contrast to the static case, these three definitions provide slightly different characteristic impedance values; see, e.g. [3].) Since striplines are typically used in high to extremely high frequency ranges, we will limit our focus hereafter to the case of small losses and nearly total current suppression in the conductors due to the skin effect, i.e. all conductors are at least three skin depths δ thick—a condition which almost always holds in practice. In this manner, we can calculate satisfactory approximate values for the characteristic impedance, inductance per unit length and capacitance per unit length from the fields in the lossless case along with the resistance per unit length and the power loss using the power-loss method based on the assumption of the surface resistivity R for all conductors R =

π f μρ

(4.43)

4 Properties of Coaxial Cables and Transmission Lines …

217

where f is the frequency, μ = μ0 μr the permeability and ρ the resistivity of the conductors.

4.2.4 Stripline (Triplateline) In the case of stripline (also known as triplate line), the strip conductor (width w, thickness t) is arranged as shown in Fig. 4.7 in the center between two ground planes in a homogeneous dielectric with relative permittivity εr . For practical reasons, we typically choose the inside breadth b to be large with respect to the inside height h and the strip width w, thereby making it unimportant whether the side panels shown in Fig. 4.7 are present or not. For a relative line breadth b/h > 2 + w/h, the characteristic impedance changes remain under 2% compared to a line with infinite breadth (b/h → ∞), allowing us to restrict our focus hereafter to this case (b > 2 h + w). For extremely high-frequency applications, soft organic materials such as tetrafluoroethylene (Teflon) (εr = 2.05) or polyethylene (εr = 2.32) are typically used as the dielectric so the space between the conductors can be completely filled. In the lossless case, the stripline transports a TEM wave with a frequency√ independent phase velocity vph = vph.stat = c0 / εr and a frequency-independent √ characteristic impedance Z 0 = Z0 stat = Z 01 / εr (see above); static analysis is therefore adequate to characterize the fundamental wave. For line breadths b → ∞, the characteristic impedance Z 0 can be calculated exactly (even for conductor thicknesses t = 0) by means of conformal mapping using elliptical integrals. In the special case of strip conductor thickness t = 0, we obtain the following for the characteristic impedance according to Cohn [10]: ZF K (k) , with Z F = Z0 = √ · 4 r K (k  )



μ0 ≈ 120 π  0

(4.44)

where k = 1/ cosh

πw , 2h

(4.45)

w h/2

t

h/2 b Fig. 4.7 Cross-section of stripline (triplateline)

218

M. Rudolph

k =



1 − k 2 = tanh

πw , 2h

(4.46)

K(k) is the complete elliptical integral of the first kind of modulus k [11]. Simple approximations for Eq. (4.44) have been given by Hilberg [12]. For b h and t/h ≤ 0.25, the following holds approximately with errors < 1.2% for w/(h − t) ≥ 0.35 [13]: Z0 = 

√ 94.25 · (1 − t/ h)/ r w h

+

2 π

ln

2−t/ h 1−t/ h



t πh

ln

(4.47)

t (2−t/ h) h(1−t/ h)2

and for w/(h − t) ≤ 0.35:  

Z0 60 t 8h = √ · ln / 1+ (1 + ln 4π w/t) + 0.51(t/w)2  r πw πw

(4.48)

√ The characteristic impedances Z 01 = Z 0 · εr are illustrated in Fig. 4.8. Based on the assumptions above (low losses, high-frequency case), the conductor attenuation coefficient α ρ can be calculated using Wheeler’s incremental inductance rule [14] from the relationships for the characteristic impedance, Eqs. (4.47) and (4.48), and the surface resistivity R (Eq. (4.43)) of the strip conductor and shielding. For broad strip conductors, i.e. w/(h − t) ≥ 0.35, we obtain [3, 13] √ 2.02 × 10−6 · r · Z0 · f /GHz · ρ/ρcu αρ = dB/cm h/cm   2wh h(h + t) 2h − t h + , + ln × h−t (h − t)2 π(h − t)2 t

(4.49)

and for narrow strip conductors, i.e. w/(h − t) ≤ 0.35 √ 0.0114 · f /GHz · ρ/ρcu αρ = dB/cm Z 0 / · h/cm  0.65 1.65  t + 0.5 ln 4πw + 0.1947 wt − 0.0767 wt 2h 0.5 + 2πw π t · × 1+ 1.65 w 1 + t 1 + ln 4πw + 0.236 t πw

t

w

(4.50) Here, ρ is the resistivity of the conductor and shielding material and ρcu = 17.2n · m is the resistivity of copper. Figure 4.9 illustrates the reference conductor attenuation coefficient αe∗ = √

αe · h/cm . f /GHz · εr · /cu

4 Properties of Coaxial Cables and Transmission Lines …

219

Fig. 4.8 Characteristic impedance of stripline (according to [3]). Parameter: Relative conductor thickness t/h

The conductor attenuation coefficient α ρ follows from the reference conductor attenuation coefficient αρ∗ as √ α = α∗ ·

f /GHz · εr · h/cm

√ /cu

;

√ For the given assumptions, it grows proportional to f . If the dielectric also exhibits losses characterized by its loss factor tan δε , the total attenuation coefficient α of the line ensues from the conductor attenuation coefficient α ρ and loss factor tan δ ε as follows: α = α +

β tan δε . 2

(4.51)

220

M. Rudolph

Fig. 4.9 Reduced conductor attenuation coefficient αρ∗ for stripline [3]

For conventional substrate materials, tan δε is constant in the microwave range and is on the order of magnitude of 10–3 . Thus, the dielectric losses increase proportional to frequency in accordance with Eq. (4.51), but the conductor losses generally predominate. We can obtain higher characteristic impedances and lower attenuations compared to the stripline in Fig. 4.7 if we do not embed the strip conductor in a full dielectric; instead, we arrange it as shown in Fig. 4.10 on a thin substrate roughly in the center of the line. The suspended substrate line (also Brenner line) [2, 3, 15–18] that is created in this manner makes it possible to even realize lines having characteristic impedances of over 100  in a cost-effective manner. Due to the substrate’s low share of the volume permeated by the field, a low value of εr eff is obtained compared to the value of εr for the substrate.

4 Properties of Coaxial Cables and Transmission Lines …

s

221

w

s

h1

h

h2 b Fig. 4.10 Cross-section of suspended substrate line

w er = 1.0

t

er = 9.7

h t

Fig. 4.11 Cross-section of microstrip. Typical dimensions for Z 0 = 50 : w = 610 μm, h = 635 μm, t = 5 μm, substrate Al2 O3 (99.5%), εr = 9.7

4.2.5 Microstrip The microstrip in Fig. 4.11 is an unbalanced, open stripline. It is created from the suspended substrate line in Fig. 4.10 by taking away the upper and side panels and eliminating the air space under the substrate (h2 → h/2, b > 4 h + w).4 For typical substrates with a high value of εr such as Al2 O3 ceramic, the bulk of the electric field lines and thus also the bulk of the transported power flows in the substrate under the strip conductor. With this line type, there is a risk of occurrence of transverse leaky modes (see Sect. 5.4.3.3).

4.2.5.1

Quasi-static Line Constants for Microstrip

As mentioned above, the microstrip transports as its fundamental wave a quasi-TEM wave that contains all six field components. At low frequencies, definable for the microstrip based on the requirement that √ w, h < λ0 / 40 εr ,

4

(4.52)

In practical terms, the side and upper panels have no influence on the line properties if the following conditions are fulfilled: normalized line breadth b/h > 4 + w/h and normalized cover height h1 /h > 4 [19]. In this case, the characteristic impedance changes remain below 2% compared to the microstrip without panels and cover.

222

M. Rudolph

i.e. the lateral dimensions are small with respect to quarter wavelength of line, the longitudinal components of the fields are negligible and line wave approximations for the field thus provide good estimates of the line constants. (For the line shown in Fig. 4.11, this requirement (4.52) is met up to frequencies of f = 3.8 GHz.) At significantly higher frequencies, the longitudinal components of the electric and magnetic field strengths can no longer be neglected such that solutions of the wave equation are required for more precise analysis. Due to the layered dielectric, even in the static case there is no exact solution in closed form for the line constants for the microstrip. However, there are many techniques that provide solutions such as modified conformal mapping [20–22], the relaxation method [23], the variation method [24], the method of Green’s functions [25, 26], the subsurface method [27], the method of moments [28] and the method of straight lines [29]. For computer-aided design and optimization, solutions in closed form are preferred. The results of Wheeler [20, 21] are widely used in this context. For wide lines (w/h > 1) with very thin, low-loss conductive tracks (t/w  0.1), he found the following: For the characteristic impedance: Z0 = 

w 2h

√ 188.5/ r   w r +1 + 0.441 + 2π + 0.94 + 1.451 + ln 2h r

0.082(r −1) r2

(4.53)

For narrow lines (w/h < 1) according to [20]:    Z0 60 1  w 2 1 r − 1 0.2416 8h = + 0.4516 + · ln −  w 32 h 2 r + 1 r r +1

(4.54)

2

The errors in these characteristic impedance formulae have maximum values of approx. 2%. The other line constants can be computed subsequently with Eqs. (4.39) to (4.42). More exact analytical expressions for the characteristic impedance Z 1 = Z 0 (εr = 1) without the dielectric and effective relative permittivity εr eff have been derived by Hammerstad and Jensen [30]. By approximating the exact results of Magnus and Oberhettinger [31] as well as other authors that can be derived with conformal mapping for the case without the substrate (εr = 1), they determined the following for the characteristic impedance Z 01 with an infinitely thin strip conductor: ⎡ ⎤   2 f Z 01 (w/ h) 2h 1 ⎦ = 60 ln⎣ + 1+  w/ h w

(4.55)

The adaptation function is f 1 (w/ h) = 6 + (2π − 6)e−(30.666h/w)

0.7528

(4.56)

4 Properties of Coaxial Cables and Transmission Lines …

223

The inaccuracy of this approximation for Z 01 is 1), a part of the field that is no longer negligible is located in the air space below the substrate, resulting in lower effective relative permittivity and higher characteristic impedance compared to thick substrates. Figures 4.23 and 4.24 show families of curves for the characteristic impedance and εr eff that were computed for Al2 O3 ceramic (εr = 9.8) as the substrate material. For substrates with a different value of εr , Eqs. (4.78) and (4.79) can be used to provide an approximate conversion. We can obtain formal approximate solutions for the static effective relative permittivity based on [44] from Eq. (4.80) where 600 Ω 550

h/d = 0

500

0.05 0.1 0.2

450

0.4 400

0.8...1 ∞

Z0

350 300 250 200 150 100 50 0

0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.90

0.95

1.00

s/d

Fig. 4.23 Characteristic impedance Z 0 of coplanar strips as a function of the gap width s referred to d = s + 2w. εr = 9.8. Conductor thickness t → 0 (in part according to [3])

4 Properties of Coaxial Cables and Transmission Lines …

237

6.0 h/d = 5.5

1

0.8

5.0 0.6 4.5 0.4

er eff,stat

4.0

0.3 0.2

3.5 0.15 3.0 0.1 2.5 2.0 h/d = 0.05 1.5 1.0 0

0.1

0.2

0.3

0.4 0.5 s/d

0.6

0.7

0.8

0.9

1.0

Fig. 4.24 Static effective relative permittivity εr eff.stat of coplanar strips for t = 0, εr = 9.8 (according to [3])

tanh[π s/(4h)] , tanh[π d/(4h)]   k1 = 1 − k12 ,

k1 =

(4.90) (4.91)

k follows from Eq. (4.86) and k  from Eq. (4.87), resulting in the characteristic impedance of the coplanar strip according to Eq. (4.85). Like with the coplanar waveguide, the conductor path thickness t can be taken into account by replacing the conductor path width w by the effective conductor path width weff = w + w and the slot width s by seff = s − w [4]. However, this correction is generally not required for typical metallization thicknesses. The formulae for the characteristic impedance Z 0 and effective relative permittivity εr eff.stat provide highly accurate approximations up to the frequency limit estimated by Eq. (4.84). At higher frequencies, εr eff exhibits a slight increase while the characteristic impedance calculated from the power and longitudinal current falls off slightly [47, 48].

238

M. Rudolph

The resistance attenuation of coplanar strips is smaller for high-impedance lines (Z 0 > 100 ) compared to microstrips with similar dimensions; however, it is significantly higher for low-impedance lines (Z 0 < 50 ). Like with all striplines, the conductor losses generally dominate the dielectric losses. The conductor attenuation coefficient can be calculated like for the coplanar waveguide, yielding [3, 45] 8.68R αρ   = dB 4Z 0 d K 2 (k  ) · 1 − (s/d)2   

 4π s(1 − s/d) 4π d(1 − s/d) 2d π + ln + 2 π + ln × s t (1 + s/d) t (1 + s/d)

(4.92)

Here, R is the surface resistivity according to Eq. (4.43), Z 0 is the charactercomplete elliptical integral of the first kind of istic impedance, and K(k  ) is the the complementary modulus k  = 1 − (s/d)2 . As before, the prerequisite is total current suppression (skin effect) in the conductors. The conductor attenuation coef√ ficient increases according to Eq. (4.92) proportional to f . At higher frequencies, additional losses arise as before due to cross-currents in the conductors. Like for the coplanar waveguide, the conductor attenuation coefficient can be deduced from Fig. 4.21. The dielectric losses follow in turn from Eq. (4.67) or (4.68) and increase proportional to f . For the coplanar strip, the effective relative permittivity follows from Eq. (4.80) in conjunction with Eqs. (4.86), (4.87), (4.90) and (4.91) (or (4.72) in the case of thick substrates). The total attenuation coefficient follows from Eq. (4.69).

4.2.8 Slotlines The slotline consists of a dielectric substrate with metallization on one side which is interrupted by a slot (Fig. 4.5h). We can imagine a slotline as a microstrip (Fig. 4.1c) in which the unmetallized regions of the substrate surface have been metalized and vice versa. Originally proposed in 1968 [49], the slotline never became as important as the microstrip. Reasons include the strong dispersion of the phase coefficient and characteristic impedance, the relatively large transverse field elongation and the junction required for coaxial test equipment. Nevertheless, the slotline does have applications in conjunction with the microstrip in balanced mixers, PIN diode attenuators and directional couplers. The field pattern of the fundamental type on the slotline (see Fig. 4.25) differs substantially from the quasi-TEM type on the microstrip. While the electric field primarily contains transverse components, there exists a magnetic z component on the same order of magnitude as the transverse H field. In a simplified representation, the field pattern resembles, assuming the x and y coordinates are swapped, that of the H 10 wave in the rectangular waveguide. Due to the circular polarization of the

4 Properties of Coaxial Cables and Transmission Lines …

239

y H H E x E

er

l/2 z

H E l/2

S

Fig. 4.25 Qualitative behavior of the electric and magnetic field lines of the fundamental type on a slotline

magnetic field, non-reciprocal components (unidirectional lines, circulators) can also be realized using a premagnetized ferromagnetic substrate material. Like the microstrip, the transmission properties of the slotline can be characterized using the effective relative permittivity εr eff = (ß/k 0 )2 = (c/vph )2 and the characteristic impedance Z 0 = V 2 /(2P). The voltage V is defined as the integral over the electric field strength along the shortest path between the slot edges. P is the transported power. Among the different computational techniques [19, 50] used to determine εr eff and Z 0 , we should mention the transverse resonance technique applied in [49]. Here, a standing wave on the line is assumed. At the nodes where the electric transverse field strength tends towards zero, electrically conductive panels can be arranged in the transverse plane without disrupting the fields. Two such panels arranged parallel to the line with sufficient spacing from the slot result in a rectangular waveguide which is provided with a dielectrically loaded slot cover plate. This arrangement can be calculated by developing the fields based on waveguide wave types. Figure 4.26 shows the effective relative permittivity εr eff as a function of h/λ0 (or of frequency for a typical substrate thickness h). εr eff grows as the frequency increases and the slot width s decreases. As a coarse approximation for s  h, we can assume that εr eff ≈ (εr + 1)/2 according to [51]. Figure 4.27 shows the characteristic

240

M. Rudolph 5.0 0.06 0.04 s/h=0.02

4.5

0.1 0.2 0.4

4.0

0.6 0.8 1

er eff

3.5 1.4 1.6 2 1.8

1.2

3.0

2.5

t=0

s

h

2.0

er = 9.7

1.5 0

0

0.005 0.010 0.015

2

4

6

0.020 0.025 0.030 0.035 h/l

8

10

12

14

0.040

16 GHz18

f

Fig. 4.26 Effective relative permittivity εr eff (for the fundamental type on a slotline) as a function of substrate height h referred to λ0 . Frequency scale for h = 0.635 mm (25 mil). Parameter: Slot width s referred to h (according to [3])

impedance Z 0 of the slotline according to the voltage–power definition as a function of the same variables. Since the field is concentrated in the slot region as the frequency increases, assuming the power is constant the voltage grows over the slot such that the characteristic impedance rises. Further data for additional values of εr can be found in [52].

4.3 Coupled TEM-Wave Lines 4.3.1 Line Differential Equations Multiconductor arrangements occur on the one hand in telecommunications and data communications systems where multiple lines are commonly routed close to

4 Properties of Coaxial Cables and Transmission Lines …

241

200 s/h = 2.0 1.8 1.6 1.4 1.2 1.0

160 140 120

Z0

100 80 60 40

0.2 0.1 0.06 0.02

20

0

0.005

0.01

0.8 0.6 0.4 0.02

0.03

0.04

h /l0

Fig. 4.27 Characteristic impedance Z 0 of the slotline as a function of h/λ0 (or frequency) for h = 0.635 mm. The parameter is s/h. Substrate material εr = 9.7 (in part according to [3])

one another along some path. Here, mutual influences between lines (e.g. “crosstalk” [53]) cause the most significant disruption. Mitigation of these effects is the subject of the field of electromagnetic compatibility. On the other hand, multiconductor systems are important components in RF engineering. Here, the mutual coupling properties of lines are exploited, e.g. as directional couplers, filters, phase shifters, power dividers and balanced-to-unbalanced transformers. We will introduce coupled line properties in this section, laying the basis for the discussion of directional couplers. In our approach to the line differential equations for coupled TEM wave lines, we will assume we have two coupled lines and the applicable equivalent circuit (Fig. 4.28). Analogous to the procedure we applied with the single line, we obtain the following differential equations for two coupled lines (steady state, complex calculation) [54]: d VI = jω L 11 I I + L 12 I I I dz d VI I = jω L 12 I I + L 22 I I I − dz −

  d II    = jω c10 VI − c12 VI + c12 + c12 VI I (VI − VI I ) = jω c10 dz   d II I    VI I − c12 VI I + c12 + c12 VI − = jω c20 (VI I − VI ) = jω c20 dz

(4.93)



(4.94)

242

M. Rudolph

a

z

l

l1

II(z)

V1

1

l2

VI(z)

l3

2

Line I

l4

III(z)

V3

3

Line II

VII(z)

4

z

z/I = 0

b 2

z

I I(z

)

L' 11

z

+ I I(z)

1

I I(z)

VI(z) + VI(z)

4

C'10 z z C' 12

L' 22 ) I II(z

V4

z/I = 1

L'12 z

VII(z)

V2

z

)+ I II(z

) I II(z

VII(z) + VII(z)

3

C'20 z VII(z)

Fig. 4.28 a, b Two coupled lines. a Basic concept; b equivalent circuit for a section of length z

The line differential equations for n coupled lines can be derived in an analogous manner. In matrix form, we then have −

d V = jωL  I dz

(4.95a)



d I = jωC  V dz

(4.95b)

with the voltage vector V and the current vector I

4 Properties of Coaxial Cables and Transmission Lines …



⎞ VI ⎜ VI I ⎟ ⎜ ⎟ V = ⎜ . ⎟, ⎝ .. ⎠

243



⎞ II ⎜ II I ⎟ ⎜ ⎟ I = ⎜ . ⎟, ⎝ .. ⎠

Vn

(4.96)

In

as well as the matrix L of the inductance per unit length coefficients ⎞ L 11 L 12 . . . L 1n    ⎜ L L ... L ⎟ 21 22 2n ⎟ L = ⎜ ⎠ ⎝ ...    L n1 L n2 . . . L nn ⎛

(4.97)

and the matrix C  of the capacitance per unit length coefficients ⎞ C 11 C 12 . . . C 1n ⎜ C C . . . C ⎟ 21 22 2n ⎟ C = ⎜ ⎠ ⎝ ...    C n1 C n2 . . . C nn ⎛  ⎞  c10 + c12 + c13 + · · · −c −c1n 12 . . . ⎜ −c ⎟ c20 +c21 +c23 + · · · . . . −c2n 21 ⎟, =⎜ ⎝ ⎠ ···      −cn2 . . . cn0 +cn1 +cn2 + · · · −cn1 (4.98) ⎛

where c ik are the partial capacitance per unit length values in the equivalent circuit (cf. Fig. 4.28b). We have L  ik = L  ki and C  ik = C  ki (or c ik = c ki ).

4.3.2 Even- and Odd-Mode Excitation In addition to the model for coupled lines based on couplings associated with capacitance per unit length and inductance per unit length coefficients, there exists a model based on even- and odd-mode excitation that leads to the same computational results [55, 56]. The following definition of the even- and odd-mode voltages V even and V odd as well as the even- and odd-mode currents I even and I odd forms the basis for the treatment of three-conductor systems in [3, 55–58] (Fig. 4.29): Even-mode system Veven =

1 (V1 + V2 ) 2

244

a

M. Rudolph l2

l1

C'20

C'10

V2

V1

2C'12 2C'12

f

S

b

e

c

d S1

l1

S2

l1

l2

l2

l1 + l 2

Fig. 4.29 a–f Three-conductor system. a Schematic representation with directional arrows; b stripline; c fields in odd-mode operation; d fields in even-mode operation; e microstrip; f partial capacitances per unit length and symmetry plane S; S 1 “Electrical wall” (χ = ∞), S 2 “Magnetic wall” (μ = ∞)

Ieven =

1 (I1 + I2 ) 2

Odd-mode system 1 (V1 − V2 ) 2 1 = (I1 − I2 ) 2

Vodd = Iodd

(4.99)

Based on these relationships and the differential equations (4.95), we obtain the following differential equations for even- and odd-mode operation in the case of electrically equivalent lines (C  11 = C  22 = C; L  11 = L  22 = L  , decoupling conditions):

4 Properties of Coaxial Cables and Transmission Lines …

245

d Veven d Vodd = jω L  + L 12 Ieven , − = jω L  − L 12 Iodd dz dz  d Ieven d Iodd   Vodd − = jω C + C12 Veven , − = jω C  − C12 dz dz



(4.100)

Unlike Eqs. (4.95), these two systems of differential equations are decoupled from one another. The problem of analyzing doubly coupled lines is thus reduced to separate consideration of two operating cases. Analogous to the single line, it is conventional in publications [3, 55–58] to define an even-mode characteristic impedance Z 0e (“even”) and an odd-mode characteristic impedance Z 0o (“odd”) in order to characterize the three-conductor system:  Z 0e =

L  + L 12  , C  + C12

 Z 0o =

L  − L 12  C  − C12

(4.101)

For striplines and microstrips5 (Fig. 4.29b, e), these characteristic impedances are specified as a function of the geometric dimensions in [59].

4.3.3 Chain Matrix The chain matrix of the coupled line will be derived in the following. The derivation will also establish a number of important properties of the coupled line. It will be shown that the magnetic and electric coupling factors are equal, and we will see that the even and odd-mode characteristic impedances can be derived from the characteristic impedance of the single line and the coupling factor. The derivation starts by differentiating the line differential Eq. (4.95a) and plugging in Eq. (4.95b). We obtain −

d2V = ω2 L  C  V . dz 2

(4.102)

For TEM waves, the propagation coefficient γ for all n conductors is equal to ω γ = jβ = j , v

(4.103)

v = phase velocity. Assuming a forward TEM wave along the n conductors, we obtain for each component of the voltage vector 5

No TEM waves are propagated on microstrips (cf. e.g. [5]). However, in the lower GHz range we can apply the TEM wave approach as an approximation in case of conventional dimensions and substrates [23]. For an improved approximation, we can take into the account the different phase velocities of the even- and odd-mode wave in coupled microstrips [19, 23].

246

M. Rudolph ω

Vi (z) = Vi e− j v z

(4.104)

From Eq. (4.102), it then follows that 0=−

ω2 V + ω2 L  C  V , v2

or multiplied by V T from the left 1 T V V = V T L C  V , v2

(4.105)

These expressions are identical if the following holds: 1 E = L C  v2

(4.106)

V T is the transposed vector of V and E is the identity matrix. From Eq. (4.102), it follows in conjunction with (4.106) that ω2 d2 V + V =0 dz 2 v2

(4.107)

or expressed component-by-component (v = 1, …, n): ω2 d2 Vv + 2 Vv = 0 2 dz v

(4.108)

The solution to this homogeneous differential equation of the 2nd order is analogous to the single line: V = V p e−γ z + V r e+γ z

(4.109)

where γ =j

ω = jβ. v

For the current, it holds correspondingly that I = vC  V p e−γ z + V r e+γ z

(4.110)

V p , V r are the vectors of the forward and reverse voltage waves. With Eqs. (4.100) and (4.109), we can develop the chain matrix of the n coupled lines analogous to the single line. We obtain the following result: Vin = cosh(γ I )Vout + sinh(γ I )vL  Iout

(4.111a)

4 Properties of Coaxial Cables and Transmission Lines …

247

Iin = sinh(γ I )vC  Vout + cosh(γ I )Iout

(4.111b)

where the indices in designate the input quantities and out the output quantities. L and C  are related via Eq. (4.106) and γ is given according to Eq. (4.103). For an arrangement consisting of two coupled lines (Fig. 4.28a), it is conventional to define characteristic impedances  Z 01 =

L 11  , C11

 Z 02 =

L 22  , C22

(4.112)

and coupling factors L 12 L 12 ,  , k L2 = L 11 L 22  C C12 = 12  , kC2 = −  , C11 C22

k L1 =

(inductive coupling factors).

kC1

(capacitive coupling factors)

(4.113)

The minus sign for k C2 was introduced because −C  12 = c 12 is the positive partial capacitance per unit length that is actually measurable; cf. Eq. (4.98). From Eq. (4.106), it follows that  L 12 C12  = −  = k L1 = kC2 = k1 L 11 C22  L 12 C12  = −  = k L2 = kC1 = k2 L 22 C11

(4.114)

i.e. the inductive and capacitive coupling factors defined according to Eq. (4.113) are equal to one another. Moreover, we can demonstrate with Eq. (4.106) that the following relationship holds: k1 Z 01 = k2 Z 02

(4.115)

With Eqs. (4.106), (4.111), (4.112) and (4.114), we obtain the following for the chain matrix A of an arrangement consisting of two coupled lines (Fig. 4.28a): ⎞ ⎛ ⎞ V2 V1 ⎜ V4 ⎟ ⎜ V3 ⎟ ⎜ ⎟ = A⎜ ⎟ ⎝ I2 ⎠ ⎝ I1 ⎠ I3 I4 ⎛

(4.116)

248

M. Rudolph



cos βl 0 j (sin βl) Zχ01 jk1 (sin βl) Zχ01 ⎢ 0 cos βl jk2 (sin βl) Zχ02 j (sin βl) Zχ02 ⎢ A=⎢ k2 1 cos βl 0 ⎣ j (sin βl) Z 01 χ − j (sin βl) Z 01 χ k1 1 − j (sin βl) Z 02 χ j (sin βl) Z 02 χ 0 cos βl

⎤ ⎥ ⎥ ⎥ (4.117) ⎦

√ with χ = 1 − k1 k2 . Instead of the characteristic impedances in Eq. (4.112) and coupling factors in Eq. (4.114), we can introduce even- and odd-mode characteristic impedances Z 0e and Z 0o as described in Sect. 4.3.2. For equivalent lines (k 1 = k 2 = k, Z 01 = Z 02 = Z 0 ), the following relationships hold with Eqs. (4.101), (4.106), (4.112) and (4.114): 

1+k , 1−k  1−k , = Z0 · 1+k

Z 0e = Z 0 · Z 0o

(4.118)

and Z0 =



Z 0e · Z 0o , k =

Z 0e − Z 0o Z 0e + Z 0o

(4.119)

4.4 S-Matrix for Matched Couplers and Power Dividers Up to this point, the reader might be under the impression that the wave propagation on transmission lines mainly causes trouble like reflections and attenuation that have to be mitigated through careful circuit design. But this section will address waveguide structures that exploit the nature of traveling waves in order to realize coupliner and divider or combiner structures. It is often desired to access the waves traveling on a waveguide. For example, one might like to measure forward and/or backward waves, or to superimpose an additional wave that travels only into one direction on the waveguide. Figure 4.30 shows such a coupler. The requirements to such a structure would be, in the ideal case: 1. 2. 3. 4. 5. 6. 7. 8.

It has four ports. The device is lossless. The device is reciprocal. Two ports are part of the main line. Port 1 and 2 are directly coupled. The third port couples into the forward wave of the main line. An incident wave at port 1 is coupled to port 3, but port 3 is decoupled from an incident wave at port 2. The fourth port couples into the backward wave of the main line. All four ports are matched.

4 Properties of Coaxial Cables and Transmission Lines …

249

Fig. 4.30 Schematic of an ideal directional coupler

In general, a phase shift is observed between the wave leaving port 2 to the coupled wave at port 3, which also might be exploited, e.g. to generate differential signals. Another functionality that is often desired is the splitting of a wave into two waves on different waveguides. A splitter should also be matched at all ports, be lossless, and it should suppress the transmission of an incident wave at one of the two output ports to the other ports. The device can also act as a combiner that merges the waves from two waveguides into one wave traveling on a third waveguide. An application is distributed power amplification, where the output power of a number n of power amplifiers is combined to obtain an n times higher output power compared to a single power amplifier. This operation has to be distinguished from a simple parallel connection of the n power amplifiers. A parallel connection would reduce the port impedance of the circuit to 1/n the value of a single amplifier, which makes power matching difficult. The individual power amplifiers would also directly interact with each other through the interconnected ports. A divider and combiner solution, in contrast, maintains the characteristic impedance Z0 through splitting and combination stages, and decouples the individual amplifiers. Before discussing different types of couplers and combiners, the desired Smatrices will be investigated in order to establish if the desired structures are theoretically possible at all.

4.4.1 Conditions for Non-dissipative Combiners and Dividers and the Even-Mode—Odd-Mode Analysis 4.4.1.1

Conditions for Non-dissipative Combiners and Dividers

The requirements for an ideal coupler as listed above can be expressed in terms of an S-matrix. We define port 1 and 4 to belong to the through path, with a transmission coefficient S14 = S41 . Port 3 should be coupled to an incident wave at port 1 through S31 , and port 4 is coupled to port 2 through S42 . No transmission should be observed from port 1 to port 4 and from port 2 to port 3 (S41 = S32 = 0), which are denoted as isolated ports with respect to each other. All ports are matched, i.e. S11 = S22 = S33 = S44 = 0. Finally, we consider the coupler to be reciprocal, which means Sij =

250

M. Rudolph

Sji , and symmetric, which means that also port 2 and 3 form a through path, with an incident wave at port 2 only coupled to port 3 and 4, and an incident wave at port 3 is coupled only to port 2 and 1. The respective S-matrix reads: ⎛

S11 ⎜ S21 S=⎜ ⎝ S31 S41

S12 S22 S32 S42

S13 S23 S33 S43

⎞ ⎛ 0 S14 ⎜ ⎟ S24 ⎟ ⎜ 0 = S34 ⎠ ⎝ S13 S44 S14

0 0 S14 S13

S13 S14 0 0

⎞ S14 S13 ⎟ ⎟ 0 ⎠ 0

(4.120)

So far, the requirements of matching at all ports and of coupling an incoming wave only to two specific ports are defined. It is equally important that the coupler is passive and lossless. As derived above, the S-matrix of a lossless multiport is a unitary matrix, so that S−1 = S+ holds. In the case of the coupler, the condition can be written as ⎛

0 ⎜ 0 S · S+ = E = ⎜ ⎝ S13 S14

0 0 S14 S13

S13 S14 0 0

⎞ ⎛ 0 0 S14 ⎜ 0 0 S13 ⎟ ⎟·⎜ ∗ ∗ 0 ⎠ ⎝ S13 S14 ∗ ∗ 0 S13 S14

∗ S13 ∗ S14 0 0

⎛ ⎞ ∗ ⎞ 1000 S14 ∗ ⎟ ⎜ ⎟ S13 ⎟ = ⎜ 0 1 0 0 ⎟ (4.121) 0 ⎠ ⎝0 0 1 0⎠ 0

0001

Which leads to the following equations defining magnitude and phase of the S-parameters: |S13 |2 + |S14 |2 = 1

(4.122)

∗ Re S14 S13 = 0

(4.123)

The first condition refers to the absolute power of the transmitted waves and simply states that for a matched lossless coupler, no power is dissipated but√ transmitted to the output ports. If we set, e.g. |S14 | = k < 1, it follows that |S13 | = 1 − k 2 . From the second condition, if follows that the phase difference between the vectors S14 and S13 is ±90◦ so that the product becomes purely imaginary. The generic S-matrix of a symmetric, matched lossless coupler now reads: ⎛

0 0 ⎜ 0 0 √ S=⎜ ⎝ 1 − k2 jk √ 1 − k2 jk



⎞ 1 − k 2 √ jk 1 − k2 ⎟ jk ⎟ ⎠ 0 0 0 0

(4.124)

Other phase relations between the transmission factors are possible if the symmetry condition is dropped, as we will see for the rat-race line coupler below and in case of the magic Tee in Chap. 5.

4 Properties of Coaxial Cables and Transmission Lines …

251

So far, we were able to prove that a matched lossless coupler is theoretically possible, and established also the phase relation at the output ports independent of the actual realization. Depending on the application, couplers providing different values of k are designed. For measurement purposes, for example one would like to observe the traveling waves without too much of attenuation, thus setting k ≈ 1, and a ratio of 20…40 dB between transmitted and coupled wave. On the other hand, the coupler can also √ be used as a power splitter, if transmitted and coupled powers are equal, and k = 2. For this purpose, port 1 would be defined as the input port, and port 3 and 4 as the output port. Port 2 is terminated. Ports 3 and 4 would be decoupled, so that a reflection on one of the ports is not affecting the output power at the other port. We now might ask whether it is also possible to directly realize a three-port network that is matched on all sides, lossless and exhibits transmission symmetry. Such a device is called power divider, splitter, or combiner. We will illustrate the three required properties using an S-matrix. Matching on all sides: S ii = 0 ⎛

⎞ 0 S12 S13 S = ⎝ S21 0 S23 ⎠, S31 S32 0

(4.125)

S+ S = E,

(4.126)

|S21 |2 + |S31 |2 = 1,

(4.127)

|S12 |2 + |S32 |2 = 1,

(4.128)

|S13 |2 + |S23 |2 = 1

(4.129)

Lossless (Sect. 2.5.1):

i.e.

∗ S32 S31

= 0.

(4.130)

Transmission symmetry: Sik = Ski .

(4.131)

Let us assume that, e.g. S 23 = S 32 = 0; it thus follows from Eqs. (4.130) and (4.131) that S 31 = S 13 = 0. However, this contradicts Eqs. (4.127), (4.128) and (4.131). Based on these considerations, we can state the following:

252

M. Rudolph

Unlike a lossless four-port network that exhibits transmission symmetry, it is not possible to obtain matching on all sides with a lossless three-port network that exhibits transmission symmetry. Since we cannot achieve these three properties at the same time, we can choose to abandon one of them. Two of the possibilities have interesting technical applications: 1.

The three-port network with transmission asymmetry. Here, the S-matrix according to Eq. (4.125) holds where, e.g. |S 13 | = |S 21 | = |S 32 | = 1 and S 12 = S 23 = S 31 = 0, i.e. give up the requirement of reciprocity Sik = Ski . The S-matrix reads ⎛ ⎞ 001 S = ⎝1 0 0⎠ (4.132) 010

2.

The matrix is obviously unitarian and the network is lossless. This so-called three-port circulator (cf. Sect. 5.8.2.1) transfers power entering port 1 only to port 2, power entering port 2 only to port 3 and from port 3 only to port 1. While it can not be used to comine or split signals, it can be used to separate forward and backward traveling waves at a port. In a transceiver, it can be used to separate transmitter (at port 1) from receiver (at port 3) sharing a matched antenna (at port 2). The lossy three-port network, known as power divider (Fig. 4.46). The S-matrix for the ideal Wilkinson power divider is as follows [60–62]: ⎛ ⎞ 011 j ⎝ S = −√ 1 0 0⎠ 2 100

(4.133)

This S-matrix is obviously not unitarian, S−1 = S+ , and therefore lossy. But it performs the following tasks perfectly: 1. 2. 3.

It is matched at all ports It splits incident power from port 1 without losses equally to port 2 and 3 It combines even-mode waves incident at port 2 and 3 without losses and transmits the whole power to port 1. In order to understand the concept of even-mode excitation, consider two combiners connected back-to-back. Half of the incident power is transmitted to each of the two output ports of the first divider. Since the structure is reciprocal, the powers are transmitted to the output of the second divider (acting as a combiner) without losses. In S-parameters, if S denotes the S-matrix of the divider and S stands for the combiner:   S11 = S12 · S21 + S13 · S31 = −1 and therefore |S11 |2 = 1.

4 Properties of Coaxial Cables and Transmission Lines …

4.

253

Any other arbitrary excitation on port 2 or port 3 is not transmitted to the other output port. Half of the power is transmitted to the input port according to |S12 |2 = |S13 |2 = 0.5. The other half of the incident wave is dissipated inside the structure. These losses are commonly advantageous. For example if the divider-combiner configuration is used to operate power amplifiers in parallel, the only desired mode of operation is that all amplifiers work synchronized and in phase. Any other asynchronous signal results from unwanted oscillations or from a damaged amplifier. The lossy divider thus helps to suppress oscillations and reduces the impact of a damage in a single device on the whole amplifier circuit.

A realization of this concept is the Wilkinson power divider that will be discussed in the following [60].

4.4.1.2

Even-Mode—Odd-Mode Analysis

Calculating the S-matrix of a four-port device can be very tedious. But if the fourport happens to be symmetrical as in the case of couplers, we can decompose the problem into two much simpler ones through the so-called even-mode—odd-mode analysis. The principle has been used already in order to understand coupled lines and will be extended to generic symmetrical four-ports described by an S-matrix in the following. If a symmetrical four-port is excited symmetrically at two ports, identical voltages will be observed at the line of symmetry so that no current crosses it, which corresponds to a virtual open. In case of an excitation by asymmetrical signals that are 180° out of phase, on the other hand, the line of symmetry must be a virtual ground. The four-port is in both cases split into two two-ports that do not interact, which simplifies the calculation significantly. Figure 4.31 illustrates the basic principle. In terms of the S-matrix, we obtain the following relations for the outgoing waves at the four ports when, for example, port 1 is excited and all other ports are matched, so that a2 = a3 = a4 = 0 holds: b1 b2 b3 b4

= = = =

S11 · a1 S21 · a1 four port S31 · a1 S41 · a1

(4.134)

Now, if port 1 is excited in even mode by a1,e = a1 /2 and port 2 is excited by the same signal a2,e = a1 /2, we obtain:

254

M. Rudolph

a

b a2 = a2,e – a2,o = 0

a4 = 0

a2 = 0 2

a4 = 0

2

4

1

3

4 symmetry 3

1 a1

a3 = 0

a1 = a1,e + a1,o

c

a2,e = a1,e a2,o = a1,o a1,e = a1,o = a1/2

a3 = 0

d

even mode

odd mode

a2,e

–a2,o

a4 = 0

2

a4 = 0

2

4

4

virtual open at symmetry 1

3

a3 = 0

a1,e

virtual ground at symmetry 1

3

a1,o

a3 = 0

Fig. 4.31 Schematic explaining the even-mode—odd-mode analysis of a symmetrical fourport in the S-parameter domain. a Excitation of the fourport at port 1 b interpretation of the excitation as the superposition of even and odd excitation, and subdividing the fourport at the symmetry c evenmode analysis, assuming open circuit and d odd-mode analysis, assuming short-circuit termination at the symmetry plane

b1,e b2,e b3,e b4,e

= = = =

S11 · a1,e + S12 · a2,e S21 · a1,e + S22 · a2,e S31 · a1,e + S32 · a2,e S41 · a1,e + S42 · a2,e

= (S11 + S12 ) · a1 /2 = (S21 + S22 ) · a1 /2 even mode = (S31 + S32 ) · a1 /2 = (S41 + S42 ) · a1 /2

(4.135)

If port 1 is excited in odd mode by a1,o = a1 /2 and port 2 is excited by with 180° phase shift by −a2,o = −a1 /2, we obtain: b1,o b2,o b3,o b4,o

= = = =

S11 · a1,o − S12 · a2,o S21 · a1,o − S22 · a2,o S31 · a1,o − S32 · a2,o S41 · a1,o − S42 · a2,0

= (S11 − S12 ) · a1 /2 = (S21 − S22 ) · a1 /2 odd mode = (S31 − S32 ) · a1 /2 = (S41 − S42 ) · a1 /2

(4.136)

Superimposing even and odd case yields the original four-port response, Eq. (4.134). Symmetry of the four port means, in case of our port numbering, port 1 is symmetrical to port 2, and port 3 is symmetrical to port 4. Equations (4.134)–(4.136) can be simplified since S12 = S21 , S11 = S22 , and S31 = S42 , S32 = S41 . Considering the virtual open at the line of symmetry for the even case, we can therefore solve the equations for the two-port with ports 1 and 3, and in a second step solve it for the odd mode case. For port 1 excitation, one obtains reflection coefficients 1,e , 1,o and transmission coefficients T31,e , T31,o which are defined as:

4 Properties of Coaxial Cables and Transmission Lines …

b1,e a1,e b1,o a1,o b3,e a3,e b3,o a3,o

255

= 1,e = S11 + S21 = 1,o = S11 − S21 = T31,e = S31 + S41 = T31,o = S31 − S41

(4.137)

Thus, once the reflection and transmission factors in even and odd mode are determined, it is easy to obtain the four-ports S-matrix by solving (4.137) for the respective parameters. The remaining missing parameters are determined analogously. This approach can be applied to all symmetrical four-ports. It will be used in the following in the analysis of the Wilkinson power divider and of the line coupler.

4.5 Ring Couplers (180° and 90° Hybrid) The ring coupler in Fig. 4.32 provides an example of the top conductor configuration for a realization using microstripline technology. This device has applications as matched power dividers (3 dB couplers), 180° or 90° phase shifters or in sum and difference circuits. The operation principle bases on the phase difference of the waves that reach a certain port. An incident wave from one port travels clockwise and counter-clockwise around the ring. The other ports are located at points where the two waves superimpose constructively (transmit and coupled port) or destructively (decoupled port). The S-matrix of the 180° hybrid ring coupler (“rat-race coupler”) is as follows for the frequency corresponding to the line lengths in Fig. 4.32a [64, 65]: a

1

b

3

Z0

l/4

3

Z0

Z0 l/4 Z0

l/4

l/4 Z0

Z0

2

Z0

Z0

4

2

Z0

2

4 Z0

Z0

2

2

Z0

Z0

Z0

3l/4 1

Fig. 4.32 a, b Ring coupler a 180° Hybrid; b 90° Hybrid

2

256

M. Rudolph



0 0 −j ⎜ 0 0 S= √ ⎜ ⎝ 2 1 1 1 −1

⎞ 1 1 1 −1 ⎟ ⎟. 0 0⎠ 0 0

(4.138)

Based on the S-matrix in Eq. (4.138), we can see that power division occurs for a wave supplied on one port, all ports are matched, and ports 2 and 1 as well as 3 and 4 are decoupled from one another. Moreover, the four-port network exhibits transmission symmetry (S ik = S ki ). The relative phase shift between port 2 and 4 is 180° (“180° hybrid”) compared to that between port 2 and port 3. The S-matrix (4.138) of the 180° hybrid corresponds to that of the magic tee (cf. Sect. 5.7.1). The rat-race coupler has the following interesting features: • Defining port 2 as the input port yields in-phase signals at ports 4 and 3, while port 1 is isolated. An equivalent behavior is found for input at port 3. • Defining port 1 as the input yields a differential signal at ports 1 and 4, i.e. 180° phase shift between the signals. • If two signals are injected at ports 4 and 3, we obtain the difference of the signals at port 2 and the sum of the two signals at port 1. The S-matrix for the 90° hybrid (Fig. 4.32b) is [64, 65]: ⎛

0 1 ⎜ 0 S = −√ ⎜ 2⎝1 j

0 0 j 1

1 j 0 0

⎞ j 1⎟ ⎟. 0⎠ 0

(4.139)

Here, the relative phase shift is 90° (“90° hybrid”). The 90° hybrid can be built to be very broadband with a multistage implementation (“branch-line coupler”) (cf. e.g. [66]). This structure is suitable to realize a coupler with a coupling ratio of 3 dB (3 dB coupler). It is often used as a power splitter or combiner. The fourth unused port is in this case terminated with Z0 , and is in the ideal case not receiving any power as long as the combined waves are in proper phase relation and of identical magnitude.

4.6 Directional Couplers 4.6.1 S-Matrix for Termination with the Characteristic Impedance of the Line In this section, we will determine the S-matrix of an arrangement consisting of two coupled lines (Fig. 4.33) that are provided with connecting lines having characteristic

4 Properties of Coaxial Cables and Transmission Lines …

257

impedances Z 01 , Z 02 (i.e. the normalization impedance, cf. Sect. 2.5, is equal to the characteristic impedance of the respective coupled line). According to Sect. 2.5.3, the wave chain matrix T is first determined from the chain matrix A, Eq. (4.117). With Eqs. (2.233), (2.234) and (4.117), we obtain ⎛

T11 ⎜ 0 T=⎜ ⎝ 0 T∗14

0 T11 T∗14 0

0 T14 T∗11 0

⎞ T14 0 ⎟ ⎟, 0 ⎠ T∗11

(4.140)

with the coefficients   sin 2π λl l T11 = cos 2π − j λ 1 − Z 01 k 2 

Z 02 1

Z 01   l Z 02  T14 = jk1 sin 2π λ 1 − Z 01 k 2 Z 02

(4.141)

1

Here, l is the length of the coupling path; the coupling factor k 1 is defined in Eq. (4.114) and k 2 is expressed by Eq. (4.115). The S-matrix for the arrangement of doubly coupled lines as shown in Fig. 4.33 is obtained with Eqs. (2.233), (4.140) and (4.141) as ⎛

0 ⎜ S12 S=⎜ ⎝ S13 0

S12 0 0 S24

S13 0 0 S34

⎞ 0 S24 ⎟ ⎟ S34 ⎠ 0

01

02

Fig. 4.33 Four-port network consisting of two coupled lines with connecting lines

(4.142)

258

M. Rudolph

where ∗ 1 T14 T14 1 = ∗ |T11 |2 − |T14 |2 = ∗ , ∗ T11 T11 T11 ∗ T14 =− ∗ T11

S12 = S34 = T11 − S13 =

T14 ∗ , S24 T11

(4.143)

and |S 13 | = |S 24 |. With Eqs. (4.141) and (4.143), we have S11 =

1 l sin 2π l cos 2π λ + j  ( Z 01λ )2 1− Z

S13 = S24 =

jk1 sin 2π λl 

(4.144)

k 02 1



Z 01 Z 02 Z

1− Z 01 k12 02

sin 2π l cos 2π λl + j  ( Z 01λ )2 1− Z

(4.145)

k 02 1

Based on the interpretation of the S-matrix, Eq. (4.142), we can conclude as follows (cf. Sect. 4.4.1.1): The main diagonal elements S ii , i.e. the input reflection coefficients, are equal to zero; the coefficients S 14 = S 41 , S 23 = S 32 , i.e. the transmission coefficients between ports 1 and 4 or 2 and 3 as well as the inverse in each case, are likewise equal to zero. The four-port network configured with connecting lines having Z 01 , Z 02 and made of coupled lines (Fig. 4.33) is thus matched on all sides, and the ports 1 and 4, as well as 2 and 3, are decoupled from one another. An arrangement of this sort is known as a directional coupler. Taking the feeding of port 1 as an example, the effective transmission coefficients are illustrated in Fig. 4.34 using arrows.

Fig. 4.34 Directional coupler consisting of two coupled TEM wave lines

4 Properties of Coaxial Cables and Transmission Lines …

259

4.7 TEM Wave Directional Couplers 4.7.1 Definitions and Illustration of the Directional Effect Directional couplers allow separate measurement of the forward and reverse waves on a line and can also be used as a power divider, an attenuator, a phase shifter and for low-reflection output coupling of signals. For output coupling of signals in telecommunications systems, a small bandwidth is often sufficient, while other applications such as RF test and measurement typically require a large bandwidth. For a directional coupler, the S-matrix representation, Eq. (4.142), ⎞ ⎛ 0 b1 ⎜ b2 ⎟ ⎜ S12 ⎜ ⎟=⎜ ⎝ b3 ⎠ ⎝ S13 b4 0 ⎛

S12 0 0 S24

S13 0 0 S12

⎞⎛ ⎞ a1 0 ⎜ a2 ⎟ S24 ⎟ ⎟⎜ ⎟ S12 ⎠⎝ a3 ⎠ 0 a4

(4.146)

is typically used to define the following quantities. In accordance with Fig. 4.34, the signal is supplied on port 1 while ports 2–4 are terminated with the normalization impedances (Sect. 4.11.2). Input reflection coefficient 1 =

b1 a1

(4.147)

(equal to zero regardless of frequency in the ideal6 directional coupler): Reverse transfer ratio AB12 = S12 ,

(4.148)

Coupling transmission coefficient AB13 = S13 ,

(4.149)

Directivity factor AR =

b3 S13 = b4 S14

(4.150)

(infinitely large regardless of frequency for an ideal directional coupler, for S 13 = 0). The associated values are often indicated in decibels, e.g. −20 log (AB12 ) = ac (coupling attenuation), 20 log (AR ) = aD (directional attenuation). 6

Practically speaking, such values cannot be attained due to losses, manufacturing tolerances, higher wave types, etc. Typical values are roughly |r 1E | ≤ 5%, |AR | ≥ 10 (or ≥20 dB).

260

M. Rudolph

Ik z<
0)

x(t )

CI

R1

C12

R2

···

Rn

CO

y(t )

Fig. 4.61 Structure of a linear coupled tunable filter with tunable resonators R1 . . . Rn , but fixed input- (C I ), output- (C O ) and inter-resonator coupling (C12 . . . C(n−1)(n−2) )

288

M. Rudolph

C1n

x(t )

CI

R1

C12

R2

···

Rn

CO

y(t )

C2n

Fig. 4.62 Structure of a linear coupled tunable filter with tunable resonators R1 . . . Rn , and tunable input- (C I ) and output- (C O ) as well as inter-resonator coupling (Cmn )

The main drawback of this kind of realization is the fixed coupling between the individual resonators. This results in a specific fractional bandwidth, which results in changing absolute bandwidth B while tuning the center frequency f c . For universality, a filter independently tunable in center frequency f c and bandwidth B is highly desired, compare class (c) in Fig. 4.60. Such a realization requires the implementation of reconfigurable coupling coefficients. Moreover, for the introducing of additional nulls into the transmission coefficient, cross coupling between resonators is highly desired, compare Sect. 1.3. Figure 4.62 shows the schematic of such a tunable filter with tunable cross coupling. In coupling matrix representation, compare Sect. 1.3 such filters can be described by the coupling matrix C: ⎤ R1 C12 · · · C1N ⎥ ⎢ C12 R2 ⎥ ⎢ C=⎢ . ⎥ .. ⎦ ⎣ .. . ⎡

C1N

(4.167)

RN

with the resonators Rn on the main diagonal and the inter-resonator coupling Cmn on the first side diagonals. While the tuning of cavity resonators either by means of geometric changes or by tuning of the cavity’s material is straight-forward, the implementation of tunable coupling structures is more challenging. One example used for non-planar filters is iris coupling with a variable iris [92, 93]. This implementation is efficient but increases system complexity. Also, system reliability suffers from mechanically moving parts. As alternative the variable couplings can be emulated by non-resonating nodes—resonators operated out of resonance—[94–96] as shown in Fig. 4.63. A similar concept is followed in planar structures where components of the J- and K-inverters of the coupling structures are absorbed in the resonators itself. Figure 4.64 shows the example of a simple third-order filter with the introduction of K-inverters and the absorption of its components into adjacent resonators. This leads to additional boundaries for the coupling elements as the negative capacitor value −C K must be compensated by the series resonant circuit capacitors

4 Properties of Coaxial Cables and Transmission Lines …

···

R1

R2*

C12

Resonating node

289

C23

R3

Non-resonating node

···

Resonating node

Fig. 4.63 Realization example of a tunable coupling of two resonators R1 , R3 by a non-resonating node R2∗ with fixed coupling C12 , C23

C1 . . . C3 , resulting in:  C K < C1 , C3



2C K < C2

⇒ 

CK
εr2 μr2 . According to the law of refraction (5.3.44b), already at an angle of incidence ϑ < π/2 we have the angle of refraction ϑ t = π/2. The angle ϑ at which this occurs is known as the critical angle ϑ total of total reflection. For it, the following holds:  sin ϑtotal =

εr2 μr2 . εr1 μr1

(5.3.51)

At the critical angle of incidence, we have cos ϑ t = 0 and it follows from Eq. (5.3.45) that E r1 = E f1 The incident wave is totally reflected. In medium 2, a homogeneous plane wave is propagated in the y direction according to Eqs. (5.3.42) and (5.3.43); for the amplitude of its electric field strength, the following holds according to Eq. (5.3.46): E f2 = 2E f1 We now ascertain that Eq. (5.3.44a) is satisfied even for the critical angle of incidence: k1 sin ϑtotal = k2 , √ ω εr1 μr1



εr2 μr2 √ = ω εr2 μr2 . εr1 μr1

The relationships become more complex for ϑ > ϑ total . Here too, there is total reflection; the wave in medium 2 can thus again exhibit only a y propagation direction.

376

H. Arthaber

If it were a homogeneous plane wave, its wavenumber would have to be k 2 . According to Eq. (5.3.44), the y component of the incident wave has the wavenumber k 1 sin ϑ. According to Eq. (5.3.51), we now have the following for ϑ > ϑ total :  sin ϑ >

εr2 μr2 εr1 μr1

or k1 sin ϑ > k2 . Thus, the boundary conditions at the separating plane for ϑ > ϑ total with a homogeneous plane wave in medium 2 can no longer be satisfied independent of y. Instead, in medium 2 a wave must propagate in the y direction with a phase constant β 2 > k 2 . In Sect. 5.3.2, we encountered plane waves with this characteristic and called them “inhomogeneous waves”. According to Eq. (5.3.27a), they have the phase constant β22 = k22 + α22 . With this phase constant, we can always satisfy the condition of equal y components of the phase velocities: k1 sin ϑ =

!

k22 + α22 .

By solving this equation for α 2 , we now also obtain a conditional equation for α 2 : α2 =

! k12 sin2 ϑ − k22 .

(5.3.52)

In summary, we can state that for ϑ > ϑ total , an inhomogeneous plane TE wave is propagated in medium 2 in the y direction. In medium 1, the incident wave is totally reflected. The amplitudes of the TE wave decrease according to e−α2 z . If ϑ is constant, α 2 grows linearly with frequency while the intensity of the wave in medium 2 decreases accordingly at higher frequencies. Applying the same approach to the case in Fig. 5.9b, we conclude that a TM wave is propagated in medium 2.

5.4 Dielectric Waveguides Electromagnetic waves can be guided by certain material (physical) structures along an axis, with the energy transported by the wave being concentrated in proximity to the axis. Structures that are capable of transporting waves are known as waveguides. In Chaps. 2 and 4, we already investigated the two-wire line and the coaxial line as such. Additional types of waveguides can be created by exploiting the reflection

5 Field-Based Description of Propagation on Waveguides

377

of plane waves on metallic walls or their total reflection at the boundary surface between two dielectric materials. In dielectric waveguides, the total reflection of plane waves on a medium with lower optical density is exploited in order to transport an electromagnetic wave in a medium with higher optical density. Dielectric waveguides have obvious applications in the transmission of light waves since the waves follow even a curve in the waveguide due to the total reflection at the boundary surface to the air. Theoretical investigations of such waveguides began around the start of the twentieth century [12].

5.4.1 Dielectric Slab Waveguides The simplest mathematical treatment involves an infinitely extended dielectric slab of thickness a. We will use a coordinate system as shown in Fig. 5.10 and assume that μr1 εr1 > μr2 εr2 . In order to characterize the wave propagation along a slab of this sort, it suffices to allow two plane waves to interfere with one another. We can imagine Medium 2

Medium 1

mr , er

mr , er 2 2

1

Medium 2

mr2, er2

1

y

J 3 J

x 2

z

1

a

Fig. 5.10 Dielectric slab waveguide, total reflection in the slab waveguide, inhomogeneous plane wave in the external space. The exponential functions in the external space characterize the amplitude decay of the inhomogeneous wave

378

H. Arthaber

that each wave arises due to total reflection of the other at the boundary plane to the medium with lower optical density. For the angle of incidence and reflection ϑ of the two waves, we must therefore have ϑ ≥ ϑ total . We will first consider only the processes at the boundary plane at z = 0 (Fig. 5.10). We assume that a homogeneous plane wave impinges onto it which is characterized by Eqs. (5.3.33) and (5.3.34). The polarization direction of its electric field strength is parallel to the boundary plane. In medium 1, this results in a reflected wave characterized by Eqs. (5.3.35) and (5.3.36). In accordance with our discussion in Sect. 5.3.3, in medium 2 for z ≥ 0 we should expect an inhomogeneous plane wave that propagates in the positive y direction (ϑ t = π/2) and has an amplitude that decreases exponentially in the positive z direction. For the propagation constant γ2 of this wave, we must therefore have γ 2 = α2 ez + jβ2 ey .

(5.4.1)

Using Eqs. (5.3.26) and (5.3.23), we then obtain the field components of the refracted wave: Ef2 = E f2 ex e−(jβ2 y+α2 z) , Hf2 = −

 E f2  β2 ez + jα2 ey e−(jβ2 y+α2 z) . ωμ0 μr2

(5.4.2) (5.4.3)

In order to satisfy the boundary conditions for the tangential components of E and H at z = 0, the following relationships must hold between the amplitudes of the incident, reflected and refracted waves:  

 E f1 + E r1 e−jk1 y sin ϑ = E f2 e−jβ2 y ,

E f1 − E r1

 cos ϑ Z W1

e−jk1 y sin ϑ =

α2 E f e−jβ2 y . jωμ0 μr2 2

Both equations must be satisfied independently of y such that we obtain β2 = k1 sin ϑ.

(5.4.4)

However, according to Eq. (5.3.52) α 2 is also determined with β 2 . α2 =

!

! k12 sin2 ϑ − k22 = k1 sin2 ϑ − sin2 ϑtotal .

(5.4.5)

Finally, after a simple calculation we obtain the following for the reflection coefficient r and the transmission factor t at the boundary plane at z = 0:

5 Field-Based Description of Propagation on Waveguides

r=

E r1 = E f1

Ef t= 2 = E f1

jωμo μr2 α2 ωωμ0 μt2 α2

− +

Z W1 cos ϑ Z W1 cos ϑ

jωμ0 μr2 α2 jωμ0 μr2 Z + cosWϑ1 α2

2

379

= ejψ ,

(5.4.6)

= ejψ + 1.

(5.4.7)

It is worth noting that in case of total reflection, the reflected wave experiences a phase shift by the phase angle Ψ corresponding to Eq. (5.4.6).  α2 Z W1 , cos ϑ ωμ0 μr2   Z W1 π α2 0 ≤ arctan ≤ . cos ϑ ωμ0 μr2 2 

ψ = 2 arctan

(5.4.8)

At the boundary plane at z = –a, we encounter the same conditions; the wave impinging onto it is equal to the wave reflected at the boundary plane at z = 0. During reflection back and forth between points 1, 2 and 3 in Fig. 5.10, the phase of the wave at point 3 can differ from that at point 2 only by integer multiples of 2π since otherwise the interfering waves would not be plane waves. For the phase on the path from point 1 to point 3, we must therefore have the following: e"−jk1 a(tan ϑ#$sin ϑ+cos ϑ)% 1→2

ej2ψ "#

%$e"−jk1 a(tan ϑ#$sin ϑ+cos ϑ)% = ejv2π e−jk1 a(2 tan ϑ sin ϑ) ,

2→3 total reflection (2,3) ej2(ψ−k1 a cos,ϑ) = ejv2π , ψ − k1 a cos ϑ = vπ, v = 0, ±1, ±2, . . .

(5.4.9)

For conciseness, we introduce η=

α2 Z W1 cos ϑ ωμ0 μr2

and rewrite Eq. (5.4.9) in the form arctan η −

π k1 a cos ϑ = v . 2 2

(5.4.9a)

For v = 1, 2, 3, …, we thus have arctan η =

k1 a π cos ϑ + p , 2 2

p = 1, 2, 3, . . .

(5.4.9b)

380

H. Arthaber

and for v = 0, –1, –2, –3, … arctan η =

π k1 a cos ϑ − n , 2 2

n = 0, 1, 2, . . .

(5.4.9c)

Due to the limitation of arctan η to values between 0 and π/2 [see Eq. (5.4.8)] and because (k 1 a/2) cos ϑ is always positive, only Eq. (5.4.9c) is relevant as an equation of condition. By forming the tangent of this equation, we finally obtain  nπ k1 a cos ϑ − 2 2   k1 a cos ϑ for n = 0, 2, 4, . . . = tan 2   k1 a = − cot cos ϑ for n = 1, 3, 5, . . . 2 

η = tan

(5.4.10a) (5.4.10b)

Equations (5.4.10) should be interpreted as conditional equations for u = (k 1 a/2) cos ϑ. Before we discuss their solution, we will transform η so this quantity also appears explicitly as a function of u. We first introduce in the form of β P the phase constant of the wave that propagates along the slab in the y direction. This follows from Eq. (5.3.44) βP − k1 sin ϑ

(5.4.11)

and we can now see that β P is determined if (k 1 a/2) cos ϑ is known. 

βP a 2

2

 + u2 =

k1 a 2

2 .

(5.4.12)

Equation (5.4.12) is a circle equation. Of the circle with radius (k1 a/2) = only the range in the first quadrant is relevant because β P > 0 and u > 0. For three different angular frequencies, this range of Eq. (5.4.12) is depicted graphically in Fig. 5.11. Taking into account Eq. (5.4.5), we can now write the following for η: √ 1 ωa μ0 μr1 ε0 εr1 , 2



0 μr1

! √ μ0 μr1 ε0 εr1 βP2 − k22

ε0 εr1 Z W1 α2 = cos ϑ ω μ0 μr2 k1 cos ϑμ0 μr2 !  2 2 μr k12 − k22 − k12 cos2 ϑ μr βP − k2 = 1 = 1 μr2 k1 cos ϑ μr2 k12 cos2 ϑ

η=

5 Field-Based Description of Propagation on Waveguides

381

ba/2 w3 w w2 w1

u

Fig. 5.11 Relationship between phase constant β P of the dielectric slab waveguide and u = (k 1 a/2) cos ϑ for three different angular frequencies ω

=

μr1 μr2



 k12 − k22 k12 cos2 ϑ

−1=

μr1 μr2

 a 2  2  k1 − k22 2 − 1. u2

(5.4.13)

Since η is a real function, according to Eq. (5.4.13) the expression k 1 cos ϑ must satisfy the following inequality: 0 ≤ k1 cos ϑ ≤

!

k12 − k22

(5.4.14)

Based on this condition and Eq. (5.4.12) for β P , it follows that the phase constant of a dielectric slab waveguide must always lie between the limit values k 1 and k 2 . k2 ≤ βP ≤ k1 .

(5.4.15)

We can now attempt to solve Eq. (5.4.10). Since it is transcendent in the quantity of interest u, only a numerical or graphical solution is possible in which we represent the left and right sides of Eq. (5.4.10) in a common coordinate system as a function of u and find solutions as points of intersection between the individual functions. Figure 5.12 shows solutions for even and odd n at three different angular frequencies ω. For small values of u, the function η has practically a hyperbolic shape and is defined in the real domain only for η = ∞ to 0. This condition determines the end points of the function η on the abscissas in Fig. 5.12. According to Eq. (5.4.13), we have the following for these points: k12 cos2 ϑ = k13 − k22 , sin ϑ =

k2 = sin ϑtotal . k1

(5.4.16)

In physical terms, the end points can be interpreted as characterizing the transition from total reflection to refraction. If

382

H. Arthaber

a

b tan (u) h (u)

–cot (u) h (u)

2

n=0

n=1

4

3

5

2p

3p u

w w1

w2

w3 w

p

w1

2p

3p

u

w3

w2

p

Fig. 5.12 Graphical solution of Eq. (5.4.10). a For n = 0, 2, 4, …; b for n = 1, 3, 5, … Parameter: angular frequency ω

k1 cos ϑ is greater than k12 − k22 (otherwise stated: ϑ < ϑ total ), the slab waveguide is no longer able to transport a wave and radiation occurs due to the refracted wave. Based on Fig. 5.12, we will now have a closer look at the wave propagation process on a dielectric slab waveguide. We first realize that at a sufficiently high angular frequency ω, there is more than one solution in u and thus for β P which belong to the same ω. Each β P is associated with its own mode which we designate by the order n of the tan or cot branch on which the associated solution intersection lies. In other words, we must distinguish between the different βPn . For example, if we consider solutions for ω = ω3 , we discover based on Fig. 5.12 that with βP0 to βP5 a total of six modes can simultaneously exist on the dielectric waveguide. If we reduce the angular frequency of operation, then the η curve and thus also its end point on the abscissa are shifted towards smaller values of u. In this manner, the solution intersections migrate closer and closer to the zeroes of the tan function (or the cot function). In the example in Fig. 5.12, the solution intersection for βP5 is the first to reach a zero of this sort. For this mode, the corresponding ω = ωc5 is then the one for which total reflection is just still possible. If we have ω < ωc5 , then the mode with βP5 can no longer exist. In general, the zeroes of tan(u) and –cot(u) thus define lower cutoff frequencies f cn = (1/2π)ωcn for corresponding modes. Expressed in the variables u and with the aid of Eq. (5.4.16), the condition for the cutoff frequency of the nth mode is     ! k1 a a π cos ϑ = k12 − k22 =n . u cn = 2 2 2 cn cn

5 Field-Based Description of Propagation on Waveguides

383

We can obtain the actual cutoff frequency by solving this equation for f cn . f cn =

c n , n = 0, 1, 2, 3, . . . , √ 2a μr1 εr1 − μr2 εr2

c= √

(5.4.17)

1 , c = Speed of light in a vacuum. μ0 ε0

According to Eq. (5.4.17), for a dielectric slab waveguide there is a mode with the lower cutoff frequency f c0 = 0. This is also clear from Fig. 5.12a in which for any ω always at least one intersection is found with the tan branch of order n = 0. For f = f c , α 2 is equal to zero according to Eq. (5.4.5) because of Eq. (5.4.16). The relevant mode is still transported by the waveguide but the transported energy is no longer concentrated in its proximity. In the waveguide’s external space, a wave is propagated with an amplitude that no longer diminishes in the z direction. In the following discussion, we will provide insight into the basic shape of the dispersion curves βPn = f (ω). Due to Eq. (5.4.15), these curves must lie between the two limit lines β P = k 1 and β P = k 2 . From Eqs. (5.4.12) and (5.4.5) in the forms  βP2 = k12 − α2 =

!

2 u a

2 ,

βP2 − k22

and based on Fig. 5.12, it follows moreover that ω → ∞, u = const, βP → k1 , α2 → ∞, ! a 2 2 ω = ωc , u = 2 k1 − k2 , βP = k2 , α2 = 0. Finally, we can also make an assertion about the slope dβ P /dω of the dispersion curves. We have k2 dβP = 1 − dω ωβP

 2 u du 2 . a βP dω

(5.4.18)

In order to evaluate this equation, we must calculate du/dω. We take the derivative of Eq. (5.4.13) with respect to ω, dη = dω



d (tan u) dω d (− cot u) dω



 =

1 du cos2 u dω 1 du sin2 u dω



μr = 1 μr2

 a 2  2    k1 − k22 u du 2 ! 2 − a ω dω k 2 −k 2 u 3 ( 2 ) (u 21 2 ) − 1

384

H. Arthaber

introduce the term

tsc

u du = dω ω

 −1  cos2 u =  2 −1 and solve for (du/dω). sin u μr1 μr2

 a 2  2  k1 − k22 tsc 2

! 2 a k 2 −k 2 u 3 ( 2 ) (u 21 2 ) − 1 +

μr1 μr2

 a 2  2  k1 − k22 tsc 2

.

(5.4.19)

From Eq. (5.4.19), it first follows that du/dω < u/ω. If we now replace du/dω in Eq. (5.4.18) by u/ω, we obtain the inequality dβ P /dω > β P /ω, which implies that the slope of all dispersion curves must always be greater than β P /ω (which is equivalent to saying that the group velocity of each mode must always be less than its phase velocity). For ω → ∞, we have β P → k 1 , du/dω → 0 and according to Eq. (5.4.18) it thus follows that   dβP k1 (5.4.20) = . dω ω→∞ ω Thus, all dispersion curves asymptotically approach the limit line β P = k 1 for ω → ∞. In the vicinity of the cutoff frequency ω = ωc , we have β P → k 2 , ! du u 1a → = k 2 − k22 dω ω ω2 1 and it thus follows from Eq. (5.4.18) that 

dβP dω

 ω→ωe

  k12 1 2 2 a = − ωk2 ωk2 a 2

2

 k2 k12 − k22 = . ω

(5.4.21)

All dispersion curves begin at ω = ωcn on the limit line β P = k 2 , and start with its slope. Based on this discussion of curves, we provide a qualitative representation in Fig. 5.13 of the dispersion diagram for TE waves on a dielectric slab waveguide. Taking into account Eqs. (5.4.6) and (5.4.7) along with Eq. (5.4.9), we obtain the complete set of equations characterizing the field of the H waves along a dielectric slab waveguide. In the range –a ≤ z ≤ 0, we have   ψ −jk1 y sin ϑ e , E1 = 2E f1 ex cos k1 z cos ϑ + 2    2 ψ H1 = − E f1 jey cos ϑ sin k1 z cos ϑ + Z W1 2   ψ e−jk1 y sin ϑ +ez sin ϑ cos k1 z cos ϑ + 2 For the range z ≥ 0, it follows that

(5.4.22)

5 Field-Based Description of Propagation on Waveguides

385

bp

n

k1 = w m0 mr e0 er 1

1

6

5 4 3 2 1 n=0

k2 = w m0 mr e0 er 2

wc

1

wc

2

wc

wc

3

4

wc

5

wc

2

6

w

Fig. 5.13 Dispersion diagram of the TE modes of a dielectric slab waveguide ωc1 c π√ a

=

μr1 εr1 −μr2 μr2

E2 = 2E f1 ex cos H2 = −

ψ −(α2 z+jkt y sin ϑ) e , 2

 2E f1 ψ jey α2 + ez k1 sin ϑ e−(α2 z+jk1 y sin ϑ) cos ωμ0 μr2 2

(5.4.23)

and finally we obtain for the range z ≤ –a E2 = ±2E f1 ex cos H2 = ±

ψ α2 (z+a)−jk1 y sin ϑ e , 2

 2E f1 ψ jey α2 + ez k1 sin ϑ eα2 (z+a)−jk1 y sin ϑ . cos ωμ0 μr2 2

(5.4.24)

In Eqs. (5.4.24), the positive sign applies to even values of v and the negative sign to odd values [see Eq. (5.4.9)]. Separate treatment of the E waves on a dielectric slab waveguide is unnecessary since our discussion of H waves applies analogously. In particular, Figs. 5.11, 5.12, 5.13 and Eq. (5.4.17) hold with no changes. Figure 5.14 shows a qualitative field pattern for the wave with v = 0 (commonly known as the fundamental mode). The closed lines in the y, z plane are electric field lines of the E 10 mode. If we swap E r1 with μr1 , then we have H 10 modes with the E and H lines swapped. As we have seen in conjunction with Fig. 5.12, for the dielectric waveguide at a specific frequency there is in each case only a finite number of modes that can exist, which are also known as modes. Accordingly, these modes do not suffice to solve the excitation problem in the dielectric waveguide. Instead, we must also consider radiation fields that are invariably co-excited.

386

H. Arthaber lP

y

z

Fig. 5.14 Qualitative field pattern for the E 10 mode (fundamental mode, v = 0) on a dielectric slab waveguide, λP = 2π/β P

5.4.2 Cylindrical Dielectric Waveguides Inspired by the work of Sommerfeld [13], Hondros [14] investigated in greater detail the conditions under which electromagnetic waves can be transported by a single metallic wire with finite conductivity. He discovered that further auxiliary modes are possible in addition to the so-called main mode calculated by Sommerfeld. Whereas the propagation constant of Sommerfeld’s main mode is determined primarily by the properties of the dielectric (air) surrounding the wire, the propagation constants of Hondros’ auxiliary modes primarily depend on the properties of the wire material. The auxiliary modes on the Sommerfeld wire are thus so highly attenuated that in practical terms they are no longer observable. We can imagine this difference between the main and auxiliary modes as follows: For the main mode, the field within the wire is pushed to the wire surface, while the field of the auxiliary modes is concentrated inside the wire and thus very highly attenuated (attenuation values of 105 dB/cm). In terms of the field concentration, the auxiliary modes on the Sommerfeld wire correspond to the waves along a dielectric slab waveguide. D. Hondros and P. Debye then also replaced the metallic wire with a dielectric wire. Since the thermal losses that occur in such a wire are low, they were justified in expecting that it would be capable of transporting waves of observable intensity. In a paper published in 1910, they provided theoretical proof [12], while Zahn [15] and Schriever [16] experimentally

5 Field-Based Description of Propagation on Waveguides

387

corroborated these theoretical insights. This was followed by a number of further publications. For a good summary, see [17, p. 481 ff.]. Transmission of waves along cylindrical dielectric tubes was investigated by Mallach [18, 19] and Unger [20, 21]. Compared to a dielectric solid wire, tubes have the advantage of more favorable transmission figures in a wider frequency range. Even today, wave propagation on dielectric waveguides in general as well as on dielectric wires and tubes in particular remains an area of intensive research. Dielectric rods and tubes made of rigid material have found practical applications in the construction of corresponding antennas. Using flexible materials, flexible waveguides can be constructed for centimeter, millimeter and light waves. In many respects, there is a close relationship between wave propagation on a dielectric slab and on a dielectric wire. In both cases, the wave transport can be explained based on successive total reflection at the boundary surface between the media with electrically higher and lower densities. In the slab waveguide, application of a single plane wave is sufficient. Due to the cylindrical boundary surface, however, infinitely many plane subwaves must be superimposed for the cylindrical dielectric waveguide with propagation directions that form a cone with an aperture angle 2ϑ with respect to the axis of the waveguide. For a discussion on how to proceed in principle, see, e.g. [2, p. 364]. As shown in Fig. 5.15, we choose as the axial direction of the wire the z direction of a cylindrical coordinate system ρ, ϕ, z. In the integration of the subwaves over real azimuth direction angles, we are lead to Bessel functions J n for the ρ dependency of the resulting electromagnetic field. Bessel functions of order n = 0, 1 and 2 are illustrated in Fig. 5.16. They are appropriate as radial solution functions for the inside of the wire. In the external space, the radial field dependency must be characterized by a function that exhibits an exponential decrease for large values of ρ as is the case with the slab waveguide. We obtain this function by integrating the subwaves with respect to complex azimuth direction angles. They are known as modified Bessel functions K n of the second kind. They are shown in Fig. 5.17 for orders of n = 0 and 1. The complete solution for the radial dependency consists of linear combinations of the given functions. It is, e.g. according to [22], as follows: x

x er = 1, k2 2

er > 1, k1 1

z mr = mr = 1 1

2

y 2a

Fig. 5.15 Cylindrical dielectric waveguide with cylindrical coordinate system ρ, ϕ, z

388

H. Arthaber 1.0 J0(r ) 0.8 J1(r ) 0.6

2 pr

J2(r )

0.4

J0(r )

J1(r )

J2(r )

0.2 0 –0.2 2 pr

–0.4 –0.6

0

2

4

6

8

10

12

r

Fig. 5.16 Bessel functions (of the first kind) versus argument r. Here, we have r = k c ρ. J 0 (r) = Bessel function of the first kind, 0th order, J 1 (r) = Bessel function of the & first kind, 1st order, J 2 (r) = Bessel function of the first kind, 2nd order, with argument r. k c = γ 2 + k 2 ρ = radius 5

4

3

K1 (r ) 2

1

K0 (r )

0

0.5

1.0

1.5

2.0

2.5

3.0

r

Fig. 5.17 Modified Bessel functions of the second kind K 0 (r) and K 1 (r) with argument r

5 Field-Based Description of Propagation on Waveguides

389

⎫ E z = AnJn , ⎪ ⎪ ⎪ ⎪ βD nωμ0  E ρ = − j h An Jn + h 2 ρ Bn Jn , ⎪ ⎪ ⎪ ⎪  ⎪ ⎪ nβD ωμ0  E ϕ = − h 2 ρ An Jn + j h Bn Jn , ⎬ e−j(βD z+nϕ) ⎪ Hz = B for ρ ≤ a, ⎪ n Jn , ⎪ ⎪ nωε0 εr1 βD  ⎪ Hρ = An Jn − j h Bn Jn , ⎪ 2 ⎪ ⎪ h ρ ⎪ ⎪ ωε0 εr1 H =− j A J  + nβD B J ⎭ ϕ

n n

h

h2 ρ

n n

⎫ Ez = C ⎪ ⎪ n K n , ⎪ ⎪ 0 ⎪ E ρ = j βαD2 Cn K n + nωμ , D K ⎪ 2 n n ⎪ α ρ 2 ⎪  ⎪ ⎪ nβD ωμ0  E ϕ = α2 ρ Cn K n − j α2 Dn K n , ⎬ e−j(βD z+nϕ) 2 ⎪ for ρ ≥ a. Hz = D ⎪  n Kn, ⎪ βD  ⎪ ⎪ 0 ⎪ Hρ = −j nωε C K + j D K 2 n n n ⎪ n α α ρ 2 ⎪ 2  ⎪ ⎪ nβD ωε0  D K , ⎭ H = j C K + ϕ

α2

n

n

α22 ρ

n

(5.4.25)

(5.4.26)

n

In Eqs. (5.4.25) and (5.4.26), which we obtain in this form as solutions of the wave equation in cylindrical coordinates, we introduced the term h = k 1 cos ϑ. The argument of the Bessel functions J n is hρ and that of the modified Bessel functions K n is α 2 ρ. Bessel functions with the prime mark indicate derivatives with respect to the argument. An , Bn , C n and Dn are integration constants. Between the phase constants β D of the dielectric wire and k 1 , k 2 as well as α 2 , we have the relationship βD2 = k22 + α22 = k12 − k12 cos2 ϑ = k12 sin2 ϑ. This equation is identical to Eqs. (5.4.11) and (5.4.12) for the slab waveguide. Now we must determine the conditional equation for β D . For this purpose, we develop the continuity conditions of the tangential field strengths at the boundary surface for ρ = a with Eqs. (5.4.25) and (5.4.26) and thus obtain a homogeneous system of equations for the constants An , Bn , C n , Dn . This has a non-zero solution only if its determinant disappears. Instead of Eq. (5.4.10), we thus have for the dielectric wire the conditional equation Jn 0 − nβD J h2 a n ωε0 εr1  −j h Jn or further realized

=0 2 nβD nβD ωε0  − h 2 a Jn −j α2 K n − α2 a K n 0 −K n 0 0 −K n Jn nβD ωμ0   0 j ωμ J − K j Kn 2 n n h α2 α a 2

390

H. Arthaber



εrt Jn 1 K n + ha Jn α2 a K n



1 Jn 1 K n + ha Jn α2 a K n



 =

nβD k2

2 

2 1 1 + . (ha)2 (α2 a)2 (5.4.27)

Only graphical or numerical solutions are possible for Eq. (5.4.27). For the special case of n = 0, we wish to discuss a graphical solution in qualitative terms. This special case is characterized in that it leads to rotationally symmetrical fields and pure E or H fields are possible only for it. Here, Eq. (5.4.27) splits into two equations. Given dJ 0 (x)/dx = –J 1 (x), dK 0 (x)/dx = –K 1 (x), they are −

εr1 J1 1 K1 = ha J0 α2 a K 0

E-modes,

(5.4.28a)



1 J1 1 K1 = ha J0 α2 a K 0

H -modes.

(5.4.28b)

Except for the factor εr1 , the two equations are identical such that it suffices to consider Eq. (5.4.28b) for a well-founded discussion. Figure 5.18a shows the curve of the function K 1 /(α 2 a! K0 ) and Fig. 5.18b the curve of the function –J 1 /(haJ 0 ). Using the relationship α2 =

k12 − k22 − h 2 , we can plot the function K 1 /(α2 a K 0 ) with

a

b

1 K1(a2a) • a2a K0(a2a)



a2a

1 •

J1(ha)

ha J0(ha)

K1(a2(h)a) 1 • a2(h)a K0(a2(h)a) (ha)max = a k12– k22 ha

Fig. 5.18 a The function K 1 /(α2 a K 0 ) of the argument α 2 a. b The function –J 1 /(haJ 0 ) of the argument ha and solution intersections with the function (l/α 2 a) K 1 /K 0 of the argument α2 a = ! k12 − k22 − h 2 a

5 Field-Based Description of Propagation on Waveguides a

E01 – mode

b

H01 – mode

391 c

HE11 – mode

e1 = 81 e0 e2 = e0

e1 = 81e0 e2 = e0

l/ 2 l = 14.4a < lc = 23.6a

l/ 2

l/ 2

l = 3.25a < lc

Fig. 5.19 Excitation and qualitative field patterns on the cylindrical dielectric waveguide. a Field pattern for the E 01 mode, b field pattern for the H 01 mode, c field pattern for the HE 11 mode

! (ha)max = a k12 − k22 in the latter representation. We can see that under the assumed conditions, we obtain two solution intersections, corresponding to the existence of two rotationally symmetrical E and H modes. Similar to the slab waveguide, for the dielectric wire there is only a finite number of modes that can exist at a certain frequency. Unlike the slab waveguide, there are no E or H waves on a dielectric wire with a cutoff frequency of zero. This is a characteristic difference between the two waveguides. For n > 0, E and H waves can no longer propagate separately on a cylindrical dielectric waveguide. They always occur together and are then designated as hybrid modes or EH and HE modes for short. The first letter indicates the dominant mode. Among hybrid modes, the HE 11 mode, which is also commonly known as the dipole type, is especially important because it does not have a lower cutoff frequency. It is the fundamental mode of the dielectric wire. For the indexing of hybrid modes, note that the first index corresponds to the number n (azimuthal distribution) and the second index indicates which solution intersection is implied. Figure 5.19 shows qualitative field patterns for E 01 , H 01 and HE 11 modes along with an indication of how these modes can be basically excited. When using the dielectric wire for communications, however, the modes are usually excited by a metallic circular waveguide with a dielectric rod or tube inserted into its cone-shaped end (Fig. 5.20). The aperture diameter of the cone must be approximately equal to twice the limit radius ρ 0 of the dielectric wire mode. The limit radius is defined as the radius of a cylinder that coaxially encloses the volume in which roughly 90% of the total energy is transported.

5.4.3 Optical Fibers As an optical fiber (or optical waveguide), the cylindrical dielectric waveguide covered in Sect. 5.4.2 plays a crucial role in optical communications. In the arrangement shown in Fig. 5.15, the total reflection at the boundary surface from the dielectric with higher optical density to the air with lower optical density is disrupted at each contact. In optical fibers used in communications, the fiber core is thus enclosed by

392

H. Arthaber

Fig. 5.20 Excitation with a conical horn

a fiber cladding with a somewhat lower refractive index, which itself is adjacent to the outside or has a coating for mechanical reinforcement.

5.4.3.1

Structure of Optical Fibers and Their Refractive Index Profile

The breakthrough in usage of the optical fiber for optical transmission of signals was not achieved until the 1970s when it became feasible to manufacture quartz-based optical fibers with extremely low attenuation and low dispersion for the wavelength range around 1 μm. Their main advantage over metallic waveguides is their low attenuation and large transmission bandwidth. Light at a frequency of >100 THz is used as the carrier signal while the modulation is in the MHz to GHz frequency range. The optical properties of an optical fiber are determined by the refractive index profile n(r, ϕ, z) which is defined with the aid of relative permittivity εr (r, ϕ, z): n(r, ϕ, z) =

&

εr (r, ϕ, z).

(5.4.29)

For the permeability of materials for optical fibers, we have: μ = μ0 . The refractive index n is thus the reciprocal factor by which the phase velocity vph of light in the dielectric is less than in a vacuum: vph = c/n (c is the speed of light in a vacuum). In general, the refractive index profile is independent of the coordinates ϕ and z. An important class of refractive index profiles is represented by the following relationship according to Gloge and Marcatili [23]:  n(r ) =

!  g for r < a, n 0 1 − 2 ar √ n 0 1 − 2 = n a for r ≥ a.

(5.4.30)

5 Field-Based Description of Propagation on Waveguides

a

393

b Coating

r

r Cladding Core

a n (r)

–a

a –a

nan0

n (r) nan0

Fig. 5.21 Refractive index profile and longitudinal section of a step index fiber, b gradient index fiber

Here, a is the core radius and (r/a)g characterizes the index in the core with the profile parameter g. Moreover, n0 is the refractive index on the fiber axis and na is the refractive index of the cladding.  should be interpreted as a relative refractive index difference: =

n0 − na n 20 − n 2a ≈ . 2 n0 2n 0

(5.4.31)

The refractive indexes of the core and cladding are very close to one another (typical value for quartz glass n ≈ 1.5) and the refractive index difference is thus on the order of 1%; the above approximation holds for weakly guiding fibers with   1. Figure 5.21 shows the refractive index profile for the cases of the step index fiber (g → ∞) and the gradient index fiber (g = 2).

5.4.3.2

Geometrical Optics and Wave Optics

The theory of wave propagation in an inhomogeneous medium follows from Maxwell’s equations as demonstrated in Sect. 5.4.2 for the cylindrical dielectric waveguide. Solution of the wave equations derived from Maxwell’s equations leads to the field distributions and propagation constants for the modes (or eigenmodes, wave types) that can be propagated. Optical fibers on which many modes can be propagated are known as multimode fibers. In case of a sufficiently small core diameter 2a, only the fundamental mode can be propagated and the fiber is said to be a monomode fiber. For multimode fibers, the way in which light is transported in the fiber core can be characterized very clearly based on the total reflection of beams at the boundary surface from the medium with higher optical density to the medium with lower optical density. We can calculate the beam paths using geometrical optics. For multimode fibers, frequently only the propagation speed of the individual modes or the connected mode dispersion is relevant and the amplitude distribution of the fields plays no role. In such cases, it is adequate to characterize the propagation effects with the aid of geometrical optics.

394

H. Arthaber

Although wave theory holds for general refractive index profiles, the beam pattern from geometrical optics can only be applied to such structures with good accuracy if their dimension is large with respect to the light wavelength λ, i.e. optical fibers where 2a  λ. A typical value for the diameter of the fiber core in multimode fibers is 2a = 50 μm at a wavelength λ ≈ 1 μm. The beam pattern is physically apparent but it represents a rough approximation; it does not suffice if we wish to understand all of the properties of optical fibers. Accordingly, the effect that not any arbitrary number of discrete light beams but rather only a finite number thereof at angles γ i < γ total (critical angle of total reflection) can be propagated in an optical fiber can be explained only by interference due to the wave nature of light. Summaries of the theory of optical fibers can be found in [24–35]. Reference [26, Chap. 6] compares solutions obtained using geometrical optics with various approximate solutions based on wave theory.

5.4.3.3

Characterization of Multimode Optical Fibers Based on Geometrical Optics

In the limit case where the wavelength λ of the applied electromagnetic radiation is small with respect to the dimensions of the optical components that are used, geometrical optics can be employed to characterize the wave propagation. When applied to optical fibers, this means, for example, that light beams that are totally reflected at the core/cladding boundary surface in a step index fiber do not penetrate into the cladding, which is contrary to the results from Sect. 5.4.2. In geometrical optics, it is assumed that light propagates approximately by means of laterally restricted light beams. These light beams are represented by local plane waves. In an inhomogeneous dielectric material, the following two wave equations for the electric and magnetic fields can be derived from Maxwell’s equations in case of harmonic excitation [30]:  grad ε E , ε

(5.4.32)

grad ε × curlH. ε

(5.4.33)

 E = −k 2 n 2 E − grad H = −k 2 n 2 H −

In a weakly inhomogeneous dielectric material,10 the term (grad ε/ε) can be neglected such that the same wave equation is obtained for the electric and magnetic fields: E = −k 2 n 2 (x, y, z)E, H = −k 2 n 2 (x, y, z)H. 10

(5.4.34)

For a gradient index fiber, the dielectric is only weakly inhomogeneous due to the small refractive index difference and the continuous curve of the refractive index. The same also applies to a real step index fiber since the refractive index curve is actually smoothed as opposed to having an exact step form.

5 Field-Based Description of Propagation on Waveguides

395

In Cartesian coordinates, these wave equations reduce to the scalar wave equation which holds for each component of the fields: A = −k 2 n 2 (x, y, z)A.

(5.4.35)

The simplest solution of the wave equation in the homogeneous medium is the harmonic homogeneous plane wave (see Sect. 5.3.1) for which each component is characterized by a constant complex amplitude. In the weakly inhomogeneous medium, waves no longer propagate in straight lines but rather are bent; however, plane waves are present locally. Thus, in the weakly inhomogeneous medium, we apply a wave with a complex amplitude that varies slowly: ˆ A(x, y, z) = A(x, y, z) · e−jk S(x,y,z) .

(5.4.36)

Here, S(x, y, z) is known as the normalized phase, optical path length or eikonal. Wavefronts are surfaces with constant phase such that the following applies to them: S(x, y, z) = const. Using the approximation from geometrical optics λ → 0 (or k → ∞), application of Eq. (5.4.36) in the scalar wave Eq. (5.4.35) leads to what is known as the eikonal equation: (grad S)2 = n 2 .

(5.4.37)

This is the basic equation of geometrical optics since it ties the refractive index distribution to the behavior of the wavefronts. However, it is often more practical to consider the behavior of the light beams instead of the wavefronts. Figure 5.22 illustrates the relationship between beams and wavefronts. Here, s is the path and

S

S + dS

n0 grad n

n0 + Dn n0 + 2Dn

dr (s)

s=0

n0 + 3Dn n0 + 4Dn n0 + 5Dn

s

r(s)

r(s + ds)

0 Fig. 5.22 Wavefronts and beams in an inhomogeneous dielectric material

396

H. Arthaber

a

b

c

d

Fig. 5.23 Beams and their projection on the fiber cross-section in a step index fiber. a Meridional rays; b skew rays and in a gradient index fiber; c meridional rays, d skew rays

r(s) is the position vector along the observed beam. dr is the differential change in the position vector on the path ds. Using the eikonal equation, we can derive an equation to calculate the behavior of the beam r(s):   dr d n = grad n. ds ds

(5.4.38)

This is known as the beam differential equation of geometrical optics. It says that beams in an inhomogeneous dielectric material are curved in the direction of increasing refractive index (grad n). In relation to beam propagation in optical fibers, we must differentiate two beam types: meridional rays cross the fiber axis and travel in a plane. On the other hand, skew rays propagate in a helical pattern and have an external and an internal cylindrical boundary surface (caustic). For a ray that is transported in a step index fiber, a polygonal line arises due to the total reflection at the core/cladding boundary surface as shown in Fig. 5.23. One of the most important properties of an optical fiber is the dispersion. This is defined as the spreading of a short optical pulse on the path through the optical fiber. In a multimode fiber, the mode dispersion generally dominates. It arises due to different phase constants for the individual modes that can propagate. Using geometrical optics, we can calculate a good approximation of the mode dispersion in a multimode fiber. From the perspective of geometrical optics, mode dispersion represents multipath propagation. The difference between the longest and shortest optical path length (S max and S min ) through the fiber core determines the mode dispersion. The optical path length S is determining by integrating with respect to the refractive index along the path of the considered light beam:  S=

n(s)ds.

(5.4.39)

5 Field-Based Description of Propagation on Waveguides

397

The temporal spreading due to mode dispersion is thus T = Tmax − Tmin =

1 (Smax − Smin ). c

(5.4.40)

For the sake of simplicity, we will only consider meridional rays in the following discussion. In a step index fiber, the path traveled through the fiber core has a zigzag shape. The optical path length is determined by the angle γ between the considered beam and the fiber axis. From the perspective of geometrical optics, the angle γ can assume a continuum of values between zero and the critical angle γ total = arccos(na /n0 ) right at the limit of total reflection. The temporal spreading is thus   1 n 20 L n0 L T = − n0 L ≈ · . c na c

(5.4.41)

It is proportional to the length L of the optical fiber and the relative refractive index difference. For realistic numerical values (n0 = 1.48; na = 1.46;  = 1.38%; L = 5 km), a relatively large value is obtained for the temporal spreading (T = 340 ns) such that multimode step index fibers can be used only for short distances and slow data rates. The temporal spreading can be reduced by using a profile with a continuous change in the refractive index (gradient index fiber) instead of the step index refractive index profile. Here, the refractive index profile should have a curve such that a beam propagates faster the further it is located from the fiber axis. The refractive index profile must be optimized such that all transported beams have on average as close as possible to the same propagation velocity in the z direction. Using a quadratic Gloge and Marcatili index profile of Eq. (5.4.30) with g = 2, approximate propagation time equalization can be attained such that the mode dispersion is considerably reduced. The path of a meridional ray is obtained by solving the beam differential equation in cylindrical coordinates (r, ϕ, z) where we set (∂/∂ϕ) = 0. The radial component of the beam differential equation and the component in the propagation direction are as follows:   dr dn d n = , (5.4.42) er : ds ds dr   dz d ez : n = 0. (5.4.43) ds ds Integration of Eq. (5.4.43) leads to the beam invariant: n

dz = n(r ) cos γ (r ) = n γ = const. ds

(5.4.44)

Here, γ is the angle between the light beam and the fiber axis. The beam invariant nγ is determined by the entrance location and entrance angle into the fiber core and is

398

H. Arthaber

constant for every beam. Using the quadratic index profile (g = 2), we can transform Eq. (5.4.42) into the following oscillating differential equation: 2n 20 d2 r + r = 0. dz 2 a 2 n 2γ

(5.4.45)

Solution of this equation leads to harmonic oscillations characterizing how the beam travels through the fiber core:  z r (z) = rˆ cos 2π + ϕz . zp 

(5.4.46)

The spatial period zp of the oscillations is dependent on the amplitude rˆ since the beam invariant nγ which can be expressed with rˆ is contained in the oscillating differential Eq. (5.4.45):  zp =

  2 2 rˆ πa 1 − 2 .  a

(5.4.47)

Since the spatial period is not equally large for all amplitudes rˆ , the optical path lengths of different beams through the fiber do not exactly coincide. The optical path length for long fibers (L  zp ) is '

  ( 1 2 rˆ 4 S ≈ n0 L 1 +  . 2 a

(5.4.48)

This equation shows that the beam that passes straight through (ˆr = 0) has the shortest optical path length. The longest optical path length is associated with a beam that is right at the limit of transport in the fiber core (ˆr = a). The temporal spreading is thus T ≈

n0 L 1 2 ·  . c 2

(5.4.49)

If we use the same numerical values like for the step index fiber, we obtain significantly lower temporal spreading due to mode dispersion compared to the step index fiber: T = 2.35 ns. However, this does not represent the minimum possible temporal spreading. The optimum index profile deviates only slightly from the quadratic profile; it has the parameter g = 2 − 2. The minimum temporal spreading is obtained with this refractive index profile: T ≈

n0 L 1 2 ·  . c 8

(5.4.50)

5 Field-Based Description of Propagation on Waveguides na n0

g (r )

399

2a

Jmax

Fig. 5.24 Calculation of the numerical aperture for a gradient index fiber, acceptance angle ϑ max and acceptance cone

By means of a continuous transition in the refractive index from the core to the cladding, the mode dispersion in a gradient index fiber can be reduced by two to three powers of ten compared to a step index fiber. It can be demonstrated that with a Gloge and Marcatili index profile, the meridional rays and skew rays have the same temporal spreading [28]. Up to now no refractive index profile has been discovered to exactly equalize the propagation times of meridional rays and skew rays. In order for a beam to be transported in a fiber, the angle ϑ between the beam and fiber axis (Fig. 5.24) must not exceed a certain value. At the other end of the fiber, light is likewise emitted only below this angle. For the sake of simplicity, we will only consider meridional rays. Applying Snell’s law to the fiber end face gives the following: n(r ) · sin γ (r ) = 1 · sin ϑ.

(5.4.51)

A beam that strikes the cladding (i.e. a beam at the limit of transport in the fiber core) has the beam invariant: n(r ) · cos γ (r ) = n a · cos 0.

(5.4.52)

Applying this equation with the law of refraction at the fiber end face and solving for the sine of the maximum permissible angle of incidence ϑ max (known as the numerical aperture AN ), we obtain: AN = sin ϑmax =

!

n 2 (r ) − n 2a .

(5.4.53)

The maximum permissible angle of incidence ϑ max is known as the acceptance angle. For a step index fiber, the numerical aperture is independent of the radius r. For a gradient index fiber, the numerical aperture continuously decreases from the !

maximum value at the center AN = n 20 − n 2a to zero at the core/cladding boundary. If we also consider skew rays, we obtain acceptance cones with a half aperture angle that is equal to the acceptance angle ϑ max (r). The numerical aperture governs the coupling efficiency between the optical signal source and the optical fiber. When coupling a large-scale planar Lambertian radiator, e.g., LED planar emitter, a gradient index fiber with g = 2 accepts exactly half as

400

H. Arthaber y

kn(r )

y

kj ktr

g

kr j

b

x

J

z x 2a

2a

Fig. 5.25 Local wave vector kn and its components k ϕ , k r and β

much power as a step index fiber. The lower coupling efficiency is the cost of the significantly lower mode dispersion. In our discussion so far on the propagation of beams in multimode fibers, a mode continuum was assumed. However, wave optics shows that actually only a finite number of modes can be propagated because the wavelength is not infinitely small with respect to the core diameter of a multimode fiber. We can consider this fact using transverse resonance conditions (self-consistency conditions) analogous to Eq. (5.4.9). Here, the wave vector kn of a beam is broken down into the azimuthal component k ϕ , radial component k r and axial component β (see Fig. 5.25). Everywhere in the optical fiber, the magnitude k n of the wave vector is equal to the product of the local refractive index n(r) and the wavenumber k = 2π/λ where λ is the wavelength of light in free space:  r kn (r ) = n(r )k = n 0 k 1 − 2 a

g

for r < a,

(5.4.54)

In this √ manner, k n always lies between the extreme values k 0 = n0 k and k a = na k = k 0 1 − 2. The axial component of the wave vector of a mode is the propagation coefficient β whose value is location-independent. Unlike β, the azimuthal component k ϕ and the radial component k r are not constant along the propagation direction. The transverse resonance condition requires a beam to constructively interfere with the original after one revolution about the fiber axis. We thus obtain the following for the azimuthal component k ϕ of the wave vector:

5 Field-Based Description of Propagation on Waveguides

401

2π kϕ · r dϕ = kϕ · 2πr = v · 2π



kϕ =

v . r

(5.4.55)

0

Here, v is the azimuthal mode number (v = 0, 1, 2, …). It determines that 2v intensity maxima lie on the circumference (r = const). In conjunction with k ϕ according to Eq. (5.4.55), we can express the radial component as follows:  kr =

kn2 (r ) − β 2 −

v r

2

.

(5.4.56)

For modes that are transported in the fiber with low losses, all components of the wave vector k r , k ϕ and β must be real. From Eq. (5.4.56), it is immediately clear that the expression under the root must be positive. At the boundary with geometrical optics, this implies that light beams exist in the range v

2

r

< n 2 (r )k 2 − β 2

(5.4.57)

> n 2 (r )k 2 − β 2

(5.4.58)

while there is shade in the range v r

2

For different types of modes, Fig. 5.26 illustrates the right and left sides of the inequalities (5.4.57) and (5.4.58) for a gradient index fiber. The intersections of the functions determine the radii r 1 to r 3 which demark the limits between light and shade. These cylindrical boundary surfaces are known as caustics. The shaded ranges are hatched in Fig. 5.26. Case a represents meridional modes (meridional rays) with v = 0 which have only an outer caustic for r = r 2 . Beams can propagate only in the range |r| < r 2 . For generally guided modes (skew rays—case b), we obtain the two caustics r = r 1 and r = r 2 between which k r is real and light beams can propagate. For the special case in which the two caustics r = r 1 and r = r 2 converge, i.e. r 1 = r 2 = r H (dashed lines in Fig. 5.26b), we obtain helix modes (helix rays). They propagate in a helical pattern and the spacing of the beams from the fiber axis is constant. For step index fibers, the outer caustic is determined by the core/cladding boundary surface: r 2 = a. Case c (β < k a ) reveals a class of modes that are guided but which also simultaneously radiate power. These more or less highly lossy and thus attenuated modes are known as leaky modes (leaky rays). The main difference between guided modes and leaky modes is that a third caustic arises for r = r 3 . The radiation of a beam transported in the range r 1 < |r| < r 2 cannot be explained with the aid of geometrical optics. Wave optics is required to demonstrate that in the shade range r 2 < |r| < r 3 , a fast decaying (evanescent) field is present such that power can also reach the range

402

H. Arthaber

a

b

(n/r ) 2

kn2 – b 2

kn2 – b 2

(0/r )2

–a

0

– r2

r

a

r2

– rH

–a

– r2 – r1

c

rH

0 r1

r

a r2

d

kn2 – b 2

(n/r ) 2

(n/r)2 kn2 – b 2

– r3 – a – r2

– r1

0

r1

r2 a

r3

r

–a

– r1

0

r1

a

r

Fig. 5.26 Differentiation of different types of modes for a gradient index fiber by analyzing inequalities (5.4.57) and (5.4.58). a Meridional modes; b generally guided modes; c leaky modes and d radiation modes

|r| > r 3 and be radiated. This phenomenon can be interpreted as a tunneling effect in which photons pass through the forbidden shade range region. If β 2 is too small (case d), the light beams can exit the core into the cladding; they lead to the continuum of radiated waves (refracted beams) which are also known as radiation modes (or unbound modes). These beams can be partially transported in the cladding; in this case, they are known as cladding modes. For ϕ = const, the transverse resonance condition requires a beam to constructively interfere with the original beam after a reflection on the inner caustic and a reflection on the outer caustic. For the radial component of the wave vector, we thus obtain: r2 kr dr +

φ1 + φ2 = (μ − 1)π. 2

(5.4.59)

r1

Here, φ 1 and φ 2 are the phase shifts which occur for the total reflections at the caustics. μ is the radial mode number (μ = 1, 2, 3, …); it determines the number

5 Field-Based Description of Propagation on Waveguides

a

403

b

m/V

m/v

b = Constant Guided modes

b = Constant

Radiation modes

Leaky modes

Guided modes

Leaky modes

Radiation modes

1/p 1/4

1/4

1/8

1/8

0

0.25

0.50

0.75

1.00

1.25

n/v

0

0.25

0.50

0.75

1.00

1.25 n/v

Fig. 5.27 Differentiation of different types of modes by the mode numbers v and μ relative to the fiber parameter V for a fiber with a quadratic power profile, b step index profile

of radial intensity maxima. For a given v, the propagation constant β is determined with Eq. (5.4.59). The mode numbers v = 0, 1, 2, … and μ = 1, 2, 3, … define what is known as the LPvμ modes which have fields with linear polarization due to the beam approach. We will consider this topic in greater depth in the next section. Figure 5.27 illustrates the different types of modes in the v/μ plane of the mode numbers. The parameter V introduced in Fig. 5.27 is known as the fiber parameter or normalized optical frequency since it is proportional to the optical angular frequency ω: ! √ ω n 20 − n a2 . (5.4.60) V = ak0 2 = a c The fiber parameter V is independent of the shape of the refractive index profile and has a value of about 50 in typical multimode fibers. The area of the individual regions in Fig. 5.27 multiplied by 4V 2 is equal to the number of modes contained in them. Here, the factor 4 can only be justified in terms of wave optics since each LPvμ mode (v = 0) can occur in two mutually orthogonal polarizations and in two different orientations (see Sect. 5.4.3.4). The total number of modes transported in the fiber is proportional to the square of fiber parameter V; for a waveguide with a power profile, we obtain [34]: M=

V2 g · . g+2 2

(5.4.61)

Thus, a gradient index fiber with g = 2 can transport only half as many modes as a fiber with a step index profile (g → ∞).

5.4.3.4

Characterization of Optical Fibers Based on Wave Optics

Geometrical optics cannot be applied in the case of optical fibers in which only a small number of modes can propagate (i.e. monomode fibers) since the diameter of

404

H. Arthaber

the fiber core is no longer large with respect to the wavelength of the transported signal. Accordingly, we generally require a solution to the vectorial wave equation. The result is summarized in Sect. 5.4.2 for a step index fiber in Eqs. (5.4.25) and (5.4.26). In optical communications technology, weakly guiding fibers are generally used in which the relative refractive index difference is less than one percent. In weakly guiding fibers (  1), the guided waves in the core and cladding are almost purely transverse (consistently polarized) such that the field distributions can be determined as a very good approximation by solving the scalar wave Eq. (5.4.35). Analytical solutions to the scalar wave equation are known for the step index profile and for the infinitely extended parabolic index profile [34]. We will consider only the step index profile in the following discussion. Contrary to Sect. 5.4.2, we will use the standard nomenclature from the field of optical communications here. The scalar wave equation in cylindrical coordinates is given by the following expression: 1 ∂2 A ∂2 A ∂2 A 1 ∂ A + + 2 + k 2 n 2 A = 0. + ∂r 2 r ∂r r 2 ∂ϕ 2 ∂z

(5.4.62)

This partial differential equation can be solved using the separation approach: A(r, ϕ, z) = Ar (r ) · Aϕ (ϕ) · Az (z)

(5.4.63)

Applying this approach to the wave equation, we obtain three ordinary differential equations: ) v 1 ∂ Ar ∂ 2 Ar + k 2n2 − β 2 − + 2 ∂r r ∂r r

2

* Ar = 0,

(5.4.64)

∂ 2 Aϕ + v 2 Aϕ = 0, ∂ϕ 2

(5.4.65)

∂ 2 Az + β 2 Az = 0. ∂z 2

(5.4.66)

Equations (5.4.65) and (5.4.66) are oscillating differential equations with solutions that result in a sinusoidal or cosinusoidal dependence of the field amplitude on the angle ϕ and unattenuated wave propagation in the positive or negative z direction: Aϕ (ϕ) = c1 cos(vϕ) + c2 sin(vϕ),

(5.4.67)

Az (z) = e∓jβz .

(5.4.68)

Equation (5.4.64) is a Bessel differential equation with cylinder functions as its solution. In the fiber core in which the transverse component of the wave vector is

5 Field-Based Description of Propagation on Waveguides

405

real: ktr2 = kr2 + kϕ2 = k 2 n 20 − β 2 > 0,

(5.4.69)

we obtain Bessel functions, and in the cladding in which the transverse component is imaginary (ktr2 < 0), we obtain modified Bessel functions of the second kind (mod. Hankel functions). This result for the radial field distribution is the same as that for the Cartesian components E z and H z of the vectorial solution according to Eqs. (5.4.25) and (5.4.26). The complete solution for guided waves that propagate in the positive z direction is thus:   Jv u ar [c1 cos(vϕ) + c2 sin(vϕ)]e−jβz for r ≤ a   A(r, ϕ, z) K v w ar [c3 cos(vϕ) + c4 sin(vϕ)]e−jβz for r ≥ a 

(5.4.70)

In the fiber core, we use the term ! u = aktrk = a k 2 n 20 − β 2

(5.4.71)

! w = −jaktrm = a β 2 − k 2 n 2a .

(5.4.72)

and in the cladding the term

The ratio of the coefficients c1 /c3 = c2 /c4 is obtained by matching the tangential fields at the core/cladding boundary surface. This matching leads to the characteristic equation with which we can determine the parameters u and w for the individual modes: u

K v+1 (w) Jv+1 (u) =w . Jv (u) K v (w)

(5.4.73)

The parameters u and w both depend on the propagation constant β, but it can be shown that the sum of u2 and w2 is independent of β and equal to the square of the normalized optical frequency: u 2 + w2 = V 2 .

(5.4.74)

Solution of the equation system (5.4.73) and (5.4.74) leads to value pairs u, w which we can use to calculate the propagation constant β according to Eq. (5.4.71) or (5.4.72) and the ratio c1 /c3 = c2 /c4 with the aid of the following relationship: c1 K v (w) . = c3 Jv (u)

(5.4.75)

406

H. Arthaber 8 LP01 LP11 LP21

7 6

LP02 LP12LP41LP03 LP51 LP61 LP13 LP22 LP32

LP31

V=6

LP42

5

w

4 3 2

LP71

V=2

1 0

1

2

3

4

5

6

7

8

9

10

11

u

Fig. 5.28 Graphical solution of the characteristic equation for V = 2 and V = 6

The equation system (5.4.73) and (5.4.74) can be solved numerically or graphically with the aid of Fig. 5.28. Each intersection between the arc V = const. and Eq. (5.4.73) corresponds to a mode LPvμ that can be propagated. The scalar solution of the scalar wave equation leads to linearly polarized LPvμ modes which are transverse electromagnetic (TEM) waves. Each LPvμ mode is associated with a mode quartet since each mode defined by the mode numbers v and u (with the exception of modes with v = 0) can exist in two mutually orthogonal polarizations (parallel ex or ey ) and two different orientations. The two orientations correspond to an angle dependency of the fields which can be proportional to cos ϕ or sin ϕ. In the case where v = 0, only two modes can be distinguished which have orthogonal polarizations. Figure 5.29 illustrates the distributions of the intensity (power density) of the lowest LPvμ modes. In weakly guiding optical fibers, the linearly polarized LPvμ modes can be represented as a linear combination of degenerated hybrid modes (see Sect. 5.4.2) which follow from the exact solution of the vectorial wave equation [26, 32–35]. As long as the normalized optical frequency V is less than the cutoff frequency V g = 2.405 of the first higher order mode LP11 , the fiber is monomode since only the fundamental mode LP01 (HE11 hybrid mode) can be propagated. At the cutoff frequency of the LP11 mode, about 1/5 of the power of the fundamental mode is transported in the cladding and a correspondingly larger share at lower normalized frequencies. It therefore does not suffice to manufacture only the core of the monomode fiber from extremely low-attenuation material; a cladding layer of suitable thickness must also exhibit low attenuation. In a monomode fiber with absolute cylindrical symmetry, two mutually independent LP01 modes can always be propagated that exhibit identical properties except for the polarization. Due to unavoidable asymmetries that are also caused by external

5 Field-Based Description of Propagation on Waveguides

407

LP03

LP02

LP12

LP22

LP01

LP11

LP21

LP31

LP41

LP51

Fig. 5.29 Qualitative depiction of the intensity distribution of the lowest-order LPvμ modes

influences such as pressure and temperature, coupling occurs between these modes such that the state of polarization at the end of a monomode fiber is undetermined and fluctuates over time. To avoid variation of the state of polarization along a monomode fiber, we can use polarization-maintaining fibers [33, 35, 36]. In such fibers, birefringence (different propagation constants for the two orthogonal polarizations) is exploited to obtain negligible coupling of the modes. Birefringence is produced with asymmetry in the fiber core (e.g. elliptical) and especially with mechanical tensions in the fiber core. Mechanical tensions are created by asymmetrically introducing materials with divergent thermal expansion coefficients. The mechanical tensions are established during cooling in the manufacturing process. The main benefit of an ideally circular monomode fiber is that no mode dispersion occurs. Nevertheless, dispersion still arises in a monomode fiber since the group delay of all guided modes (i.e. including that of the fundamental mode) is dependent on the optical frequency. Due to the finite spectral width of the optical signal source, a short optical pulse becomes spread since the waveguide has a different group delay for the individual spectral components of the transmit signal. This effect is known as waveguide dispersion. The group delay’s dependence on the frequency can be explained using Fig. 5.28 based on the characteristic equation: If the normalized frequency V is changed, the intersections with the curves are shifted in accordance with Eq. (5.4.73). The shifted coordinates of the intersections u, w lead to a different propagation constant, thereby also generally resulting in a different group delay. The waveguide dispersion is illustrated in Fig. 5.30: There, the effective group index n geff of the lowest modes is shown as a function of the normalized frequency V. The effective group index is defined as the refractive index of a homogeneous medium

408

H. Arthaber n0 (1+Δ) LP51

LP32 LP42 LP61

LP41 LP31

n0 LP22

ng

eff

LP21

LP01

LP11

LP12

LP02

LP03

LP13

n0 (1-Δ) 0

2

4

6

8

10

V

Fig. 5.30 Effective group index n geff of the lowest modes of a step index fiber as a function of normalized optical frequency V

in which a plane wave propagates at the same velocity as the observed mode in the waveguide. The group delay coefficient tg of a mode through a waveguide is thus obtained as follows: tg =

n geff . c

(5.4.76)

The frequency dependency can be interpreted as follows: At low normalized frequencies (close to the cutoff frequency V g of the observed mode), the field penetrates deep into the cladding and the refractive index of the cladding na determines the propagation velocity. At high normalized frequencies, the field of each mode is concentrated in the core such that the refractive index of the core determines the propagation constant. For each individual mode, we have lim n geff = n a ,

v→Vg

lim n geff = n 0 .

v→∞

(5.4.77)

The mode dispersion of a multimode fiber is also recognizable in Fig. 5.30: At high optical frequencies, the effective group indices of the different modes are distributed over the interval n0 ≤ n geff ≤ n0 (1 + ). This is exactly the range predicted by geometrical optics.

5 Field-Based Description of Propagation on Waveguides

5.4.3.5

409

Attenuation

The attenuation of an optical fiber is described by Beer-Lambert law: P(z) = P(0)e−α D z ,

(5.4.78)

i.e. the decrease in light power P takes place exponentially with fiber length z. The attenuation coefficient α D is composed of the material-dependent components of absorption and scatter along with the radiation component. Today, low-attenuation optical fibers are constructed on the basis of extremely pure quartz (SiO2 ) and doping materials such as Ge, B, P or F. Absorption losses arise due to impurities and the self-absorption of the glass material that is used. Selfabsorption arises in the ultraviolet (UV) range due to atomic electron transitions, but the absorption maximum lies at λ = 140 nm so we can neglect electron transitions in the infrared (IR) range. In the infrared range, absorption occurs due to excitation of molecular vibrations in the quartz or the doping materials. Pure quartz glass has absorption resonances at λ = 9, 12.5, 21 and 36.4 μm. Attenuation maxima occur at these resonances as well as their harmonics and mixing products; the absorption resonance with the shortest relevant wavelength is at λ = 3 μm. Superposition of the slopes of all of the absorption resonance spectra determines the attenuation of optical fibers in the wavelength range (λ ≥ 1550 nm). Scattering losses are caused primarily by light scattering at microscopic refractive index fluctuations in the amorphous glass material. Since the correlation length of these refractive index fluctuations in the glass network is very small with respect to the wavelength and the refractive index fluctuations are small with respect to the average refractive index, Rayleigh scattering occurs as a result of refractive index fluctuations. The attenuation contribution due to Rayleigh scattering is proportional to 1/λ4 , thereby causing higher attenuation towards the UV range [34]: αD =

2 4π3  2 · n i − n 2 Vi . 4 3λ " #$ %

(5.4.79)

VS

Here, ni is the refractive index of a single microscopic scattering body, n 2 is the quadratic average of the refractive index and V i is the volume of the scattering body. The average value V s that appears in Eq. (5.4.79) is known as the scattering volume. For liquids, the scattering volume is proportional to the absolute temperature due to molecular thermal agitation. For amorphous materials such as quartz glass, the solidification temperature is critical. In addition to microinhomogeneities in pure quartz glass, microscopic mixing fluctuations occur in doped quartz glass that is mixed from multiple materials which also cause Rayleigh scattering. The share of the Rayleigh scattering in the reverse direction which is transported by the optical fiber can be detected at the start of the fiber. This effect is exploited by optical time-domain reflectometers which transmit a short light pulse into the fiber

410

H. Arthaber 10 4 IRabsorption

a D (dB/km)

2 1 0.8 0.6 0.4 0.2 0.1 800

Rayleigh scattering 1000

1200 l (nm)

1400

160 0

1800

Fig. 5.31 Attenuation of typical optical fibers (monomode fibers and gradient index fibers)

and then measure the power of the backscattered light. In this manner, the attenuation coefficient can be determined from one end of the fiber based on the fiber length. The actual fiber length can also be determined if the effective refractive index is known. Scattering due to faults such as bubbles, inclusions and diameter fluctuations with dimensions in the range of the light wavelength or greater are known as Mie scattering. The associated losses only decrease proportional to 1/λm with the wavelength; the parameter m is in the range 0 < m < 4 depending on the size of the fault. In modern optical fibers, Mie scattering is generally negligible. Radiation occurs especially at bends in the fiber because a part of the guided modes in the straight fiber is transformed into highly attenuated leaky modes. This is especially critical in monomode fibers. Coating of the fiber generally helps to prevent microbends due to external deformations with a small period length. The basic limits on the attenuation of quartz glass are related to Rayleigh scattering in the range of short wavelengths and the absorption in the excitation of molecular resonances in the range of larger wavelengths. Figure 5.31 illustrates the range of the attenuation curve for a typical monomode fiber (this also corresponds to the attenuation range of a multimode gradient index fiber). With modern fibers, the attainable attenuation is close to the theoretical limit over a wide wavelength range. The minimum attenuation is attained for λ ≈ 1550 nm and is equal to α D ≈ 0.2 dB/km. Deviations from the theoretical curve occur primarily due to impurities. The attenuation due to impurities is proportional to the concentration thereof. For productionrelated reasons, a low level of water contamination occurs which leads to disruptive absorption resonances due to the harmonics at λ = 1.39 μm and λ = 0.93 μm resulting from OH vibration of the hydroxyl group at λ = 2.78 μm. Thus, contamination by OH ions with a weight proportion of 10–6 results in additional attenuation of approx. 48 dB/km at λ = 1.39 μm. Nowadays, contamination due to metal ions (e.g. Fe, Cr, Ni) is no longer a problem with quartz glass fibers since it is technically feasible to maintain concentrations εr μr 2a/m, both quantities are imaginary and α h = β h /j is real. In this case, no wave propagation is possible on the line, but there still exists a field that decays exponentially in the y direction corresponding to α h . This mode is known as the evanescent mode. The transition from the propagation mode to the evanescent mode √ occurs at λ0 = εr μr 2a/m. This wavelength is known as the cutoff wavelength λc : λc =

√ εr μr 2a/m.

(5.6.3)

The cutoff wavelength λc corresponds to a cutoff frequency c m . fc = √ εr μr 2a

(5.6.4)

A quantity k c is often introduced as well. In the treatment of higher modes by solving the wave equation, it appears as a separation constant that is dependent only on the geometry of the line. k c is linked to λc via the following equation: kc =

2π √ mπ . εr μr = λc a

(5.6.5)

We can consider E waves in a parallel-plate line as a dual case. They arise if we apply a polarization corresponding to Fig. 5.9b for the homogeneous plane wave. All of the equations given so far in this section also apply with no changes to E waves since β E = β h . Differences arise only in the wave impedances Z WH and Z WE . From Eqs. (5.3.39) and (5.3.40), it follows that Z WH = ZW /sin ϑ and for E waves Z WE = ZW sin ϑ and thus

5 Field-Based Description of Propagation on Waveguides

+ Z WH = Z H

 1−

+ Z WE = Z H

 1−

λ0 λc λ0 λc

419

2 ,

(5.6.6a)

.

(5.6.6b)

2

We use the integer m as an index to characterize the individual modes. It simultaneously indicates the number of sine or cosine half-cycles in the transverse field distribution along the path a. In the direction that is transverse and perpendicular to a, the field components are spatially in dependent. This is expressed by a second index 0. H m0 and E m0 fields with m = 1, 2, 3, etc. can therefore exist on a parallel-plate line. Compared to the dielectric waveguide, we find two characteristic differences: 1. 2.

For a finite a, there is no TE or TM mode with cutoff frequency f c = 0. (Conversely, the TEM wave with f c = 0 can naturally propagate.) For every frequency f , all of the modes can always exist (in accordance with the ratio f cm0 /f ) as evanescent or propagation mode.

5.6.2 The Rectangular Waveguide If we arrange two further conductive walls with a mutual spacing b ≤ a in a parallelplate line perpendicular to its walls and parallel to the propagation direction, we obtain a rectangular waveguide as shown in Fig. 5.35b. The two new plates have no influence on an H m0 field because the electric field lines are always perpendicular with respect to them and the magnetic field lines are parallel to them everywhere. The H 10 mode in the rectangular waveguide is the wave with the lowest cutoff frequency and is thus designated as the fundamental mode. However, an E m0 field cannot exist since without a dependence of the field components along the path b, a tangential electric field strength would occur at the new metal plates and would be short-circuited. Figure 5.36 shows the field pattern of the H 10 mode in the rectangular waveguide. We can imagine that H 0n fields in the rectangular waveguide arise through successive reflection of a homogeneous plane wave along the “parallel-plate line” with the spacing b between the two plates. E 0n fields are not possible for the same reason as E m0 fields. The interference principle can also be applied to H mn and E mn fields in the rectangular waveguide. Here, we imagine that a homogeneous plane wave with suitable polarization is incident on a metal wall as seen in Fig. 5.9 such that its plane of incidence no longer coincides with the y, z plane. Due to reflection of this wave at a second metal wall in the y, z plane, an interference pattern arises with nodal planes of the electric field strength along the z axis as well as along the x axis. We can again arrange metal walls in these planes and in the planes with spacings a and b without disrupting the resultant field pattern. This interference pattern also arises

420

H. Arthaber

x

z

a

y b

y

z

a

x

b

Fig. 5.36 Fields of the H 10 mode in the rectangular waveguide. a Electric field lines –––––, magnetic field lines ---- in three intersecting planes; b wall surface currents on the inner sides of the surfaces as a continuation of the displacement lines

through superposition of four homogeneous plane waves with a suitable inclination of the propagation directions and the appropriate polarization. The E 11 mode in the rectangular waveguide is the E wave with the lowest cutoff frequency. If we formulate the equations given for the parallel-plate line with λc , we can apply them with no changes to the rectangular waveguide too. However, the individual modes differ in terms of their k c or λc . In the general case, we now have the following

5 Field-Based Description of Propagation on Waveguides

421

lc

l c /2

b/n

b/n

l c /2 a/m

Fig. 5.37 Construction of the cutoff wavelength λc for a rectangular waveguide

in place of Eq. (5.3.5): kc2mn =

 mπ a

2

+

 nπ b

2

.

(5.6.7)

Further indexing of k c is not required since it is identical for the E and H fields. From Eqs. (5.3.7) and (5.3.5), we obtain the following for λc : λcmn =



εr μr &

2ab (mb)2 + (na)2

(5.6.8)

Using this equation with the geometric mean theorem, we can also determine λc graphically as illustrated in Fig. 5.37. Figure 5.38 shows a normalized representation of α and β as well as vph = ω/β and vgr = dω/dβ as a function of f / f c . The normalized representation of Z WH and Z WE as a function of f / f c appears in Fig. 5.39. Based on superposition of parallel-plate line waves or by solving the wave equation, we find the following for the field components of the H mn fields in a rectangular waveguide:

422

H. Arthaber 7

7 6

6

b 2p m e lc r r

4

4

3

3 b 2p m e lc r r

2

2

a 2p m e lc r r

1 0

uph u

ugr u

5

a 2p m e r r lc

5

,

uph u

1 ugr u

b =0 0

1

2

a =0 3 f/fc

4

5

6

7

0

Fig. 5.38 Phase constant β –––, attenuation constant α phase velocity vph and group velocity vgr in a normalized representation. Valid for all H and E waves in a homogeneous, lossless waveguide with arbitrary cross-sectional geometry. Differentiation into individual cases only with √ numerical value of f c or λc . We have v = c/ μr εr

4

Z WE Z WH , ZW ZW

3

2 Z WH 1 Z WE 0

1

2

3 f/fc

4

5

6

7

Fig. 5.39 Wave impedance Z WH and Z WE for a homogeneous lossless waveguide with arbitrary cross-sectional geometry in a normalized representation. Differentiation into individual cases only √ with λc or f c . We have Z W = Z 0 μr εr

5 Field-Based Description of Propagation on Waveguides

423

⎫ nπy −j 2π mπx z ⎪ cos e λh , ⎪ ⎪ a b ⎪ ⎪   ⎪ ⎪ π k μr mπ mπx nπy −j 2π ⎪ λh z+ 2 ⎪ ,⎪ E y = Hz0 2 Z 0 sin cos e ⎪ ⎪ kc εr a a b ⎪ ⎪  ⎪ ⎪ π mπx nπy −j 2π 2π mπ ⎪ ⎪ λh z− 2 ⎬ sin cos e , Hx = Hz0 2 λh k c a a b   ⎪ mπx nπy −j 2π k μr nπ z− π , ⎪ ⎪ cos sin e λh 2 ⎪ E x = Hz0 2 Z 0 ⎪ ⎪ ⎪ kc εr b a b ⎪ ⎪  ⎪ ⎪ π mπx nπy −j 2π 2π nπ ⎪ λh z− 2 ⎪ cos sin e Hy = Hz0 , ⎪ ⎪ 2 ⎪ λh k c b a b ⎪ ⎪ ⎭ E y = −Z WH Hx , E x = Z WH Hy . Hz = Hz0 cos

(5.6.9)

For the E mn fields, we have nπy −j 2π mπx z sin e λh , a b  π 2π mπ mπx nπy −j 2π λh z+ 2 E x = E z0 , cos sin e 2 λh k c a a b  mπx nπy −j 2π k 1 mπ z+ π cos sin e λh 2 Hy = E z0 2 ! μ kc Z 0 r a a a

E z = E z0 sin

εr

⎫ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ,⎪ ⎪ ⎪ ⎬

 ⎪ π ⎪ mπx nπy −j 2π 2π nπ ⎪ λh z+ 2 ⎪ sin cos e , E y = E z0 ⎪ ⎪ λh kc2 b a b ⎪ ⎪ ⎪  2π π ⎪ mπx nπy −j λ z− 2 ⎪ k 1 nπ h ⎪ sin cos e ,⎪ Hx = E z0 2 ! ⎪ ⎪ k c Z 0 μr b a b ⎪ ⎪ ⎪ εr ⎪ ⎪ ⎭ E x = Z WE Hy , E y = −Z WE Hx .

(5.6.10)

Figure 5.40 shows the field patterns for the H 11 and E 11 modes. In Eqs. (5.3.9) and (5.3.10) as well as in Figs. 5.36 and 5.40, we introduced the more customary orientation of the coordinate system with the z direction as the direction of propagation.

5.6.3 The Circular Waveguide The theoretical treatment of the circular waveguide is exactly analogous to that of the rectangular waveguide. The results for the waveguide wavelength λh , wave impedance Z WH and Z WE as well as the phase and group velocity are thus the same for rectangular and circular waveguides. Hence, it is only necessary to specify the mathematical representation of the E and H waves and determine their cutoff frequencies or cutoff wavelengths.

424

H. Arthaber

z

y

x

y

H11-field x

z

y

y

H11-field Fig. 5.40 Electric (–––) and magnetic (----) field lines in the rectangular waveguide for the H 11 mode; b E 11 mode. In the cross-sectional representations (right), the top left quarter of the a H 11 field corresponds to the bottom right quarter of the E 11 field if (––––) and (– – –) are swapped

The only conceivable solution to the wave equation in cylindrical coordinates which can hold for a circular waveguide is the Bessel function J m . In terms of the indexing of the field strengths with integers m and n, we have the following: For H waves, in the cross-section we have m diameters on which the axial magnetic field strength disappears, and n concentric circles about the waveguide axis with a diameter that does not disappear on which the electric field components that are tangential to these circles disappear. For E waves, in the cross-section we have m diameters and n concentric circles about the waveguide axis with a diameter that does not disappear on which the axial electric field strength disappears. For both modes, m simultaneously designates the number of periods on the circumference and the order of the Bessel function which represents the axial field components. For H fields, we have ⎫ −j 2π z Hz,m,n = Hz0 Jm (kc ρ) cos mϕe λh , ⎪ ⎪  ⎪ ! π ⎪ −j 2π ⎪ μr km λh z− 2 ,⎪ E ρ,m,n = Hz0 k 2 ρ Z 0 εr Jm (kc ρ) sin mϕe ⎪ ⎪ c ⎪  ⎪ 2π π ⎪ −j λ z− 2 ⎬ 2πm h Hρ,m,n = Hz0 λh k 2 ρ Jm (kc ρ) sin mϕe , c  ! ⎪ −j 2π z− π ⎪ E ρ,m,n = Hz0 kkc Z 0 μεrr Jm (kc ρ) cos mϕe λh 2 , ⎪ ⎪ ⎪  ⎪ ⎪ 2π π ⎪ −j λ z− 2 2π  ⎪ h Hρ.m,n = Hz0 λh kc Jm (kc ρ) cos mϕe , ⎪ ⎪ ⎭ E ρ = Z WH Hρ , E ϕ = −Z WH Hρ .

(5.6.11)

5 Field-Based Description of Propagation on Waveguides

425

At the waveguide wall, the field strengths E ϕ and H ρ must disappear. Here, it is obviously necessary that for ρ = D/2 the derivative of the Bessel function (Jm ) disappears. Just like the actual Bessel function (see Fig. 5.16), its derivative also has  a discrete sequence of infinitely many zeros (roots). We designate these zeroes as xmn where m indicates the order of the corresponding Bessel function and n the number of the zero. Here, we do not count a zero for k c ρ = 0. The necessary boundary conditions are thus satisfied if kc

2π D D  = = xmn 2 λc 2

The relationship for the cutoff wavelength of H mn modes is therefore λcHmn =

πD  xmn

(5.6.12)

 Table 5.3 lists the initial values of xmn . With the given explanation of the indices m and n it is now no longer difficult to develop the field pattern of an H wave in the rectangular waveguide Fig. 5.41a illustrates this principle based on the example of an H 32 mode. Corresponding to the periodicity of cos m ϕ = cos3 ϕ, three nodal diameters are initially plotted for which H z , H ρ and E ϕ disappear. We can determine the diameters of the n = 2 nodal circles on which H ρ and E ϕ disappear from the curve of the function Jm (x) = J3 (x) shown in the bottom half of the figure as twice the spacing between its first and second zero from the origin. The outermost nodal circle (in our example, the second), is simultaneously the waveguide wall. In the resultant sectors, the electric field lines of H waves, entirely run in a transverse plane, are shown in principle. Figure 5.41b shows the field pattern of an H 01 mode drawn in detail based on the described principles and Fig. 5.41c shows the detailed field pattern of an H 11 mode for a longitudinal section and a cross-section. For drawing the field patterns, the component representation of the waveguide field can be utilized. For E waves, we have

Table 5.3 The first three zeroes of the Bessel functions J0 (x), Jx (x) and J3 (x). A zero for x = 0 is not counted. x = k c ρ n

m 0

1 2 3

1

2

3

H 01

H 11

H 21

H 31

3.832

1.841

3.054

4.201

H 02

H 12

H 22

H 32

7.016

5.331

6.706

8.015

H 03

H 13

H 23

H 33

10.173

8.536

9.969

11.346

426

H. Arthaber D

a

1

2

φ 1

3

J3(x)

0.5

2

J3′ (x) J3(x)

Hz32 ~ J3(x) cos 3ϕ Hρ32 ~ J3′ (x) cos 3ϕ

Eφ32 ~ J3′ (x) cos 3ϕ Hφ32 ~ J3(x) sin 3ϕ Eρ32 ~ J3(x) sin 3ϕ

5

10

X≡kc⋅ρ

J3′ (x) X′32=8.01 b φ

D c φ

Fig. 5.41 a Nodal circles (– - – - – - –), electric field lines (–––) of an H 32 mode in the circular waveguide. Behavior of J 3 (x), J3 (x) and dependency of the field components on ρ and ϕ; b circular electric field lines (––––) and (ellipse-like) magnetic field lines (– – –) of the H 01 mode in the circular waveguide; c electric (––––) and magnetic (– – –) field lines of the H 11 mode in the circular waveguide

5 Field-Based Description of Propagation on Waveguides

427

⎫ −j 2π z ⎪ E z = E z0 Jm (kc ρ) cos mϕe λh , ⎪ ⎪ ⎪  ⎪ ⎪ 2π π 2π  ⎪ −j λ z+ 2 ⎪ h ⎪ Jm (kc ρ) cos mϕe , E ρ = E z0 ⎪ ⎪ λh k c ⎪ ⎪  ⎪ 2π π ⎪ k 1 −j λ z+ 2 ⎪  h ! Jm (kc ρ) cos mϕe Hϕ = E z0 , ⎪ ⎪ ⎪ ⎪ k c Z 0 μr ⎬ ε r



2π m −j 2π z+ π Jm (kc ρ) sin mϕe λh 2 , 2 λh k c ρ  1 km −j 2π z− π ! Jm (kc ρ) sin mϕe λh 2 Hρ = E z0 2 k c ρ Z 0 μr

E ϕ = E z0

εr

E ρ = Z WE Hϕ ,

E ϕ = −Z WE Hρ .

(5.6.13)

⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ,⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎭

The missing relationship for the cutoff wavelength again follows from the requirement that the field strengths E ϕ and H ρ must disappear for ρ = D/2. Designating the zeroes of J m as x mn , this condition is satisfied if kc

2π D D = = xmn 2 λc 2

and we obtain the following for the cutoff wavelength of an E mn mode in the circular waveguide: λcEmn =

πD xmn

(5.6.14)

Table 5.4 lists some of the zeroes. In order to graphically depict the field pattern of E waves, we again exploit our explanation of the indices m and n from Sect. 5.6.3. Unlike the case of H waves, we now find the diameters of the nodal circles on which E z , E ϕ and H ρ disappear as twice the spacing between the zeroes of J m (x) = J 3 (x). Figure 5.42a now plots Table 5.4 Initial three zeroes of the Bessel functions J 0 (x), J 1 (x) and J 2 (x) and J 3 (x). A zero for x = 0 is not counted. x = k c ρ n

m 0

1 2 3

1

2

3

E 01

E 11

E 21

E 31

2.405

3.832

5.136

6.380

E 02

E 12

E 22

E 32

5.520

7.016

8.417

9.761

E 03

E 13

E 23

E 33

8.654

10.173

11.620

13.015

428

H. Arthaber D

a –

+

+ +

– +



2

1

φ

+

– 1

3

+



2

J3(x) J3′ (x) 0.5 J3(x) Ez32 ~ J3(x) cos 3ϕ Eρ32 ~ J3′ (x) cos 3ϕ Hφ32 ~ J3′ (x) cos 3ϕ Eφ32 ~ J3(x) sin 3ϕ Hρ32 ~ J3(x) sin 3ϕ

5

10

X≡kc⋅ρ

J3′ (x)

X32=9.76

b

φ

D

φ

c

D

Fig. 5.42 a Nodal circles and magnetic field lines of an E 32 mode in the circular waveguide. Behavior of J 3 (x), J3 (x) and dependency of the field components on ρ and ϕ; b electric (––––) and magnetic (– – –) field lines of the E 01 mode in the circular waveguide; c electric (––––) and magnetic (– – –) field lines of the E 11 mode in the circular waveguide

5 Field-Based Description of Propagation on Waveguides

429

the nodal circles on which E z , E ϕ and H ρ disappear and the nodal diameters for the example of an E 32 mode. The outermost nodal circle is again simultaneously the waveguide wall. Also plotted is the curve of the magnetic field lines which for E waves run entirely in a transverse plane. As is clear from comparing the component equations, the magnetic field lines of the E waves have the same form as the electric field lines of the H waves (see Fig. 5.41). Figure 5.42b and c shows detailed field patterns for the E 01 mode and E 11 mode. Also note that the distribution of E z over the waveguide cross-section corresponds to the mechanical buckling of a circular membrane that is clamped on its circumference and suitably excited. The alternating + and – signs in Fig. 5.17a are intended to recall this fact. Unlike the rectangular waveguide, E 0n fields are possible in the circular waveguide. However, no E m0 or H m0 fields can exist since at least one nodal circle must always occur in the form of the waveguide wall.

5.6.4 Generalized telegrapher’s Equations. Waveguide Equivalent Circuits and Attenuation of Waveguide Waves If we introduce into Maxwell’s equations the field components in the direction of the transverse coordinates and the field components in the direction of the z coordinate, we obtain the following relationships which are equivalent to the original equations: curlz Et = −jωμez Hz , t = −jωμ(ez × Ht ), gradt E z − ∂E ∂t curlz Ht = jωεez E z , t = j ω ε(ez × Et ), gradt Hz − ∂H ∂t

(a) (b) (c) (d)

(5.6.15)

In these equations, the index t is intended to express that among the vector components indexed in this manner, only the transverse components are implied and the differential operator gradt only contains derivatives with respect to the transverse coordinates. For the transverse field components, we use: Et (x1 , x2 , z) = V (z)t E (x1 , x2 ), Ht (x1 , x2 , z) = I (z)t H (x1 , x2 ). Here, V (z) and I(z) are scalar functions that characterize the z dependency of the wave propagation process and the vectors t E and t H characterize the direction and spatial dependency of the transverse field components on the transverse coordinates x 1 and x 2 (e.g. x, y or ρ, ϕ). We will first consider the case of an E field where H z = 0 for which Eq. (5.6.15d) assumes the following form: −

dI (z) 1 t H = ez × t E jωεV (z) dz

430

H. Arthaber

Since on the right side of this equation there is only a function of the transverse coordinates, it cannot be a function of z. The product of the z-dependent functions dI(z)/dz and −1/(jωεV (z)) must therefore be equal to a constant which we will call 1/K E . We thus have the following two functions: dI (z) jωε =− V (z) = −YE V (z), dz KE

(5.6.16a)

t H = K E (ez × t E )

(5.6.16b)

By plugging Eqs. (5.6.16b) and (5.6.15c) into Eq. (5.6.15b) and rearranging, we obtain the following relationship: gradt (divt t E ) = t E

dV dz

+ jωμK E I(z) 1 K I(z) jωε E

.

On the left side of this equation, we again have only a function of the transverse coordinates; accordingly, the second factor on its right side must be equal to a constant √ which we will call −kc2 . In conjunction with the wavenumber k = ω με, it thus follows that  KE  2 dV (z) = −j k − kc2 I (z) = −Z E I (z), dz ωε

(5.6.17a)

gradt (divt t E ) + kc2 t E = 0.

(5.6.17b)

The equation pair (5.6.16a) and (5.6.17a) is known as the generalized telegrapher’s equations for an E-wave waveguide. Fully analogously, we obtain the following for H fields with E z = 0: dV (z) = −jωμK H I (z) = −Z H I (z), dz

(5.6.18a)

t E = −K H (ez × t H ),

(5.6.19a)

 j  2 dI (z) =− k − kc2 V (z) = −YH V (z), dz ωμK H gradt (divt t H ) + kc2 t H = 0.

(5.6.18b) (5.6.19b)

The generalized telegrapher’s equations for waveguides correspond to the waveguide equivalent circuits in Fig. 5.43a for H fields and in Fig. 5.43c for E fields. Contrasted to the equivalent circuits for TEM wave lines, the distinction is that for H fields a parallel inductance is added and for E fields a series capacitance is added.

a

kc2

m0 m r



Dz

KH

e0er Dz

KE

Dz =

e e DCs = 20 r • 1 Kc KE Dz

C'p • KH

e 0 er • Dz

R's • Dz

d

R's • Dz

b

L'si • Dz

L'si • Dz

C'p • Dz

L's • Dz

DRp

DLp

C'p • Dz

DL'pi

L's • Dz

e L's• Dz

DRp

DLp

DL'pi

DCp

Fig. 5.43 Equivalent circuits for rectangular and circular waveguides. a H fields, c E fields without, b, d with consideration of wall losses; L s , L p , C p and C s are frequency-independent. Due to the skin effect, Rs , L si , Rp and L pi are frequency-dependent, e waveguide equivalent circuit for H 0n modes in the circular waveguide

C'p • Dz =

L's • Dz = m0 mr DzKE

c

DLp =

L's • Dz = m0 mr • DzKH

5 Field-Based Description of Propagation on Waveguides 431

432

H. Arthaber

By means of the parallel inductance, the magnetic longitudinal field strength H z is taken into account for H fields while the series capacitance takes into account the electric longitudinal field strength E z for E fields. Up to now, the constants K E , K H and k c are undetermined. For E fields with H z = 0, it follows from Eq. (5.6.15a) that the transverse electric field strength is irrotational and thus can be represented as a gradient of a scalar function ϕ. The same holds for the magnetic transverse field strength in the case of an H field with E z = 0 [see Eq. (5.6.15c)]. We will call the associated scalar function Ψ . We thus have t E = –gradt ϕ for E fields and t H = –grad Ψ for H fields. If we now plug t E or t H into Eq. (5.6.17b) or (5.6.19b), respectively, we obtain the following since divt gradt = t : t ϕ + kc2 ϕ = 0, t ψ + kc2 ψ = 0. The constants k c are thus determined if these equations are solved taking into account the boundary conditions. They turn out to be separation parameter which are defined for rectangular waveguides by Eq. (5.6.7) and for circular waveguides by  /D for H fields but by k c = 2x mn /D for E fields. More precise determinakc = 2xmn tion of the constants K E and K H involves the power transported by the waveguide. It is obtained by integrating the Poynting vector formed from the transverse field components with respect to the waveguide cross-sectional plane A. P=

1 Re 2

   1 Etp × H∗tp · dA = ReVp Ip∗ (t E × t H ) · dA 2 A

A

For E fields, we set t H according to Eq. (5.6.16b) and for H fields, we eliminate t E corresponding to Eq. (5.6.19a). For the power transported by E waves, we then obtain   1 1 ∗ ∗ K E · t E · t E dA = ReVp Ip K E |t E |2 dA P = ReVp Ip 2 2 A

A

and the corresponding relationship for H waves is P=

1 ReVp Ip∗ 2

 K H t H · t H dA =

1 ReVp Ip∗ K H 2

A

 |t H |2 dA. A

In order to calculate the power like in the transmission line theory with Vp Ip∗ , the following conditions must therefore be satisfied:

1 Re 2

 |t E |2 dA = 1,

KE A

(5.6.20a)

5 Field-Based Description of Propagation on Waveguides

433

 |t H |2 dA = 1.

KH

(5.6.20b)

A

Regarding the p indices in the above equations, note that a wave is implied that propagates in the positive z direction. Even with the Eqs. (5.6.20), K E and K H are still undetermined. In order to determine them, we will proceed as described by Zinke [52]: For E fields with wall surface currents that are only oriented axially, we define I p as the integral over the magnitude of the displacement currents prevailing in the waveguide cross-section: Ip = ωε



E zp dA.

A

I p thus has the dimension of current and t H the dimension of reciprocal length. V p must then have the dimension of voltage and t E also has the dimension of reciprocal length. K E and K H can thus only be pure numerical factors. O. Zinke demonstrated in [52] that the electric longitudinal field energy stored per length unit in the waveguide field by E zp is equal to the energy stored in the series distributed capacitor C  s : 1 ε 2

 A

2   2 I 2 ωε A E zp dA E zp dA = 1 p = 1 . 2 ω2 Cs2 2 ω2 ε

We thus obtain the equation of condition for the constant K E :  2 E zp dA K E = A 2 . kc2 A E zp dA

(5.6.21)

For the K E01 mode in the circular waveguide, we have K E01 = 1/4π = 0.0796. For H waves, the current I p is calculated with the aid of the magnetic transverse field strength H tw oriented tangentially to the waveguide wall. Ip =

 |Ht |w dst . St

In this equation, the transverse integration path S t is to be arranged such that it comprises all of the equally directed axial wall surface currents. If we now set the magnetic cross field energy stored per length unit in the waveguide field equal to the energy stored in the series distributed inductance L’s, we obtain the equation of condition for the constant K H :

434

H. Arthaber

 2 Htp dA K H =  A . 2 |H | ds t w t St

(5.6.22)

For illustration purposes, we will calculate the constant K H10 for the H 10 mode in a rectangular waveguide. For H tp = H x max sin π x/a [see Eq. (5.6.9)], we have  b a 0

2 Htp dxdy = H 2 x max

0

sin2 (π x/a)dxdy = Hx2max ab/2, 0



0

a |Ht |w dst = Hx max

St

 b a

sin(π x/a)dx = 0

2a Hx max . π

For the constant K H10 , it thus follows that K H10 =

Hx2 max ab/2 π2 b = Hx2 max (2a/π )2 8 a

Rauskolb [53] gives the constants for further modes in the rectangular and circular waveguides while Lorek [54] provides results for the ridge waveguide. Using the quantities of “equivalent voltage” V p and “equivalent current" I p determined in this manner, we can also specify a characteristic impedance Z L = V p /I p for a waveguide. It is equal to the wave impedance of the mode under consideration multiplied by the relevant constant K E or K H . These constants are pure numerical factors that depend only on the mode and line geometry: Z LH = Z WH K H ,

Z LE = Z WE K E .

The characteristic impedance of a waveguide governs the reflection coefficient that occurs, for example, if waveguides for the same mode but with different crosssectional dimensions must be interconnected. This is avoided if we interpose a λ/4 transformer, for example. Using the same formulae from Chap. 3, we can calculate its characteristic impedance and then apply the formulae in this section to determine its cross-sectional dimensions. Up to now, we have assumed that the metal walls of our waveguides exhibit ideal conductivity. Accordingly, the attenuation constant due to thermal losses of the waveguide is equal to zero for f > f c . For f < f c , the phase constant β is equal to zero and α is a pure return attenuation (Fig. 5.38). Practically speaking, however, we must always assume finite wall conductivity, which leads to a finite value of α for the waveguides even for f ≥ f c . Rigorous solutions of the wave propagation problem in lossy waveguides are then impossible if E and H waves are coupled via the wall surface currents in a waveguide with finite wall losses. An exception exists only for circularly symmetrical modes (m = 0) in the circular waveguide since for the E 0n

5 Field-Based Description of Propagation on Waveguides

435

modes the wall surface currents only flow axially and for the H 0n modes they only flow circularly and are thus not coupled. However, the rigorous solutions obtained for these special cases are rather unwieldy such that we must typically make do with more or less comprehensive approximations. The simplest but also the least comprehensive approximation method for calculation of α R is what is known as the power loss method. If P(z) and P(z + z) are the transported powers in two cross-sectional planes of the waveguide separated by z, we obtain the following for the distributed power dissipation P v per length unit: Pv z = P(z) − P(z + z) = P(z) − P(z) −

dP(z) z dz

i.e. Pv = −

dP(z) . dz

Given that P(z) = P0 e−2αz it follows that α=

1 Pv . 2 P(z)

(5.6.23)

The approximation provided by the power loss method consists in that Pv and P(z) are calculated with the aid of the field solution in the lossless waveguide (undisturbed wave type). P(z) is obtained by integrating the Poynting vector over the waveguide cross-section and Pv is calculated based on the wall surface current coefficient (n × Htan ) and the sheet resistance R of the waveguide walls. For the fundamental mode H 10 in the rectangular waveguide and for the H 11 mode and the H 01 mode in the circular waveguide, we obtain the following using the power loss method: For H 10 in the rectangular waveguide

α10

  R 1 + 2 ab ffc =   bZ W 1 −

For H 11 in the circular waveguide

fc f

2



2

,

(5.6.24)

436

H. Arthaber

) 2R α11 =

fc f

2

* +

 D ZW 1 −

1 2 x11 −1



fc f

2

,

(5.6.25)

For H 01 in the circular waveguide  α01 =

2R 

2

fc f

DZW 1 −



fc f

2

,

(5.6.26)

For f = f c , infinitely high attenuation is always obtained according to these equations. This is true regardless of the specific mode that is chosen. In reality, the waveguide attenuation remains finite and the power loss method for calculation of the waveguide attenuation fails for f ≤ f c . This is because the transported power P(z) is calculated to be equal to zero for the lossless waveguide and f ≤ f c . However, the power loss method also always fails for f ≥ f c if in order to satisfy the boundary conditions in the lossy waveguide, E and H modes with the same f c must be applied (degenerate case) and they have wall surface current components in the same direction. For rectangular waveguides, this involves all E and H fields with the same index. For Eqs. (5.6.24) to (5.6.26), this case does not occur such that their implications are usable for f > 1.01 f c . If we have f  f c , we can see that waveguide and the H 11√wave in the attenuation of the H 10 wave in the rectangular √ the circular waveguide increases with R = π fμ0 μr /κ proportional to f . The frequency dependency of α 01 in the circular waveguide exhibits special behavior: It decreases monotonically with f and proportional to l/(f )3/2 if f  f c . Due to the low attenuation of optical fibers, wave propagation in circular waveguides with H 01 modes is no longer relevant for future long-distance telecommunications. Below and at the cutoff frequency of the H 01 mode, additional modes are also possible: E 11 , H 11 , E 01 , H 21 . Especially due to the fact that the H 01 mode has the same cutoff frequency as the E 11 mode, the requirement for mode conversion-free operation of the waveguide is therefore a task that can be managed, for example, by means of dielectric coating of the waveguide wall. A more extensive approximation is possible when calculating α by applying the waveguide equivalent circuits. Zinke [52] extended them by adding the wall sheet impedances for the longitudinal and transverse wall surface currents. Rauskolb [53] additionally considers the corresponding inner inductances (see Fig. 5.43b and d). The propagation constant γ = α + jβ is calculated using methodology from transmission line theory. Figure 5.44 shows the result for a rectangular waveguide. Figure 5.45a has an excerpt from this presentation. By way of comparison, Fig. 5.45b shows α 0 and β 0 for the lossless waveguide and α 1 based on the power loss method. In contrast, the attenuation now remains finite even for f = f c . The equivalent circuits must be extended with couplings in case of degeneracy with wall surface current

5 Field-Based Description of Propagation on Waveguides

437

10

10 b

1

1

10–1

10–1

10–2

10–2 b

10–3

10–3

10–4 10–5 10 Hz

a

100

1

10 kHz

100

1

10 MHz 100

1

10

b ( cm–1 )

aH10 (Np·cm–1)

a

10–4 10–5 100

f (GHz)

Fig. 5.44 Frequency response of attenuation constant α and phase constant β for a real waveguide (calculated according to Fig. 5.38) over a very wide frequency range. H 10 mode

components in the same direction. This is not the case for the attenuation curves in Fig. 5.46. Using the waveguide equivalent circuits, we can provide a very clear explanation of the abnormal behavior of the attenuation of H 0n modes in the circular waveguide. Since for these modes the magnetic field at the wall only has a longitudinal component, there are only circumferential currents in the wall which do not produce any longitudinal voltage drop. In Fig. 5.43b, Rs and L si are thus equal to zero. The equivalent circuit for H 0n modes in the circular waveguide is thus shown separately in Fig. 5.43e. As the frequency increases, according to this equivalent circuit the series connection of Rp and jω(L pi + L p ) is capacitively bridged such that the attenuation decreases with 1/f 3/2 . A very extensive method for calculation of the waveguide attenuation is based on methodology from perturbation theory. Details are given by Collin [22, p. 182] as well as in [55–57]. Perturbation theory can deliver usable results for the waveguide attenuation even in cases where the other methods we have described fail. Figure 5.47 illustrates the attenuations of the H 11 and E 11 modes for a rectangular waveguide. For comparison purposes, the attenuation values that were calculated using the power loss method as described in [22, p. 182] are also plotted. Clearly, αH11 turns out to be much greater and αE11 significantly less than the values calculated using the power loss method.

438

a

H. Arthaber 10

10 b

1

1

aH

10–1

10 –2

10–2

10 –3

10–3

10 –4

10–4

a

b

10 –5

10–5

10

b

b cm–1)

10 –1

)

–1 10 (Np·cm )

a

10

a1 b0

1

1

10–1

10–2

10–2

10–3

10–3

10–4

10–4

b cm–1)

10

aH

10–1

)

(Np·cm–1)

a0

a1 10–5

10–5

0.5·10–5 0 0.3 0.4

0.5·10–5

b0 0.6

0.8 1

a0 2 fc 3 f (GHz)

4

6

8

10

0 20

30

Fig. 5.45 a Section from Fig. 5.44; b by way of comparison, α 0 and β 0 according to Fig. 5.38 for the lossless waveguide with H 10 field. Curve for α 1 calculated from the wall surface currents according to Eq. (5.6.24)

5.6.5 Coaxial Line with Higher Modes In addition to Lecher waves, higher modes can also occur on a coaxial line under certain conditions. In our exploration of such modes, we will limit our consideration to a lossless coaxial line with air as the dielectric. We will ignore the influence of supporting spacers like spiral strands.

5 Field-Based Description of Propagation on Waveguides

a

2.0

b

439

3.0

1.5 2.0 α/αcoaxial

α/αcoaxial

1.0 H10

0.7

H11

0.5 0.04

0.020

(

H11

m

Np·cm

3/2

(

D

0.005 0.004

f

m

Np·cm

0.02

( α·D/2·√D/2

0.010

b=a/2

a=D

0.007

D

0.03

3/2

H10

E11

0.15

E21

E01

0.01 10 1

0.003

15 20

30 40 50 70 100 GHz·cm

1.5

3

2

4

5

7

10

300 20

30

f(for D = 10cm) (GHz) H01

0.002

0.001

E11 E21

0.68 0.56

0.7

0.4 0.233

(

E01

1.0

0.5 0.3

α·D/2·√D/2

1.5

10

1

15 20

1.5

2

30 40 50 70 100 GHz·cm 3

4

5

7

300

10

20

30

f(for D = 10cm) (GHz)

Fig. 5.46 a Attenuation of the H 11 and H 01 modes in the circular waveguide in comparison to attenuation of the H 10 mode in the rectangular waveguide. Also plotted are the values referred to σ coaxial of a Lecher wave [52]. b Attenuation of the E 01 , E 11 and E 21 modes in the circular waveguide. Also plotted are the values referred to α coaxial of a Lecher wave [52]

Because the electromagnetic field is present solely in the dielectric due to the assumed infinitely good conductivity of the inner and outer conductors, i.e. the regions 0 ≤ ρ ≤ d/2 and ρ ≥ D/2 are entirely field-free, we apply the following solutions for the electric and magnetic longitudinal field strength, respectively: E z = C1 Jm (r ) + C2 Nm (r );

Hz = C3 Jm (r ) + C4 Nm (r ).

(5.6.27)

On the conductor surfaces ρ = d/2 and ρ = D/2, the infinite conductivity requires the following: Ez = 0 ∂ Hz =0 ∂ρ

 for

ρ=

d and 2

D . 2

& & Using the terms d2 γ 2 + k 2 = rd and D2 γ 2 + k 2 = rD , we obtain the following conditional equations for γ from these boundary conditions: Nm (rd ) Nm (rD ) = , Jm (rd ) Jm (rD )

(5.6.28)

440

H. Arthaber 6 •10–4

a (Np/cm)

4

H11

3 E11 2

H11 1 E21 0

2

4

6

8

10

f / fc

Fig. 5.47 Attenuation of the H 11 and E 11 modes in the rectangular waveguide for a = 2b = 2.54 cm. Conductor material = Copper Perturbation method; power loss method

Nm (rd ) N  (rD ) = m , Jm (rd ) Jm (rD )

(5.6.29)

Equation (5.6.28) determines γ for modes in the coaxial cable with an electric longitudinal field strength and Eq. (5.6.29) for modes with a magnetic longitudinal field strength (E and H waves). A rigorous, closed solution of these transcendent equations is not possible. However, the following expressions for the cutoff wavelengths of waveguide types in the coaxial cable provide a relatively straightforward approximation [2]: λcE01 ≈ D − d, λcH11 ≈ π

D+d . 2

(5.6.30)

The H 11 mode in the coaxial cable is the mode with the largest cutoff wavelength. Waveguide modes in coaxial lines are practically unused. They only play a negative role in the form of undesired interfering waves. Accordingly, we must make certain to preserve a well-defined Lecher wave during experimentation with a coaxial measuring line, for example. According to Eq. (5.6.30), for example, well-defined operation of a coaxial line with d = 6 mm and D = 16 mm is possible only up to

5 Field-Based Description of Propagation on Waveguides 0.6

441

N0(r ) N (r ) 1 N2(r )

0.4

2 pr

0.2 0 –0.2 2 − pr

–0.4 –0.6 –0.8 –1.0 –1.2 –1.4 0

2

4

6 r

8

10

12

Fig. 5.48 Neumann functions versus argument r. Here, we have r = k c ρ. N 0 (r) = Neumann function 0th order, N 1 (r) = Neumann function 1st order, N 2 (r) = Neumann function 2nd order, with argument r

about λ = 3.5 cm. Figure 5.48 illustrates the behavior of the Neumann functions N 0 (r), N 1 (r) and N 2 (r).

5.7 Components Used in Waveguide Technology In the preceding sections, we treated the waveguide exclusively as a tubular element which is used as a transmission line between a transmitter and a receiver. For test and measurement purposes as well as for construction of complete transmission systems, however, various waveguide components are required additionally and we intend to discuss certain key components here. For an in-depth treatment of waveguide components, see [17].

5.7.1 Junctions with Rectangular Waveguides We can differentiate between parallel and series junctions. For a parallel junction, it is characteristic that at the junction point the currents (and magnetic fields) of the junction lines divide according to their loads into I 3 and I 4 while the voltage and the electric fields are equal for both. For the main line, the loads transformed to the junction point appear to be connected in parallel (Fig. 5.49a).

442

H. Arthaber

a

b (3)

(3) I3

I1

I2 I4

(1)

U3 (2)

U1

U2 U4

(4) (4)

c

(2)

d (3)

(3)

(1)

(4)

e

(4)

f

(2)

(1) (3)

(4)

(3)

(4)

Fig. 5.49 Junctions for the H 10 mode. a Parallel junction; b series junction; c H junction; d E junction; e splitting of E when supplying port (1); f splitting of E when supplying port (2)

For the series junction, the voltage V 2 is divided out of phase with respect to the junction line into V 3 and V 4 . This is illustrated in Fig. 5.49b. A waveguide junction in the plane of the H field lines of an H 10 mode exhibits the same behavior as a parallel junction (Fig. 5.49c and e), while a junction in the plane of the E field lines behaves like a series junction (see Fig. 5.49d and f). By combining an E junction with an H junction, we obtain the four-port network in Fig. 5.50. Its properties are best characterized by the scattering matrix S. Here, we assume that only the H 10 mode can propagate in the individual arms of the waveguide. Based on the labeling of the ports in Fig. 5.50 and by exploiting the symmetry, we can state the following for the elements of S: In

5 Field-Based Description of Propagation on Waveguides (3)

443

(2)

(4)

(1)

Fig. 5.50 E–H junction for the H 10 mode, commonly called “magic tee” for 3 dB split ratios



S11 ⎜ S21 S=⎜ ⎝ S31 S41

S12 S22 S32 S42

S13 S23 S33 S43

⎞ S14 S24 ⎟ ⎟ S34 ⎠ S44

we have S13 = S14 but S23 = –S24 . Since the four-port network exhibits transmission symmetry, we can also state in general that Sμv = Svμ . Finally, we see that ports (1) and (2) are decoupled from one another. If a signal is supplied to port (1), only an E wave could be excited in arm (2) and if a signal is supplied to port (2), only an H 20 mode could be excited in arm (1) (see Fig. 5.49f). However, since only the H 10 mode should be propagated, we have S12 = 0 and S21 = 0. For the S-matrix of an E–H junction, we can thus write ⎛

SE,H

S11 0 ⎜ 0 S22 =⎜ ⎝ S13 S23 S13 −S23

S13 S23 S33 S34

⎞ S13 −S23 ⎟ ⎟. S34 ⎠ S44

(5.7.1)

If the two ports (1) and (2) are configured with sliding short-circuits (reactance lines, stub lines), we obtain an “E–H tuner”. This device makes it possible to connect independent, arbitrary reactances in series or parallel into the main line (3)–(4). The E–H tuner is thus useful in a wide range of matching tasks. The E–H junction can also be used to measure an unknown impedance like a bridge. A calibrated, variable impedance is connected to port (3) and the unknown impedance to port (4). A signal is supplied to port (1) and port (2) is terminated with an indicator in a reflection-free manner (a2 = 0). For the outgoing wave quantity b2 on port (2), we then have b2 = S23 (a3 − a4 )

444

H. Arthaber

where a3 = r3 b3 = r3 S13 a1 , a4 = r4 b4 = r4 S13 a1 . The indicator display will go to zero only if the two reflection coefficients r 3 and r 4 , i.e. the impedances connected to ports (3) and (4), are equal. We can express the power consumed in a multiport, i.e., the losses, as the difference between the power supplied and consumed on all ports. Based on the wave quantities a and b in matrix form, we obtain Pl : Pl =

 1 † a · a − b† · b 2

where b† = a† · S† and b = S · a leading to11 Pl =

 1 † a 1 − S† · S a. 2

If the multiport is lossless, i.e. Pl = 0, it follows that12 S† · S = I

(5.7.2)

Equation (5.7.2) says that the S-matrix of a lossless (but otherwise arbitrary) multiport must be unitary. We make use of this fact in the following discussion. Let us imagine that for an E–H junction we set elements S11 and S22 equal to zero using appropriate matching elements (which is always possible independently since ports (1) and (2) are decoupled). According to Eq. (5.7.2), we then obtain the following for the individual rows of (5.7.1): 2|S13 |2 = 1, 2|S23 |2 = 1, |S13 |2 + |S23 |2 + |S33 |2 + |S34 |2 = 1, |S13 |2 + |S23 |2 + |S34 |2 + |S44 |2 = 1. Plugging the first two equations into the latter two and adding them, we obtain

11 12

M† is the Hermitian conjugate of M. I is the identity matrix.

5 Field-Based Description of Propagation on Waveguides

445

|S33 |2 + 2|S34 |2 + |S44 |2 = 0. This equation can be satisfied only if S33 = S34 = S44 = 0. Based on our initial assumptions for S11 and S22 , it thus necessarily follows that the input reflection coefficients on ports (3) and (4) must also disappear and these two ports must be decoupled from one √ another. From the first two equations, it follows that S13 = √ ejϕ / 2 and S23 = ejϕ / 2. By shifting the port planes to port (1) or (2), we can obtain ϕ = Ψ . The S-matrix for our E–H junction thus assumes the following form: ⎛

SMT

⎞ 0 01 1 1 ⎜ 0 0 1 −1 ⎟ ⎟. =√ ⎜ 2⎝1 1 0 0⎠ 1 −1 0 0

(5.7.3)

We have thus created a four-port network that allows matched operation on all ports (such operation is basically possible with a lossless three-port network that exhibits transmission symmetry). A four-port network of this sort in which all of the elements in the main diagonal of its S-matrix are equal to zero is generally known as a directional coupler. Here, we will pay special attention to the 3 dB directional coupler because the power supplied to one port is divided into half among the other two ports if they are matched. We can also say that the coupling attenuation is equal to 3 dB. The coupling attenuation is defined as the ratio of power flowing into the main line to the power flowing out of the secondary line. If we supply a signal to port (1) and consider port (4) as the output of the secondary line, we obtain the following for the coupling attenuation aK : aK = 20 log

|a| = 3 dB. |b4 |

In literature, a 3 dB coupler of this sort made of waveguide junctions is commonly referred to as a “magic tee”. It is used, for example, in balanced mixers in extremely high frequency applications and also as a duplexer.13 However, it can also function as a sum and difference generator or a power splitter in redundancy circuits. If signals at the same frequency are fed to ports (1) and (2) corresponding to a1 and a2 , we obtain the sum and difference signal on ports (3) and (4), respectively: 1 1 b3 = √ (a1 + a2 ), b4 = √ (a1 − a2 ). 2 2 Let us now assume that we wish to redundantly supply each of two loads with one half of the power from a generator. By redundant, we mean that if the generator fails, a reserve generator will take its place. One possible implementation involves 13

A duplexer is an element that allows to route transmit and receive signals over a single path.

446

H. Arthaber

RF switches. However, such switches are not necessary if one generator is connected to port (1), the second to port (2) and the two loads to ports (3) and (4). One generator remains switched off and is activated only if the other fails. In test and measurement applications, 3 dB couplers are typically not the best choice because it is uneconomical to expend half of the total power to simply display a process on the main line. Instead, directional couplers with a significantly higher value of aK are used.

5.7.2 Metallic Irises and Posts in Waveguides Reactance circuits are necessary in order to perform matching tasks as well as to construct filters. We have already seen one such circuit in the form of the E–H tuner. However, it requires a large amount of space. We can commonly do without its tuning capabilities if all we need is reactances with fixed values. In waveguide technology, reactances are commonly realized as metallic discontinuities in the form of irises and posts (Fig. 5.51). By introducing discontinuities of this sort, a reflection coefficient is produced such that higher modes than the H 10 mode are excited. If they cannot be propagated, energy is stored in the vicinity of the discontinuity. Since the iris with its assumed ideal conductivity does not consume any power of its own, we can alternatively imagine that the reflection coefficient is caused by a reactance. Rigorous treatment of waveguide discontinuities is very difficult if not impossible. For information about the calculation and representation of quantitative results, see [17, 58]. Equivalent circuits for irises and posts provide some insight. On the iris, the electric tangential field strength and the magnetic normal field strength must disappear. Let a b

a

b

c

d

Fig. 5.51 Metallic irises and post for H 10 modes in the rectangular waveguide. a Asymmetrical and symmetrical capacitive iris; b asymmetrical and symmetrical inductive iris; c Iris as parallel resonant circuit corresponding to a and b; d post as T-type highpass element

5 Field-Based Description of Propagation on Waveguides

447

b a

a

b

Fig. 5.52 a Influence on the electric field — by an iris as shown in Fig. 5.51a; b influence on the magnetic field – – – by an iris as shown in Fig. 5.51b

us first consider the iris types shown in Fig. 5.51a. Figure 5.52 shows how the electric field is influenced by the iris in a longitudinal section through the waveguide. We can see that a longitudinal electric field strength necessarily arises which entails, in addition to the H 10 mode that can be propagated, the excitation of E mn fields that cannot be propagated. Below its cutoff frequency, 1/(ωC s ) dominates ωL s in the equivalent circuits for the E mn fields such that electric energy is stored by the E mn fields. Since the addition of H mn fields is not necessary, an iris as shown in Fig. 5.51a acts like a parallel capacitance for the H 10 mode. Figure 5.52b shows how the magnetic field is altered by an iris according to Fig. 5.51b. A field pattern of this type can be generated if the H 10 mode that can be propagated has H m0 fields that cannot be propagated superimposed on it. Superposition of E mn fields is not necessary. Irises as shown in Fig. 5.51b thus act like parallel inductances for the H 10 mode. The combination of a capacitive and an inductive iris can be realized with the window-shaped design in Fig. 5.51c which behaves like a parallel resonant circuit in accordance with our discussion so far. A post as shown in Fig. 5.51d has an effect on the H 10 mode like that of a T-type highpass element [58]. An iris/post combination is used, for example, as a matching circuit for the magic tee. It makes it possible to visually distinguish a simple E–H junction from a 3 dB coupler.

5.7.3 Waveguide Loaded with Inhomogeneous Dielectric Material Waveguides can be partially loaded with a dielectric material such as shown in Fig. 5.54. This gives them characteristics that turn them into phase shifters or attenuators, for example. Gyromagnetic material can be introduced to create microwave isolators and circulators (see Sect. 5.8.2). In a rectangular waveguide that is loaded with an inhomogeneous material, E and H fields can generally no longer exist separately from one another. The only exception to this rule is provided by the H 0n or H m0 fields if their electric field strength oriented parallel to the boundary surface

448

H. Arthaber

of the loading material. However, a combination of E and H fields with the same cutoff frequency is possible and leads to what is known as longitudinal section waves. We distinguish between E and H longitudinal section waves (LSE and LSH fields). Figure 5.53 shows how longitudinal section waves arise through superposition of E and H waves. Their name reflects the fact that E or H only have components in the plane of a longitudinal section through the waveguide. The possibility of their existence was first verified by Buchholz [59]. We would now like to analyze the effect of dielectric loading in a rectangular waveguide as shown in Fig. 5.54 with the aid of a perturbation calculation. Here, all quantities with the index zero refer to the waveguide without loading and all quantities without an index refer to the waveguide with loading. In the field equations, we apply E0 (or E) and H0 (or H) with the propagation constant exp(jωt – γ 0 z) (or exp(jωt – γ z)). By combining the resultant equations, we obtain the following relationship [22]  ∗ ∗ A (εr − 1)E0 · EdA    . (5.7.4) γ + γ0 = jωε0 ∗ ∗ A E0 × H + E × H0 · dA In this (still exact) equation, we must integrate over the waveguide cross-section A and the cross-section A of the loading. We now assume that the waveguide field of the H 10 mode is practically undisturbed by the loading. This is true especially if s  a is satisfied. We then have E ≈ E0 = ey E max sin π x 1 /a and H ≈ H0 = –E0 /Z WH . 2 sin2 (π x1 /a)sb and For the numerator in Eq. (5.7.4), we thus obtain (εr − l)E max 2 for the denominator, we obtain E max ab/Z WH . The following approximation is then obtained: a

Hz

– Hy

Hz

Ez

Ez LSE

+

– Ex

Ex Hy

= Ex

Hy – Hy

b Hz

Hz

Ez – Hy Ex

+

– Ex

Ez LSH

=

Hy

Fig. 5.53 Longitudinal section waves in the rectangular waveguide. a LSE field; b LSH field

5 Field-Based Description of Propagation on Waveguides

449

Z

X b

S X1 a

y

Fig. 5.54 Waveguide with inhomogeneous loading

γ + γ0∗ ≈ j

 s sin2 π x1 /a ω εr − 1 & . c a 1 − ( f c / f )2

(5.7.5)

For εr = ε −jε , we will first assume that ε = 0. In this case, we have γ = jβ and = −jβ0 such that we have a phase shifter. If ε = 0, then the propagation constants are complex which leads to an attenuator for ε > ε . The magnitude of the phase shift or the attenuation is dependent on the one hand on the design length of the loading and on the other hand also on its spacing x 1 from the waveguide wall. By modifying this spacing, we can also modify the phase shift or attenuation. However, such simple variable phase shifters or attenuators are not suitable for precision measurements. Moreover, loaded waveguides are also used in polarization rotators and converters. Such components make it possible to modify the polarization of a wave or to convert a linearly polarized wave into a circularly polarized wave and vice versa. Such rotators and converters can also be used to build precision phase shifters and attenuators. Uher et al. [60] discuss applications of waveguide loading for realization of multistage impedance transformers.

γ0∗

5.7.4 Cavity Resonators Just like with TEM wave lines, superposition of two waves of the same amplitude but opposite propagation direction also leads with waveguides to standing waves with nodes and antinodes of the electric and magnetic field strength. We can arrange conductive walls in the nodal planes for E without disrupting the field. Since the nodes are spaced successively by λh /2, the mutual spacing c of the walls must generally

450

H. Arthaber

equal an integer multiple of λh /2: c = qλh /2.

(5.7.6)

Waveguides with both ends short-circuited are known as cavity resonators. They correspond to the λ/2 line resonator that is short-circuited on both sides. Figure 5.55 shows a rectangular resonator and a cylindrical resonator. By plugging Eq. (5.6.2b) for the waveguide wavelength into Eq. (5.7.6), we obtain the relationship for its resonant wavelength λr that is critical for dimensioning a resonator. We have 

1 λr

2

1a = 4 c

2

 +

1 λc

2 .

(5.7.7)

In this form, Eq. (5.7.7) is applicable to all cylindrical cavity resonators. Specialization for the individual design types requires application of the corresponding cutoff wavelengths. According to Eq. (5.6.8), we obtain the following for the rectangular resonator: 

2 λr

2 =

m a

2

+

n

2

b

+

q

2

c

.

(5.7.8)

This equation applies equally to E and H waves since λc follows from the same relationship for both modes. However, in cylindrical resonators we must distinguish between the two modes. Applying Eqs. (5.6.12) and (5.6.14), we obtain the following for H waves 

1 λr

2

 =

H

 xmn πD

2 +

1q 4 c

2

(5.7.9)

a b c

a

c

D

b

Fig. 5.55 Cavity resonators. a Rectangular resonator; b cylindrical resonator

5 Field-Based Description of Propagation on Waveguides

451

and for E waves 

1 λr

2 = E

x

mn

πD

2

+

1q 4 c

2

.

(5.7.10)

Unlike the line resonator in which the resonant wavelength is dependent only on the electric length, in the cavity resonator λr is determined by the volume or cross-section. It can thus be tuned in principle based on volume deformation. Many reflex klystrons take advantage of this possibility for coarse tuning. However, in most cases the resonator volume is altered with a sliding short circuit. In the construction of the tuning short, careful attention must be paid to ensure that the contact resistance between the sliding element and the waveguide wall is extremely low. Non-contact tuners [61] are thus preferred in many applications. In order to calculate the quality factor of a cavity resonator, we proceed just like we did for the line resonator. However, we must consider the fact that further resonances of undesired modes will arise in addition to the resonance of the desired mode. In order to easily determine which resonances are possible for a given resonator, we plot Eqs. (5.7.8) or (5.7.9) and (5.7.10) for different values of m, n and q in what is known as a mode or mode map (Fig. 5.56). We can see that overlaps occur. Therefore, we generally choose an operating range for the resonator in which unambiguous operation is possible. In order to designate a specific resonator field, we use m, n and q as indices, thereby distinguishing between H mnq and E mnq resonators. Figure 5.56 shows the unambiguous ranges for the H 101 rectangular resonator and the cylindrical a

b

Fig. 5.56 Mode or mode maps for cavity resonators. a Rectangular resonator with a = 2b. For E waves, we must have m and n = 0. The indices of the sloping lines are thus all associated with H waves; b cylindrical resonator

452

H. Arthaber

H 111 resonator. One peculiarity occurs in E wave resonators in relation to the index q. To illustrate this, we write in conjunction with the terms Hzf = −

Hz0 −j 2π z e λh , 2j

Hzr =

Hz0 j 2π z e λh 2j

E zr =

E z0 j 2π z e λh 2

or E zf =

E z0 −j 2π z e λh , 2

for the resonator fields the following short forms: H waves   2π z , Hz = Hz0 sin λh   2π E x1 = E x10 sin z , λh   2π Hx2 = Hx20 cos z , λh   2π E x2 = E x20 sin z , λh   2π Hx1 = Hx10 cos z , λh E waves 

 2π E z = E z0 cos z , λh   2π E x1 = E x10 sin z , λh   2π Hx2 = Hx20 cos z , λh   2π E x2 = E x20 sin z , λh   2π Hx1 = Hx10 cos z . λh Regardless of the specific resonator design, x 1 and x 2 denote transverse coordinates, i.e. x, y in the rectangular resonator and ρ, ϕ in the cylindrical resonator. Based

5 Field-Based Description of Propagation on Waveguides

453

on the above equations in conjunction with Eqs. (5.6.9), (5.6.10) as well as (5.6.11) and (5.6.12), we can see that resonator operation with λh = ∞ is non-existent for H waves since in this case all of the field components disappear with H z . In contrast, this operating case is possible for E wave resonators. The transverse electric field components disappear but not E z , Hx2 and Hx1 . For λh = ∞ and q = 0, the design length c of the resonator remains undetermined according to Eq. (5.7.6) and we can thus choose any arbitrary value. It no longer has any influence on the resonant wavelength although it does influence the resonator’s quality factor. With E wave resonators, we have, for example, an E 110 rectangular resonator and a cylindrical E 010 resonator. Figure 5.57 shows field patterns for both resonator types. A work by Schmidt [62] examines the possibility of tuning cavity resonators with dielectric loading as well as the application of resonators to measure material constants at high frequencies. Due to the increased difficulty associated with construction of rectangular resonators, cylindrical resonators have greater practical relevance. For an H 011 resonator, it is possible to attain a computational unloaded quality factor of 25,000 at 10 GHz [63]. In the real world, this quality factor is degraded by the surface roughness of the conductor material. With careful surface treatment, the calculated quality factor is reduced by about 20%. With superconducting resonators, unloaded quality factors on the order of 105 can be attained. a

b

c

a

b c

D

Fig. 5.57 Field patterns in the cavity resonator with λr independent of c. a E 110 rectangular resonator; b E 010 cylindrical resonator

454

H. Arthaber

5.7.5 Waveguide and Dielectric Resonator Based Filters 5.7.5.1

Rectangular Waveguide Bandpass Filter

Bandpass filters with a narrow passband are built for frequencies in the GHz range using waveguide resonators with a high quality factor in order to minimize the losses in the passband. If we wish to insert the bandpass filter into a circuit consisting of H 10 rectangular waveguides, a design with directly coupled H 101 resonators with a length of λh0 /2 as shown in Fig. 5.58 is practical. The waveguide broadside should be chosen such that only the H 10 mode can be propagated in the frequency range of interest. Dimensioning formulae [64–66] are derived corresponding to Fig. 5.58a from a bandpass filter with inverter coupling. When selecting the reference lowpass filter that satisfies the requirements for the passband and stopband, the dispersion of the waveguide wave must be taken into account. According to Fig. 5.58b, the series resonant circuits from the equivalent circuit are replaced with λh0 /2-waveguide resonators and the impedance inverters with parallel inductances. They can be realized using irises or posts in the waveguide as seen in Fig. 5.58c and d. Although the longitudinal reactances of the iris equivalent circuit are negligible for very thin irises, they must be considered in the case of posts (see Fig. 5.58e, f). The resonator length must be corrected with the resulting intrinsic length of the impedance inverter. Moreover, the resonator length is reduced to some extent in order to allow tuning for an ideal response using a screw inserted at the

a Z0

b K 01

K 12

K 23

c

K34

Z0

Z0

l h /2

f

0

jX Z 0

Z0

Z0

Z0

d ~lh /2 0

b

Irises

Posts

a

e jXa

f jX

=

jXa jXb

Fig. 5.58 H 10 rectangular waveguide. Bandpass with inductive irises. a Equivalent circuit with impedance inverters; b equivalent circuit with waveguide resonators and inductive irises; c construction of the bandpass with irises; d construction of the bandpass with posts; e equivalent circuits of an inductive iris

5 Field-Based Description of Propagation on Waveguides

455

maximum of the electric field strength. Mechanical tolerances can be compensated in this manner. Since the relative passband is only between 0.5 and 2% in many application areas, the filters must be manufactured using a material with a low thermal expansion coefficient, e.g. from drawn Invar waveguides or from Invar plates in order to minimize the center frequency offset as a function of temperature. This is especially important if higher continuous power levels are to be transmitted since the power dissipation due to the passband attenuation leads to heating of the bandpass filter. The energy stored in the filter resonators is very high in case of a small bandwidth. The pulse power that can be transferred is thus limited by the maximum permissible electric field strength in the resonators. The losses in the passband range decrease if we use H 111 or H 011 circular waveguide resonators instead of H 101 rectangular resonators. The H 011 resonance has an especially high unloaded quality factor, but it is difficult to avoid impairment of the filter stopband attenuation due to adjacent oscillation modes. Since coaxial lines are commonly used in the high frequency equipment, bandpass filters built from cavity resonators mostly use coaxial connectors. The H 101 or E 110 rectangular resonators or the E 010 circular resonators are configured as shown in Fig. 5.59. The inner conductors of the coaxial connectors are coupled to the electric field of the outermost resonators. Coupling between the resonators is implemented using irises. Using radially inserted dielectric tuning posts made of quartz or aluminum oxide ceramic, the filter can be tuned to different center frequencies f 0 . The posts influence the input and intermediate coupling such that the absolute passband remains approximately constant in the tuning range. A different design is shown in Fig. 5.60a. Here, the resonators are arranged over one another to allow selection of the coupling between resonators 2 and 3 of either capacitive by means Tuning posts

2

D/L

2...2.5

a

a/b

a

b (L)

a

b

D

c

Electric field strength vector

Fig. 5.59 Bandpass filter with waveguide resonators and coaxial connectors. a Longitudinal crosssection through the bandpass; b cross-section with rectangular resonators a × a × b; c cross-section with circular resonators D × L

456

a

H. Arthaber

b

aB (dB)

c

(MHz)

Fig. 5.60 Fourth order bandpass filter with or without coupling between resonators 1 and 4. a Cross-sections of the resonator arrangement; b equivalent circuit; c attenuation of a filter of this sort

of a central coupling hole or inductive by means of an opening arranged at the edge of the resonator. In case of demanding requirements for the selectivity, transfer curves with attenuation poles (Cauer or elliptic-function filters) are advantageous. They can be created by introducing additional couplings that bridge multiple filter circuits [67, 68]. With the fourth order bandpass filter in Fig. 5.60a, this can be easily realized with a coupling 1–4 which must have an opposite sign to that of the inner intermediate coupling 2–3 (Fig. 5.60b). An attenuation pole is obtained on both sides of the passband as seen in Fig. 5.60c. With the sixth order bandpass filter in Fig. 5.61a, we can obtain a Cauer characteristic with two attenuation poles above as well as below the passband by introducing two couplings. The coupling 1–6 must have the same sign as the inner intermediate coupling 3–4 while the coupling 2–5 must have the opposite sign. In case of a

5 Field-Based Description of Propagation on Waveguides

a 1

2

3

6

5

4

1

2

457

b

3

1

2

3

4

5

6

c aB

f

Fig. 5.61 Sixth order Cauer bandpass filter with rectangular resonators. a Arrangement of the resonators; b equivalent circuit; c attenuation curve

different choice for the sign of the couplings, the filter’s transfer function contains an all-pass component which can be used to level out the group delay in the passband [69].

5.7.5.2

Dual-Mode Waveguide Resonators

If we select a square-shaped H 101 resonator or an H 111 circular resonator instead of a rectangular resonator with the side ratio a/b ≈ 2, two orthogonal modes can exist in one resonator which can be coupled to one another by means of a defined asymmetry, e.g. a screw inserted at an angle of 45° with respect to the electric field strength vectors as shown in Fig. 5.62 [70]. Since both orthogonal modes are used for transmission, the number of spatial resonators and thus the volume of the filter are cut in half. This is especially advantageous in satellite applications where it is critical to minimize the volume and weight. Figure 5.63 illustrates the design of a sixth order bandpass filter with three dual-mode H 111 circular resonator cavities. The coupling between resonant circuits 2 and 3 as well as between 4 and 5 is implemented by means of inductive irises, while the coupling between resonant circuits 1 and 2, 3 and 4 and 5 and 6 is implemented using coupling screws. As connecting lines, rectangular waveguides can be coupled via irises in the end faces of resonator cavities 1 and 3 to resonant circuits 1 and 6 or coaxial lines in the center of resonators 1 and 3 opposite tuning

458

H. Arthaber 1

Coupling screws

1

a

45

E1

E1 2

a

2 E2

E2 Electric field strength vectors a 1

1

b

E1

45

E1

2

D

2 E2

E2

c

1

2

1

2

Fig. 5.62 Resonators with coupled orthogonal modes. a Coupling in the square H 101 resonator; b coupling in the H 111 circular resonator; c equivalent circuits: coupling sign reversal when coupling screw position is changed

elements 1 and 6. As shown in Fig. 5.62, the coupling changes sign if the position of the coupling screw is turned by 90° relative to the E vectors of the modes. Based on the example of a fourth order bandpass filter with square H 101 resonators (Figs. 5.64 and 5.65), we can see that it is possible to obtain either a steeper attenuation curve or a leveling of the delay in the passband. Figure 5.66 shows a sixth order Cauer bandpass filter with dual-mode H 101 resonators [69, 71].

5 Field-Based Description of Propagation on Waveguides

459

a 6 6 5–6

5 Tuning elements

3

5

Resonator 3

3 3–4

Iris 4−5

4 Coupling screw

4

2

1–2

2

Resonator 2

Iris 2−3 1

Resonator 1

1 Electric field strength vectors

b

1

2

3

Resonator 1

4

Resonator 2

5

6

Resonator 3

Fig. 5.63 Sixth order dual H 111 mode bandpass filter. a Design; b equivalent circuit 1–2

3–4

Coupling screws

c

a

a

t

aB 1

3

4

a

2

lh0

2–3

1–4

2

b

1

Stopband transition not steepened 2

3

f

Delay flattened

f

4

Fig. 5.64 Fourth order dual H 101 mode bandpass filter with coupling 1–4. a Design; b equivalent circuit; c attenuation curve: not steepened; delay curve: flattened

460

H. Arthaber

a

1–2

c

Coupling screws 3–4

1

2

3

2–3

aB

4

1–4

b

Stopband transition steepened 1

2

3

f

Delay not flattened

f

4

Fig. 5.65 Fourth order dual H 101 mode bandpass filter with coupling 1–4. a Design: modified position of coupling screw 3–4 compared to Fig. 5.64; b equivalent circuit; c attenuation curve with attenuation poles, delay curve not flattened

2–3 1–2

1–4

I 3

1–6

3–4

2

4

II

c 5–6

4–5

aB (dB)

a

III

1

5

6

40

Output

Input

Electric field strength vectors

b

30

Measured

25

calculated (Q = 8700)

20

10 1

2

Resonator

3

I

4

II

5

6

III

f0 = 4017.9 MHz 0 3960

3980

4000

4020

4040

4080

f (MHz)

Fig. 5.66 Sixth order Cauer bandpass filter with dual H 101 mode technology, a design; b equivalent circuit; c attenuation of filter

5.7.5.3

Filters with Dielectric Resonators

Cylindrical disks made of insulating material with high relative permittivity εr and simultaneously low loss factor tan δ and a small temperature coefficient can be used as dielectric resonators. They have smaller dimensions than cavity resonators with a somewhat lower quality factor, but a significantly higher quality factor compared to stripline resonators. They can thus help to reduce the space required for filter circuits. Like for the metallic cavity resonators, we classify E and H modes. For a length to diameter ratio L/D ≈ 0.4, the H 10q resonance occurs as the magnetic

5 Field-Based Description of Propagation on Waveguides

461

fundamental mode. Then, the separation to the next modes H 11q and E01q is also maximized and can be further improved to some extent with an axial drill hole in the resonator [72]. Figure 5.67 shows the field distribution for the H 01q resonance. The electric field lines are concentric circles enclosed by the magnetic field lines. The field disperses into the external space. Some 70% of the field energy is stored within the resonator for εr = (35–90) [73]. The connecting lines are coupled to the magnetic Hz

a

Ej

b

L

H

Resonator

c

D

Ej

Fig. 5.67 Dielectric resonator (cylinder resonator). a Behavior of magnetic and electric field strength for the magnetic fundamental mode; b magnetic field lines; c electric field lines

462

H. Arthaber

stray field as shown in Fig. 5.68. In order to avoid radiation losses, the resonators must be installed in a metal enclosure. As a result, wall surface current losses arise in addition to the dielectric losses in the resonator which diminish the resonator quality factor. The resonant frequency is shifted upwards. The minimum required spacing from the enclosure is about L/2. The most common resonator material is barium zirconate titanate (BZT) with εr ≈ 38 and tan δ ≤ 2 · 10–4 up to 10 GHz. Based on the composition, the temperature coefficient of the resonant frequency can be adjusted within a range from –25 < TKf < +60 · 10–6 /K to about 1 · 10–6 /K. The influence of the enclosure on the TKf can also be compensated in this manner.

Open circuit

a

/4 la

b

c

Fig. 5.68 Options for inductive coupling to the fundamental oscillation of a dielectric resonator. a Open-circuited inner conductors of a coaxial connector; b coupling loops with coaxial connector; c coupling to microstrip

5 Field-Based Description of Propagation on Waveguides

a

463

C

A

L

Resonator

Holder (Quarz)

b

D

B

Dimensions for f0 = 6.8 GHz and D = 8.5 mm

A = 8.1 mm

L = 3.5 mm

B = 20 mm

r

= 37.8 C = 74 mm

Fig. 5.69 Fourth order bandpass filter with dielectric resonators. a Cross-sectional view; b top view and main dimensions

Figure 5.69 shows construction details for a bandpass filter with four dielectric resonators. At a passband frequency of 6.8 GHz, they have diameter D = 8.5 mm and length L = 3.5 mm; they are fastened to the metal enclosure using quartz disks. The intermediate coupling is determined by the axial spacing. The coupling to the connecting lines is realized at the current maximum before their open-circuited ends. Metal screws are used for fine adjustment of the frequency. For a 3 dB bandwidth of 49 MHz, the passband attenuation is 0.85 dB which corresponds to a quality factor of about 4,100. Further applications of dielectric resonators in microwave circuits are found in [74, 75] along with detailed bibliographical material.

5.7.6 Waveguide Directional Couplers 5.7.6.1

Aperture Couplers

One very popular type of waveguide directional coupler is the aperture coupler (Fig. 5.70). Here, two identical rectangular waveguides are coupled by means of multiple holes in the wide or narrow common waveguide wall [76–81]. The coupler principle is best illustrated with the two-hole coupler (Fig. 5.70). Assume that a wave

464

H. Arthaber

a

b 4

Bre–jbd

Bf

Br

B f e–jbd e–jbd

1

3 2

d Z1

Z2

Fig. 5.70 Waveguide aperture coupler. a H-plane coupler as example; b basic principle of directivity in the two-hole coupler

arriving at port 1 with (normalized) amplitude 1 induces in the secondary line a field with amplitude Bf in the forward direction and Br in the reverse direction. The total amplitude of the wave occurring in the forward direction in the secondary line at plane z2 is equal to 2Bf e−jβ d . In contrast, the total wave in the reverse direction at plane z1 is Br (l + e−2jβ d ). Since the path lengths in the forward direction are identical in both lines, the two wave components are in phase and their amplitudes are added. In contrast, the wave components flowing in the reverse direction are out of phase and thus subtract if 2βd = nπ (n = 1, 3, 5, …). Consequently, we see that a value equal to a quarter of the waveguide wavelength λg (d = λg /4) leads to cancellation of the wave components flowing in the reverse direction (forward-wave coupler in contrast to the reverse-wave coupler or backward-wave directional coupler, TEM wave coupler). The coupling attenuation is aK = −20 log 2|Bf |,

(5.7.11)

and the directional attenuation is |Bf | 2|Bf | = 20 log |Br || cos βd| |Br | 1 + e−2jβd Bf 1 = 20 log + 20 log . B cos βd

aR = 20 log

(5.7.12)

r

Multi-hole arrangements can be used to create directional attenuation characteristics, e.g. with Chebyshev behavior; see also [76–81].

5.7.6.2

Branch-Guide Couplers

Branch-guide couplers are also widely used and have been extensively studied [76, 78, 80–90]. They are well suited for measurement applications (20 dB or 10 dB coupler) as well as power splitter applications (3 dB coupler). Moreover, they are easy to build and are relatively broadband devices. This coupler is typically analyzed using network theory [80–91]. However, rigorous field theoretical methodology has also been applied to design optimal E-plane directional couplers [89, 90]. Figure 5.71

5 Field-Based Description of Propagation on Waveguides

465

a

(dB)

b

(GHz)

Fig. 5.71 E-plane branch-guide coupler [90]. a Basic coupling principle; b S-parameters S11 to S14 versus frequency f

shows a possible design for a 3 dB coupler [90]. A slot height of about λg /4 is optimal; however, it is often more practical to use a (mechanically simpler) coupling plate (approx. 200 μm thick) since the coupler slots can be produced with an etching process. Besides E-plane branch-guide couplers, H-plane branch-guide couplers are also used. Although this coupler type does not offer the same good directional coupler characteristics as the E type, it is preferred in high-power applications because the E type tends towards field breakdowns in the y direction due to inhomogeneities [76].

466

5.7.6.3

H. Arthaber

Further Waveguide Directional Couplers

Figure 5.72 shows further waveguide directional coupler types that are also encountered in practice [79].

5.8 Wave Propagation in Gyromagnetic Media (Directional Components, Ferrites and Yttrium Iron Garnet Garnets) 5.8.1 Basic Principles Ferrites are metal oxide compounds with the chemical formula MeOFe2 O3 (Me = bivalent metal). In contrast to ferromagnetic materials (Fe, Ni, Co), they have ceramic properties. Due to their high resistivity (up to 1012  cm), they can be used without eddy current losses in the microwave range. Alongside these ferrites, there exist ferrimagnetic materials with other crystal structures such as barium ferrites and yttrium iron garnets (YIG). Nowadays, all materials with ferrimagnetic properties are said to be ferrites [92]. Usage of pre-magnetized ferrites in microwave applications to realize components that exhibit transmission asymmetry (non-reciprocity) is based on the electron spin

a

b Mz

lL/4 Mz

Output

Input

c

T slot in common broadside

Fig. 5.72 Further waveguide directional couplers [79]. a Directional coupler with inverted phase as described by Schwinger; b directional coupler with crossed waveguides as described by Moreno; c T-slot directional coupler as described by Riblet

5 Field-Based Description of Propagation on Waveguides

467

precession. Due to the pre-magnetization, the permeability of these ferrite materials is no longer independent of the direction of the fields, i.e. it is a tensor that we will designate hereafter with ||μ||. ||μ|| gives the relationship between the induction B and the magnetic field strength H: B = μ · H or in matrix form: ⎞⎛ ⎞ ⎛ ⎞ ⎛ ⎞ ⎛ μ11 μ12 μ13 Hx μ11 Hx + μ12 Hy + μ13 Hz Bx ⎝ By ⎠ = ⎝ μ21 μ22 μ23 ⎠⎝ Hy ⎠ = ⎝ μ21 Hx + μ22 Hy + μ23 Hz ⎠. Bz μ31 μ32 μ33 Hz μ31 Hx + μ32 Hy + μ33 Hz Bx is thus dependent on H x , H y and H z , i.e. an induction in the x direction can be produced by a magnetic field in the y direction. Based on the model of the electron spinning about its own axis, the direction-dependent permeability can be calculated.

5.8.1.1

Direction-Dependent Permeability μ

Due to its charge we can attribute a magnetic dipole moment jB (Bohr magneton [93]) to an electron spinning about its own axis and due to its mass, we can attribute an angular momentum D (spin magnetic moment) to it. We have: j B = −Γ · D, Γ = μ0

e . me

(5.8.1)

Here, G is the gyromagnetic ratio.14 For μ0 = magnetic field constant = 1.257 · 10–8 s/cm, e = electron charge = 1.6·10–19 As, me = electron mass = 9.1 · 10–35 Ws3 /cm2 , it follows that G = 22.1 MHz cm/A. Due to mass inertia, the direction of D is constant. Under the influence of the resultant field strength H r inside the ferrite, the vector of the magnetic moment and thus the angular momentum vector experiences a mechanical moment of force M which is perpendicular to jB and H r : M = j B × H r . Since D and M are related by the equation M = dD/dt, we obtain the equation of motion for jB [95]: d jB = −Γ · j B × H. dt

(5.8.2)

If H r = H 0 is independent of time (constant magnetic field), the vector of jB travels with the angular velocity ω0 = +G · |H 0 | on the surface of a cone with H 0 In literature, γ is often used instead of G. In order to avoid confusion with the propagation constant, the designation G was introduced in [94].

14

468

H. Arthaber H0

Fig. 5.73 Precession of the magnetic moment around the direction of the constant magnetic field

djB/dt

jB

D

as the axis (Fig. 5.73). This precession motion experiences damping which is taken into account in Eq. (5.8.6). The magnetic field strength H r inside the ferrite consists of the externally applied magnetic field H a and the anisotropy fields H n of the magnetic domains. Without an external field, the directions of the anisotropy fields are randomly distributed such that the sum over all H n is equal to zero. If the ferrite is biased, all H n are turned in the direction of the applied field strength. The direction of H r increasingly matches that of H a as H a approaches saturation. According to Kittel [96], in case of saturation the magnetic field strength H r can be calculated as a function of the geometric dimension, the applied field and the saturation magnetization. We will assume hereafter that the ferrite is saturated and H r is known. In order to obtain the direction-dependent permeability ||μ||, we must discover the relationship between jB and Br since B = ||μ|| · H · Br consists of the induction of free space μ0 H r and the sum of all of the magnetic moments in the observed volume V: . j Bn /V. B r = μ0 H r + n

/

n j Bn /V is the magnetic polarization Bi = Br − μ0 H r . Applying Eq. (5.8.2), we obtain the relationship between Br and H r :

d (B r − μ0 H r ) = −Γ (B r − μ0 H r ) × H r = −Γ B r × H r . dt Assuming that

(5.8.3)

5 Field-Based Description of Propagation on Waveguides

1.

2.

469

The induction and the magnetic field strength are composed of temporally independent (constant) components along with relatively small alternating components and The constant components only have components in the z direction which are so large that the ferrite is saturated. Br and H r then take the following form: B r = B + B 0 = ex · Bx + ey · By + ez (Bz + B0 ), H r = H + H 0 = ex · Hx + ey · Hy + ez (Hz + H0 ).

Applying the approaches in Eq. (5.8.3) and neglecting the products of alternating quantities, we obtain the following in complex notation [97]:   jω(Bx − μ0 · Hx ) + Γ By · H0 − Hy B0 = 0,   jω By − μ0 · Hy + Γ (Hx · B0 − Bx H0 ) = 0, jω(Bz − μ0 · Hz ) = 0 such that B = || μ || · H: ⎞ ⎛ ⎞⎛ ⎞ μ1 jμ2 0 Hx Bx ⎝ By ⎠ = ⎝ −jμ2 μ1 0 ⎠⎝ Hy ⎠ Bz Hz 0 0 μ0 ⎛

(5.8.4)

with the components15   ω0 ωm ωωm , μ2 = μ0 2 μ1 = μ0 1 + 2 , ω0 − ω2 ω0 − ω2 Γ Γ Bis . ω0 = Γ H0 , ωm = (B0 − μ0 H0 ) = μ0 μ0

(5.8.5)

Bis is the magnetic saturation polarization and ω0 /2π is the gyromagnetic resonance frequency. If the frequency ω/2π of the excitation magnetic field strength is equal to ω0 /2π, then μ1 , μ2 and thus Bx , By are infinitely large according to Eq. (5.8.5). In reality, however, they only attain a finite maximum value because the precession motion is damped, and a damping constant is missing from the denominator of Eq. (5.8.5) which we can introduce as follows: The denominator function N = ω02 − ω2 can be converted into the form N = p 2 + ω02 = ( p − p1 )( p − p2 ) with p = jω where p1,2 = ±jω0 represent poles of μ1 and μ2 . p1,2 lie on the jω axis of the complex p plane. In order to determine the damping, the poles are shifted by the magnitude aω0 into the domain of negative real parts: 15

In literature, μ1 is commonly referred to as μ and μ2 as –K or –κ.

470

H. Arthaber  p1,2 = ±jω0 − aω0 .

a is the damping factor introduced by Landau and Lifschitz. As we will demonstrate later, a can be determined from resonance width measurements.     With the poles p1,2 , the denominator function becomes N = p − p1 p − p2 . We thus obtain the following for p = jω:     N = ω02 1 + a 2 − ω2 + 2 jaω0 ω = (ω0 + jaω)2 − ω2 1 − a 2 + a 2 ω02 and for |a|  1: N = −ω2 + (ω0 + jωa)2 . Comparison of this function with the one for a = 0 shows that the damping is taken into account if we replace ω0 with ω0 + jωa. We thus obtain the following like in [95]:  μ1 = μ0 1 + μ2 = μ0

5.8.1.2

 (ω0 + jωa)ωm , (ω0 + jωa)2 − ω2

ωωm (ω0 + jωa)2 − ω2

(5.8.6a) (5.8.6b)

Wave Propagation in Pre-magnetized Ferrites

In order to illustrate the direction-dependent permeability, it is practical to consider the behavior of an electromagnetic wave in an infinitely extended medium with the characteristics described above. From Maxwell’s equations, we obtain the following after eliminating E: curl curl H − ω2 εμH = 0.

(5.8.7)

Assuming a solution of the form e j (ωt−k·r) (k = ex kx + ey ky + ez kz and r = ex · x + ey · y + ez · z) in Cartesian coordinates for H = ex Hx + ey Hy + ez Hz and initially setting k x = k y = 0 and jk z = γ , we obtain the following three component equations from Eq. (5.8.7):   2 ω εμ1 + γ 2 Hx + jω2 εμ2 Hy + 0 = 0,   −jω2 εμ2 Hx + ω2 εμ1 + γ 2 Hy + 0 = 0, 0 + 0 + ω2 εμ0 Hz = 0.

5 Field-Based Description of Propagation on Waveguides

471

For nontrivial solutions, the coefficient determinant must disappear such that we obtain √ y± = α± + jβ± = jω εμ±

(5.8.8)

where    μ± = μ0 μ± − jμ± = μ1 ± μ2 = μ0 1 +

 ωm . ω0 ∓ ω + jωa

(5.8.9)

The corresponding solutions for H are as follows:   H± ∼ ex ∓ je y ejωt−γ± z . In other words, two modes with different propagation constants can be propagated. The components H x and H y have the same magnitude but are phase-shifted by ±π/2, i.e. they are circularly polarized. The direction of H+ (H– ) rotates around the z axis in the mathematically positive (negative) sense. Figure 5.74 illustrates the rotation direction of the polarization and the effect of the different propagation constants: A positive and a negative circulating wave are propagated in the medium. The real parts of H± are represented at a fixed time point. At position z1 , the vectors H+ and H– trail those at position z = 0 by the spatial angles ϕ ± = β ± z. Assuming we neglect the different damping, the direction of the total field strength H = H+ + H– forms at position z = z1 an angle ϕ = 21 (ϕ+ − ϕ− ) = 21 (β+ − β− )z 1 with the x axis. In other words, if a linearly polarized wave that can be decomposed into two circularly polarized waves with the same amplitude and frequency but different directions of rotation encounters a ferrite that is pre-magnetized in the propagation direction of the wave (Fig. 5.75), the wave experiences a polarization rotation when passing through the ferrite (Faraday effect). The magnitude and direction of the rotation are dependent on μ+ and μ– and thus also on ω and H 0 . Figure 5.76 shows the relative quantities μ+ , μ− , μ+ , and μ− as a function of the constant field for a fixed frequency ω/2π. While the effective permeability μ– of the wave rotating in opposition to the precession only changes slightly in the entire range, μ+ passes through a resonance point at H 0 = ω/G, i.e. ω = ω0 , at which the damping component μ+ becomes very large. Based on the measurable line width H 0 (see Fig. 5.76b), we obtain in conjunction with Eq. (5.8.9) the damping factor a: a=

Γ H0  1. 2ω

In case of low pre-magnetization, μ+ and μ− increase again (low field losses). The polarizations of the magnetic domains no longer have a uniform direction. The transitions between the domains (Bloch walls) realize spatial oscillations at the frequency of the alternating field and can thus absorb energy. In order to avoid such losses,

472

a

H. Arthaber z

z

b

H−(z = z1)

z = z1

z = z1

wt wt y

H+(z = z1)

y

z=0

z=0

j – = b –·z1

wt wt H+(z = 0)

H−(z = 0)

j + = b+·z1

x

x

Fig. 5.74 Propagation of a wave with a right-hand circular polarization b left hand circular polarization y

y m+(H0) ± m–(H0)

H

x

j H

x

H0 j

E

E z=0

S

z = z1

S

z

Fig. 5.75 Faraday effect: rotation of the polarization of a linearly polarized wave in a premagnetized ferrite

5 Field-Based Description of Propagation on Waveguides

473

a μ′ 1 + (wm / 2a · w)

μ′+

μ′− wG

Saturated

Unsaturated

μ′r

H0

1 – (wm / 2a · w)

b

μ″ (μ″+ )max

μ″+ Saturated

Unsaturated

wm /a · w

H0

1/2 (μ″+ )max

μ″r Low field losses wG

μ″– H0

Fig. 5.76 a μ+ and μ− ; b μ+ and μ− as a function of the constant field. Here, H 0 points in the positive z direction

we must strive to produce ferrimagnetic materials with the lowest possible crystal anisotropy and low saturation magnetization (e.g. substituted yttrium iron garnets). We will now investigate the case in which we assume that the wave in the x direction propagates perpendicular to the pre-magnetization, i.e. k y = k z = 0, jk x = γ . If the vector of the magnetic field H lies in parallel to the pre-magnetization H 0 , we obtain the following for γ as discussed at the start of this section: √ γ = γ|| = jω μ0 ε. If H is perpendicular to H 0 , we obtain  γ = γ⊥ = jω ε

μ21 − μ22 . μ1

474

H. Arthaber

The effective permeability and thus the propagation constant are dependent on the polarization direction of the wave. A medium that possesses such properties is said to be birefringent.

5.8.2 Application in Nonreciprocal Components By exploiting the direction-dependent characteristics of pre-magnetized ferrites, we can create diverse components for the microwave range (and in some cases down to several tens of MHz) including circulators, isolators (nonreciprocal attenuators or unidirectional lines), controllable attenuators and phase shifters, modulators, microwave switches, gyrators (see [60]) and absorbers. The circulator and the isolator are the most important components in this group.

5.8.2.1

Circulators (Waveguide Circulators)

A circulator is a nonreciprocal component with three or more ports. In general, the S-matrix16 of the three-port circulator is as follows: ⎛

⎞ S11 S12 S13 S = ⎝ S21 S22 S23 ⎠. S31 S32 S33 In case of rotational symmetry in the three-port circulator, S assumes a simpler form with only three factors: ⎞ S1 S2 S3 S = ⎝ S3 S1 S2 ⎠. S2 S3 S1 ⎛

Here, S1 (= S11 = S22 = S33 ) are the reflection coefficients which ideally should disappear. Moreover, for a circulator rotation direction as shown in Fig. 5.77, S2 (= S12 = S23 = S31 ) are the transmission coefficients in the reverse direction which should be as small as possible and S3 (= S13 = S21 = S32 ) are the transmission coefficients in the forward direction which should ideally have a magnitude of 1. For an ideal circulator, the S-matrix for the rotation direction l → 2 → 3 is thus ⎛

⎞ 0 0 ejψ S = ⎝ ejψ 0 0 ⎠ 0 ejψ 0 16

The scattering matrix S associates the power waves a flowing into a multiport to the outgoing power waves b based on the relationship b = Sa.

5 Field-Based Description of Propagation on Waveguides

475 R3 3

R1

V0

~ ~

1

2

R2

Fig. 5.77 Circuit symbol for a circulator with connected ports

and for the rotation direction 1 → 3 → 2, it is ⎛

⎞ 0 ejψ 0 S = ⎝ 0 0 ejψ ⎠. ejψ 0 0 Ψ is an arbitrary phase. If port 2 is matched, the power supplied to port 1 (Fig. 5.77) is completely dissipated in resistor R2 . Port 3 is “isolated". If port 2 is unmatched, part of the power is reflected and if port 3 is matched, it is dissipated in resistor R3 . It can be demonstrated that a lossless three-port network that is matched on all sides must be nonreciprocal and exhibit ideal circulator behavior [98]. Ferrite circulators exploit the difference in the phase constants β = β + − β − of waves with positive and negative circular polarization. Using Eq. (5.8.8), we can calculate17 that β is proportional to ω2 for ω  ω0 , approximately equal to zero for ω = ω0 and nearly frequency-independent for ω  ω0 [99]. Therefore, it is not possible to create circulators for ω = ω0 . Most microwave circulators operate in the range ω  ω0 . Ferrites for circulators in the frequency range from about 4 to 100 GHz have saturation magnetizations H is = 1/μ0 Bis between 300 and 500 kA/m and line widths H of the resonance in the range 5–70 kA/m. The relative permittivity εr is equal to about 9–18 and the loss factor is 10–4 to 10–3 . Three-port circulators basically consist of a resonator in which three waveguides terminate which are spatially offset by 120°. Within the resonator, there is a ferrite cylinder that is pre-magnetized perpendicular to the plane of the three waveguides. It typically has a circular or a triangular cross-section. We will discuss its operation based on a waveguide circulator as shown in Fig. 5.78. In the initially unmagnetized 17

Although Eq. (5.8.8) holds only for infinitely extended space, it can be used to approximately characterize the electric conditions in the circulator.

476

H. Arthaber

a

b 1

H0 = 0

3

2

1

H0 > 0

3

2

Fig. 5.78 H-plane waveguide circulator. a Without pre-magnetization; b with magnetic field H 0

ferrite, an H 10 mode fed into port 1 generates a resonance field that is symmetrical with respect to the direction of the supplying waveguide. This field can be decomposed into two circularly polarized rotating fields with the same amplitude but opposite directions of rotation: H = H + + H – . The resonant frequency of the fundamental mode which is customarily used is determined, except for the cylinder dimensions, from the material constants of the ferrite without pre-magnetization. With pre-magnetization applied, different permeability values apply for the two rotating fields: B+ = μ+ · H + , B− = μ– · H – . A resonant frequency arises that results approximately from the arithmetic mean of the phase coefficients β + and β − . Like when there is no pre-magnetization, a linearly polarized oscillation again arises from the superposition. If port 2 is matched, the magnetic field strength has on average a polarization direction that is perpendicular to the plane of port 3 such that no H 10 mode can be excited here; port 3 is decoupled. Circulators with this design are also known as H-plane circulators since the three waveguides lie in the H plane of the excitation waves. Most circulators are constructed based on this principle. However, for high power levels and for the case in which the waveguide arms must be rotated by 90° about the respective propagation axes due to spatial constraints, E-plane circulators have been developed [100, 101]; see Fig. 5.79. Whereas in H-plane circulators the magnetic H x component and the electric E y component are the main field components of the H 10 mode that excite the ferrite resonator, in the E-plane circulator this role is played by the H z component and the highly reduced E y component on the edge of the waveguide. The maximum tolerable field strengths and the associated power levels are thus higher. For usage in microwave integrated circuits (MICs), circulators can also be realized with microstrip or stripline technology [102] (Fig. 5.80a). Here, an opening in the substrate (typically Al2 O3 ceramic) accommodates the ferrite element (drop-in circulators). The functioning is basically identical to that of H-plane circulators. If a permeable material such as ferrite or yttrium iron garnet is used as the substrate

5 Field-Based Description of Propagation on Waveguides

477

a

H

Ey

H0

b

ferrite

3

1 Hz

Ey

2

Fig. 5.79 E-plane waveguide circulator. a View of port 2; b field patterns with decoupled port 3

a

b 2 Microstrip line 2

3

1

Ferrite

Ground conductor

Microstrip line Ferrite cylinder

3 1

H0 Copper-cladded insulator (e.g. printed circuit board)

Fig. 5.80 Circulator designs with planar conductors. a With microstrip line; b with stripline

478

H. Arthaber

for the circuit, pre-magnetization of the otherwise isotropic substrate suffices at the junction between the three transmission lines. For coaxial line systems, circulators are commonly realized using stripline technology (Fig. 5.80). The junction between the three inner conductors is located between two ferrite disks. Since the dimensions of the resonators increase with wavelength, circulators are constructed at low frequencies down to several tens of MHz as lumped-element circulators in which the resonator is replaced by a balanced three-arm transformer connected in a star or delta configuration. The pre-magnetized ferrite is located in the field of the transformer. If port 2 is matched, the vector of the linearly polarized induction lies in the plane of the coil associated with port 3 such that no voltage can be induced in it [103]. Lumped-element circulators generally require matching networks that limit the bandwidth. Circulators are used in radar applications [104] to isolate the transmitter and receiver on a common antenna and in directional radio applications [105] to decouple the channel filters. Circulators are also used in the operation of reflection amplifiers (reactance, IMPATT diode amplifiers [106]) or for reduction of load reflections. By reversing the pre-magnetization, a microwave switch can be realized. For lower frequencies down to about 10 MHz, circulators can also be realized with the aid of active components [107, 108]. However, such low-frequency circulators have other application areas too. For example, they can be used to create floating inductors in the form of integrated circuits.

5.8.2.2

Unidirectional Lines (Microwave Isolators)

Unidirectional lines (microwave isolators) are two-port networks that are matched on both sides with nearly lossless transmission in the forward direction (attenuation 20 dB). They are used to decouple components or equipment units along a transmission path and especially to reduce the reflection coefficient by attenuating the reflected wave. Ferrite-based isolators built using waveguide technology are realized with narrowband characteristics by exploiting the Faraday effect. The resonant unidirectional line exploits the different attenuation components μ+ and μ− of the positive and negative circularly polarized waves in the vicinity of the gyromagnetic resonant frequency. The ferrite which is pre-magnetized perpendicular to the alternating magnetic field is located in the waveguide at a position where the magnetic field strength exhibits circular polarization. For an H 10 mode in the rectangular waveguide, these positions are located between the center and the side walls of the waveguide (Fig. 5.81). The pre-magnetization direction is chosen such that the rotation direction of the polarization of a wave incident on port 1 does not coincide with the spin precession. As a result, the wave passes through the ferrite region nearly unattenuated. If the propagation direction is reversed, the rotation direction of the polarization also changes in terms of the pre-magnetization such that the spin precession is highly excited and can absorb energy. A wave fed into port 2 is thus nearly completely absorbed in the ferrite.

5 Field-Based Description of Propagation on Waveguides

479

x

H0

H0

0

H (y)

Hz (y)

0

1/4

a

y

1

y/a

Hy (y)

1/2

3/4

Fig. 5.81 Basic design of resonance isolators using waveguide technology

Unidirectional lines based on the Faraday effect consist of a section of ferrite-filled circular waveguide operated in H 11 mode with transitions to rectangular waveguides offset at a 45° angle (Fig. 5.82). In the transition regions, damping sheets are arranged parallel to the broadside of the waveguide to suppress undesired modes. The polarization of an H 10 mode (E 1 ) that is fed into port 1 is spatially rotated by 45° in the ferrite region such that the wave can exit the unidirectional line on port 2 nearly unattenuated. Since the rotation direction is constant with respect to the pre-magnetization direction and does not depend on the propagation direction of the wave, a wave (E 2 ) that is fed into port 2 is rotated by the same angle (45°). The wave is attenuated because its polarization is now no longer perpendicular to the damping sheet. In coaxial lines with a homogeneous dielectric, there is no location with circular polarization as long as only the fundamental mode is propagated [109].

480

H. Arthaber

2

Ferrite Damping sheet

1

45°

1

E1

2

E1

E1 E1

E2

E2 E2

Fig. 5.82 Unidirectional line based on the Faraday principle. See text for discussion

References 1. 2. 3. 4. 5. 6. 7.

8.

9. 10. 11. 12. 13. 14. 15. 16. 17.

Maxwell, J.C.: A Treatise on Electricity and Magnetism. London (1873) Stratton, J.A.: Electromagnetic Theory. New York, London (1941) Lagally, M.: Vorlesungen über Vektorrechnung. Akad. Verlagsgesellschaft, Leipzig (1956) Mahr, H.: Ein Beitrag zur Theorie der im Grundwellentyp angeregten Koaxialleitung. Der Fernmeldeingenieur 23. H. 5–7 oder Bad Windsheim: Heidecker (1969) Mie, G.: Elektrische Wellen an zwei parallelen Drähten. Ann. Phys. 2, 201–249 (1900) Zinke, O.: Anwendung Maxwellscher und Kirchhoffscher Gleichungen auf homogene LecherLeitungen beliebiger Leitergeometrie. NTZ 24, 369–374 (1971) Lorenz, R.W.: Über Lecher-Wellen, Leitungs-Wellen und TEM-Wellen auf verlustbehafteten Mehrleitersystemen und die Bedeutung der Diffusionsgleichung zur Ermittlung der Leitungsbeläge. Frequenz 25, 208–215 (1971) Lorenz, R.W.: Berechnung der frequenzabhängigen Leitungsbelagsmatrizen von Systemen verlustbehafteter Leiter mit rundem Querschnitt. Frequenz 25, 227–234 (1971). Frequenzabhängigkeit von Induktivität und Verlustwiderstand der Doppelleitung bei Gegentakt- und Gleichtakterreung. Frequenz 26, 1–7 (1972) Piefke, G.: Zusammenfassung der Maxwellschen und Londonschen Theorie mit Anwendung auf supraleitende Bandleitungen. AEÜ 17, 249–253 (1963) Kaden, H.: Über den Verlustwiderstand von Hochfrequenzleitern. Archiv für Elektrotechnik 28, 818–825 (1934) Wheeler, H.A.: Formulas for the skin effect. Proc. IRE 30, 412–424 (1942) Hondros, D., Debye, P.: Elektromagnetische Wellen an dielektrischen Drähten. Ann. Phys. 32, 465 (1910) Sommerfeld, A.: Ann. d. Phys 67, 233 (1899) Hondros, D.: Ann. Phys. 30, 905 (1909) Zahn, H.: Über den Nachweis elektromagnetischer Wellen an dielektrischen Drähten. Ann. Phys. 49, 907 (1916) Schriever, O.: Elektromagnetische Wellen an dielektrischen Drähten. Ann. Phys. 63, 645 (1920) Harvey, A.F.: Microwave Engineering. Academic, London, New York (1963)

5 Field-Based Description of Propagation on Waveguides

481

18. Mallach, P.: Dielektrische Richtstrahler. FTZ 2, 33 u (1949). FTZ 3, 325 (1950) 19. Mallach, P.: Untersuchungen an dielektrischen Wellenleitern in Stab- und Rohrform. FTZ 8, 8 (1955) 20. Unger, H.G.: Dielektrische Rohre als Wellenleiter. AEÜ 8, 241–252 (1954) 21. Unger, H.G.: Übertragungswerte von Wellen an dielektrischen Rohren. FTZ 8, 438–443 (1955) 22. Collin, R.: Field Theory of Guided Waves, p. 481. McGraw-Hill (1960) 23. Gloge, D., Marcatili, E.A.J.: Multimode theory of graded-core fibers. Bell Syst. Tech. J. 52, 1563–1578 (1973) 24. Marcuse, D.: Theory of Dielectric Optic Waveguides. Academic, New York (1974) 25. Unger, H.G.: Planar Optical Waveguides and Fibres. Clarendon Press, Oxford (1977) 26. Okoshi, T.: Optical Fibers. Academic, New York (1982) 27. Kersten, R.T.: Einführung in die optische Nachrichtentechnik. Springer, Berlin, Heidelberg, New York, Tokyo (1983) 28. Snyder, A.D., Love, J.D.: Optical Waveguide Theory. Chapman & Hall, London (1983) 29. Gowar, J.: Optical Communication Systems. Prentice-Hall, London (1984) 30. Heinlein, W.: Grundlagen der faseroptischen Übertragungstechnik. Teubner, Stuttgart (1985) 31. Geckeler, S.: Lichtwellenleiter für die optische Nachrichtenübertragung. Springer, Berlin, Heidelberg, New York, Tokyo (1985) 32. Börner, M., Trommer, G.: Lichtwellenleiter. Teubner, Stuttgart (1989) 33. Grimm, E., Nowak, W.: Lichtwellenleitertechnik. Hüthig, Heidelberg (1989) 34. Unger, H.G.: Optische Nachrichtentechnik, Teil I: Optische Wellenleiter. Hüthig, Heidelberg (1990) 35. Grau, G., Freude, W.: Optische Nachrichtentechnik. Springer, Berlin, Heidelberg, New York, Tokyo (1991) 36. Unger, H.G.: Optische Nachrichtentechnik, Teil II: Komponenten, Systeme, Meßtechnik. Hüthig, Heidelberg (1992) 37. Miller, S.E., Kaminow, I.P.: Optical Fiber Telecommunications II. Academic, Boston (1986) 38. Gloge, D.: Optical power flow in multimode fibers. Bell Syst. Tech. J. 51, 1767–1783 (1972) 39. Gloge, D.: Impulse response of clad optical multimode fibers. Bell Syst. Tech. J. 52, 801–816 (1973) 40. Agrawal, G.P.: Nonlinear Fiber Optics. Academic, Boston (1989) 41. Dianov, E.M., Mamyshev, P.V., Prokhorov, A.M., Serkin, V.N.: Non-linear Effects in Optical Fibers. Harwood Academic Publishers, Chur (1989) 42. Zenneck, J.: Über die Fortpflanzung elektromagnetischer Wellen längs einer ebenen Leiterfläche und ihre Beziehung zur drahtlosen Telegraphie. Ann. Phys. 23, 846–866 (1907) 43. Harms, F.: Elektromagnetische Wellen an einem Draht mit isolierender zylindrischer Hülle. Ann. Phys. 23, 44–60 (1907) 44. Goubau, G.: Single-conductor surface-wave transmission lines. Proc. IRE 39, 619 (1951) 45. Kaden, H.: Eine allgemeine Theorie des Wendelleiters. AEÜ 5, 534–538 (1951) 46. Kaden, H.: Fortschritte in der Theorie der Drahtwellen. AEÜ 5, 399–414 (1951) 47. Zinke, O.: Kabel und Funkweg im Mikrowellenbereich. NTZ 10, 425–430 (1957) 48. Thomson, J.J.: Recent Researches in Electricity and Magnetism. London (1893). Lodge, O.J.: The work of Hertz. Proc. Roy. Inst. 14, 321–340 (1894) 49. Rayleigh, L.: On the passage of electric waves through tubes or vibrations of dielectric cylinders. Philos. Mag. 43, 125–132 (1897) 50. Becker, A.: Interferenzröhren für elektrische Wellen. Ann. Phys. 8, 22–62 (1902) 51. Vlcek, A.: Zurückführung elektrodynamischer Felder auf statische Lösungen. AEÜ 18, 706– 717 (1964) 52. Zinke, O.: Runde und rechteckige Hohlleiter und ihre Ersatzschaltungen. Arch. Elektrotechn. 41, 364–384 (1955) 53. Rauskolb, R.: Fortpflanzungskonstante und Feldwellenwiderstand von Hohlleitern in der Umgebung der Grenzfrequenz und bei tiefen Frequenzen. AEÜ 16, 427–435 (1962)

482

H. Arthaber

54. Lorek, W.: Eine Erweiterung der quasistationären Hohlleitertheorie auf Steghohlleiter und ihre Anwendung bei der parametrischen Steuerung des H10 —Wellenfeldes. Darmstädter Dissertation, 1971 55. Papadopoulos, U.M.: Propagation of electromagnetic waves in cylindrical waveguides with imperfectly conducting walls. Q. J. Mech. Appl. Math. 7, 325–334 (1954) 56. Karbowiak, A.E.: Theory of imperfect waveguides. The effect of wallimpedance. J. Inst. Electr. Eng. 102(Part B), 698–708 (1955) 57. Bayer, H., Schaffeld, W.: Über das Verhalten elektromagnetischer Wellen in kreiszylindrischen Hohlleitern im Bereich der Grenzfrequenz unter Berücksichtigung der endlichen Wandleitfähigkeit. AEÜ 10, 89–97 (1956) 58. Marcuvitz, N.: Waveguide Handbook. MIT Rad. Lab. Series, vol. 10. McGraw-Hill (1951) 59. Buchholz, H.: Elektr. Nachrichtentechnik 16, 73 (1939) 60. Uher, J., Arndt, F., Bornemann, J.: Field theory of design of ferrite-loaded waveguide nonreciprocal phase shifters with multisection ferrite or dielectric step impedance transformers. IEEE Trans. Microwave Theory Tech. MTT-35, 552–560 (1987) 61. Deutsch, J., Zinke, O.: Kontaktlose Kolben für Mikrowellenmeßgeräte. FTZ 7, 419–424 (1954) 62. Schmidt, S.: Untersuchungen an einem kreiszylindrischen Hohlraumresonator mit axial geschichtetem Dielektrikum. AEÜ 16, 436–448 (1962) 63. Otto, W.: Berechnung von Hohlraumresonatoren höchster Güte. Nachrichtentechnik 10, 205– 209, 267–272, 365–372 (1960) 64. Matthaei, G.L., Young, L., Jones, E.M.T.: Microwave Filters, Impedance Matching Networks and Coupling Structures. McGraw-Hill, New York (1964) 65. Young, L.: Direct-coupled cavity filters for wide and narrow bandwidths. IEEE Trans. MTT-II, 162–178 (1963) 66. Levy, R.: Theory of direct-coupled-cacity filters. IEEE Trans. MTT-15, 340–348 (1967) 67. Levy, R.: Filters with single transmission zeros at real or imaginary frequencies. IEEE Trans. MTT-24, 172–181 (1976) 68. Williams, A.E.: A four-cavity elliptic waveguide filter. IEEE Trans. MTT-18, 1109–1114 (1970) 69. Pfitzenmaier, G.: Synthesis and realisation of narrow-band canonical microwave band-pass filters exhibiting linear phase and transmission zeros. IEEE Trans. MTT-30, 1300–1311 (1982) 70. Butterweck, H.J.: Mikrowellenbandfilter unter Verwendung mehrerer Eigenschwingungen in einem Hohlraum. Nachr.-Tech. Fachber. 23, 46–52 (1961) 71. Pfitzenmaier, G.: An exact solution for a six-cavity dualmode elliptic bandpass filter. In: IEEE MTT-S International Microwave Symposium Digest, San Diego, June 1977, pp. 400–403 72. Pöbl, K., Wolfram, F.: Dielektrische Resonatoren, neue Bauelemente der Mikrowellentechnik. Siemens-Components 20, 14–18 (1982) 73. Cohn, S.B.: Microwave bandpass filters containing dielectric resonators. IEEE Trans. MTT16, 218–227 (1968) 74. Plourde, J.K., Ren, C.-L.: Application of dielectric resonators in microwave components. IEEE Trans. Microwave Theory Techn. MTT-29, 754–770 (1981) 75. Fiedziuszko, S.J.: Microwave dielectric resonators. Microw. J. 29, 189–200 (1986) 76. Levy, R.: Directional couplers. In: Young, L. (ed.) Advances in Microwaves, vol. 1. Academic, New York (1966) 77. Levy, R.: Improved single and multiaperture waveguide coupling theory including explanation of mutual interactions. IEEE Trans. Microwave Theory Tech. MTT-28, 331–338 (1980) 78. Matthaei, G.L., Young, L., Jones, E.M.T.: Microwave Filters, Impedance-Matching Networks and Coupling Structures. McGraw-Hill, New York (1964) 79. Collin, R.E.: Grundlagen der Mikrowellentechnik. Verlag Technik, Berlin (1973) 80. Young, L.: Branch guide directional couplers. Proc. Nat. Electron. Conf. 12, 723–732 (1956) 81. Reed, J., Wheeler, G.J.: A method of analysis of symmetrical fourport networks. IRE Trans. Microwave Theory Tech. MTT-4, 246–252 (1956)

5 Field-Based Description of Propagation on Waveguides

483

82. Reed, J.: The multiple branch waveguide coupler. IRE Trans. Microwave Theory Tech. MTT6, 398–403 (1958) 83. Patterson, K.G.: A method for accurate design of a broadband multibranch waveguide coupler. IRE Trans. Microwave Theory Tech. MTT-7, 466–473 (1959) 84. Young, L.: Synchronous branch-guide directional couplers for low and high power applications. IRE Trans. Microwave Theory Tech. MTT-IO, 459–475 (1962) 85. Levy, R., Lind, L.F.: Synthesis of symmetrical branch-guide directional couplers. IEEE Trans. Microwave Theory Tech. MTT-16, 80–89 (1968) 86. Levy, R.: Analysis of practical branch-guide directional couplers. IEEE Trans. Microwave Theory Tech. MTT-17, 289–290 (1969) 87. Levy, R.: Zolotarev branch-guide couplers. IEEE Trans. Microwave Theory Tech. MTT-21, 95–99 (1973) 88. Kühn, E.: Improved design and resulting performance of multiple branch-waveguide directional couplers. Arch. EI. Übertragung 28, 206–214 (1974) 89. Bräckelmann, W., Hess, H.: Die Berechnung von Filtern und 3-dB-Kopplern für die Hm0 Wellen im Rechteckhohlleiter. Arch. EI. Übertragung 22, 109–116 (1968) 90. Arndt, F., et al.: Field theory analysis and numerical synthesis of symmetrical multiplebranch waveguide couplers. Frequenz 36, 262–266 (1982) 91. Lutzke, D.: Lichtwellenleiter-Technik. Pflaum-Verlag, München (1986) 92. Wolff, J.: Felder und Wellen in gyrotropen Mikrowellenstrukturen. Habilitationsschrift TH Aachen (1970) 93. Westphal, W.H.: Physik. 25./26. Aufl. Springer, Berlin, Göttingen, Heidelberg, p. 616 (1963) 94. Deutsch, J.: Ferrite und ihre Anwendungen bei Mikrowellen. 1. Teil: NTZ 11, 473–481 (1958); 2. Teil: NTZ 11, 503–507 (1958) 95. Helszajn, J.: Principles of Microwaves Ferrite Engineering. Wiley (1969) 96. Kittel, C.: On the theory of ferromagnetic resonance absorption. Phys. Rev. 73, 155–161 (1948) 97. Polder, D.: On the theory of ferromagnetic resonance. Philos. Mag. 40, 99–115 (1949) 98. Penfield, P.: A classification of lossless three-ports. Transact. IRE CT-9, 215–223 (1962) 99. Motz, H., Wrede, H.W.: Ferrite für Resonanz-Richtungsisolatoren und Zirkulatoren. Telefunken-Zeitung 38, 187–195 (1965) 100. Wright, W., McGowan, J.: High-power Y-junction E-plane circulator. IEEE Trans. MTT-16, 557–559 (1968) 101. Solbach, K.: E-plane circulators 30 through 150 GHz for integrated mm-wave circuits. In: Proceedings of the 13th European Microwave Conference, 1983, pp. 163–167 102. Bosma, H.: On the principle of stripline circulation. Proc. IEEE (B) (Suppl. 21), 137–146 (1961) 103. Bex, H., Schwarz, E.: Wirkungsweise konzentrierter Zirkulatoren. Frequenz 24, 288–293 (1970) 104. Meinel, H., Plattner, A.: Radartechnik mit Millimeterwellen. Wiss. Ber. AEG-Telefunken 54, 164–171 (1981) 105. Fox, A.G., Miller, S.E., Weiss, M.T.: Behaviour and applications of ferrites in the microwave region. Bell Syst. Tech. J. 34, 95–97 (1955) 106. Holpp, W.: Hohlleiterzirkulatoren für den Millimeterwellen-Bereich. Wiss. Ber. AEGTelefunken 54, 212–218 (1981) 107. Tanaka, S., Shimomura, N., Ohtake, K.: Active circulators—the realisation of circulators using transistors. Proc. IEEE 53, 260–267 (1965) 108. Rembold, B.: Ein 3-Tor-Zirkulator mit aktiven Bauelementen. NTZ 24, 121–125 (1971) 109. Rehwald, W., Vöge, K.H.: Untersuchungen an einer koaxialen Ferrit-Richtungsleitung. Frequenz 16, 367–375 (1962)

Chapter 6

Antennas Jan Hesselbarth

6.1 Introduction In radio-frequency (RF) circuits, the signal energy is transported along waveguides in the form of a guided electromagnetic wave. Most waveguides rely on currents and charges on metallic structures, and electric and magnetic fields are related to them. On the other hand, the plane wave is a well-known solution of Maxwell’s equations. In a plane wave, electric and magnetic fields interact, and there are no currents nor charges required for the existence of the wave. Even though a plane wave cannot exist physically, as it has infinite extend and carries infinite power, electromagnetic waves propagating in free space can be approximated by plane waves in many cases. An electromagnetic wave propagating in free space shall be named space wave. The device transforming a guided wave into a space wave, and vice versa, is called antenna (or: aerial). This is in line with the definition by the IEEE, namely, an antenna (aerial) being a “means for radiating or receiving radio waves” [1]. From an engineering perspective, a slightly widened approach can be advantageous, using terms and concepts of antenna engineering also for, e.g., circuitry of integrated optics (that is, no currents nor charges) combined with optical radiators (that is, frequencies much higher than typical radio waves). For the sake of simplicity, antennas are treated in the following as linear, timeinvariant and reciprocal components. The transmit antenna presents a load for the transmitter, which can be described by the load’s impedance. The impedance has real and imaginary parts and varies over frequency. In many engineering applications, a good impedance match is required over a decent bandwidth. This leads to various specific techniques in antenna design as discussed in the following. For a receiver circuit, the antenna represents a source with a complex source impedance, which again varies over frequency. On the “free space side of the antenna”, however, J. Hesselbarth (B) University of Stuttgart, Stuttgart, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_6

485

486

J. Hesselbarth

things are more complex. The radiated energy (from a transmitting antenna) can be directed in different directions of space. The so-called radiation pattern describes the intensity of the radiated wave as a function of direction. Since “direction” has two variables (often denoted as θ and ϕ in spherical coordinates), a corresponding graphical representation will be three-dimensional. In addition, the antenna radiates in two orthogonal polarizations, and the radiation pattern is frequency-dependent. As a result, many parameters are derived from the radiation pattern, mostly aiming to compress or reduce the amount of information and to bring key antenna characteristics into a simple graph or few key numbers. Such parameters like directivity, beam-width, side lobe level etc. are discussed in the following. Fortunately, because of the above restriction to linear and reciprocal antennas, the parameters describing an antenna remain the same no matter if it is used in transmit or in receive application. The design of practically relevant antennas is now a more than 100 years old art. New frequency bands, new technologies and new applications drive the development of new antennas, but many “historic” antenna designs are still powerful if adapted, scaled or otherwise optimized. In that sense, the use of electromagnetic field simulation software helps a lot in optimization and shortens development time, but an understanding of the basic principles of the many classes of antennas developed over time is crucial for making the right choice when designing an antenna for a specific task. In the following, a mathematical treatment of the radiation process will first result in a demonstration of key aspects of antennas. Then, typical parameters to describe antenna characteristics are introduced. In the remaining sections, typical classes of antennas are described, discussed with their salient features, advantages and problems.

6.2 The Hertzian Dipole Assuming that an antenna must carry some current (conduction current and/or displacement current), the most simple radiating structure to consider is likely a very short straight current element in free space (note that a single point in space, though seemingly even simpler, cannot carry a current which needs a direction). This structure is called Hertzian dipole.1 The current path is so short that it is assumed constant over the length. Due to the current, there will be charge of one polarity at one end of the dipole, and charge of opposite polarity at the other end. Obviously, charges and currents create electric and magnetic field in the surrounding space, governed by Maxwell’s equations. The calculation of the electromagnetic fields surrounding the Hertzian dipole is a useful exercise. It allows to find typical parameters describing 1

As a professor in Karlsruhe, Germany, Heinrich Hertz (February 22, 1857, to January 1, 1894) demonstrated in 1886 that analogous to light waves, electromagnetic waves can be refracted and reflected and are propagated like light waves. In his experiments, he employed short dipole antennas and small loop antennas to transmit and receive the waves.

6 Antennas

487 z E

θ

H

R

J

y

ϕ x

Fig. 6.1 Hertzian dipole, z-oriented in the origin of both cartesian and spherical coordinate systems, and point of observation at R

these fields and the combination of the radiation of many Hertzian dipoles (both Maxwell’s equations and antenna are linear, so the principle of superposition applies) leads to good approximations of many practically relevant antennas. It is possible to calculate the fields, including the radiated fields, of a current distribution by direct integration of Maxwell’s equations. However, this integration can be a mathematically very complex task. Therefore, so-called vector potentials are introduced as an intermediate step. Finding them involves an integration, and the resulting electric and magnetic fields follow then from rather straightforward differentiation. Figure 6.1 shows the simplified scenario of a short current element of length L, placed in the origin of both cartesian and spherical coordinate systems. The current is of constant of magnitude along L and is oriented in direction of z-axis, J = Jz . In the time-harmonic case (frequency ω), Maxwell’s equations read ∇ × H = jωε E + J ∇ × E = − jωμ H with ∇ · B = 0 (no magnetic charges) and B = μ H , where ∇ denotes the Nabla operator. Because of ∇ · (∇ × V ) ≡ 0 holds for any vector field V , the magnetic vector potential A can be defined such that B = ∇ × A  = 0. If the curl of a vector field V is zero, Substitution gives ∇ × ( E + jω A)  ∇ × V = 0, then this vector field can be expressed as the gradient of a potential field,

488

J. Hesselbarth

Φ, as V = ∇Φ, because of the identity ∇ × (∇Φ) ≡ 0. Thus, the electric scalar potential Φe is introduced as E + jω A = −∇Φe Here, the minus sign can be chosen according to the definition of Φe . Backsubstitution in to Maxwell’s equations results in   − → ∇ × ∇ × A = jωεμ − jω A − ∇Φe + μ J  − ∇ × ∇ × A,  allows to The Laplace operator, , defined as  A = ∇(∇ · A) re-write this in the form   − →  A + ω2 εμ A = −μ J + ∇ ∇ · A + jωεμΦe This expression simplifies by relating the newly introduced parameters, the magnetic vector potential A and the electric scalar potential Φe , according to ∇ · A = − jωεμΦ e (this condition is called Lorentz gauge), leading to the inhomogeneous wave equation for the vector potential  A + ω2 εμ A = −μ J A particular solution for this inhomogeneous wave equation in A is μ A = 4π

˚

e J

− jk R

R

dV

V

√ where k denotes the wave number, k = ω με, and R is the distance between the current element and the point of observation as shown in Fig. 6.1. Once A is known, the electric and magnetic fields are obtained straightforwardly by differentiation from 1 H = ∇ × A μ E = − jω A −

j  ∇(∇ · A) ωμε

or, for source-free regions, directly from Maxwell’s equations

6 Antennas

489

1 ∇ × H E = jωε The current distribution with the single current element as shown in Fig. 6.1 can be described using the Dirac delta function, δ, as J(x, y, z) =



0 · ex + 0 · ey + I0 δ(x)δ(y) · ez for − L/2 ≤ z ≤ L/2 0 elsewhere

 is given by In this case, the solution of the magnetic vector potential, A, μ  A(x, y, z) = 4π

+L/2  +∞ +∞

ez I0 δ(x)δ(y) −L/2 −∞ −∞

I0 μL − jkr e− jkr d xd ydz = ez e r 4πr

which can be transformed into spherical coordinates as  θ, ϕ) = I0 μL e− jkr (er cos θ − eθ sin θ ) A(r, 4πr The magnetic and electric fields are now obtained by applying the curl operation  as described in the above equations, resulting in (in spherical coordinates!) on A, Hr ≡ 0 Hθ ≡ 0

  1 k I0 L sin θ 1+ e− jkr 4πr jkr   1 I0 L cos θ 1 + e− jkr Er = Z 0 2πr 2 jkr   1 1 k I0 L sin θ e− jkr 1+ − Eθ = j Z 0 4πr jkr (kr )2 Eϕ ≡ 0

Hϕ = j

where  Z0 =

μ0 ε0

denotes the field impedance of free space, a value of approximately 377 . Thus, the particular scenario depicted in Fig. 6.1 (a spherical coordinate system with the source in the origin and with the source oriented in the direction θ = 0) leads to a solution with only three non-zero vectorial field components. Further inspection of

490

J. Hesselbarth

these three components suggests that far away from the source current (where r is large), the terms in the round brackets approach “one” in all three cases. When r is large, and with the exception of the dipole axis, E r 1 K by the Rayleigh-Jeans approximation. 2kTH H≈ (8.152) λ2 The radiation of a black body is unpolarized and of a random nature. This application involves an inhomogeneously tempered black body. If, as shown in Fig. 8.18a, the antenna is assumed to be disposed inside the black body, this means that TH is a function of θ and φ. According to Fig. 8.18b, it is assumed that a surface element is considered on the surface of the black body, the projection of which onto a surface perpendicular to the r -direction is designated as d A H . The power H d A H is isotropically emitted from this surface element for each bandwidth unit. At the distance r , this therefore gives a spectral noise power density per surface unit of: d SA = H

d AH = H d H 4πr 2

(8.153)

With d A H /(4πr 2 ) = d H , the solid-angle element d H is introduced. This is the solid angle at which the vertically oriented surface element d A H is to be viewed from the observation location. The antenna at the origin of the coordinate system in Fig. 8.18a is impedance matched, i.e. Z = Z ∗A , if Z A means the impedance in the antenna feed point. The available power provided to the load, d Nv is then absorbed z

a

b TH (f, q)

dAH q

antenna

dAH r

dWH

y matched load

f

point of observation

x

Fig. 8.18 a Antenna inside a black body. TH is a function of θ, φ; b surface element d A H and solid-angle element d H

8 Interference and Noise

789

for the frequency interval  f and the heat radiation contribution radiated from the direction θ , φ. 1 k · Aw (θ, φ) · d S A (θ, φ) · δ f = 2 TH (θ, φ) · Aw (θ, φ) · δ f · d H 2 λ (8.154) where Aw (θ, φ) means the active area of the antenna in the θ, φ direction. The reason for the factor 1/2 is that Eq. (8.152) applies to the total radiation in all polarization directions, but the antenna processes only a preferred polarization (co-polarization component). In order to determine the total noise power Nv , it is required to integrate d Nv over the entire antenna environment. ⎧ ⎫ ⎨1 % ⎬ A (θ, φ) · T (θ, φ) · d Nv = k f (8.155) w H H ⎩ λ2 ⎭ d Nv =



If Nv is expressed in terms of an equivalent antenna temperature T A according to Nv = kT A  f , the following is found: 1 TA = 2 λ

% Aw (θ, φ) · TH (θ, φ) · d H

(8.156)



In order to express T A in terms of the antenna gain G(θ, φ), Aw (θ, φ) can be substituted via the relationship Aw (θ, φ) = (λ2 /4π )G(θ, φ): TA =

1 4π

% G(θ, φ) · TH (θ, φ) · d H

(8.157)



The antenna noise temperature is therefore to be understood as the mean value of the noise temperature of the antenna environment weighted with the antenna active area or the antenna gain. It naturally depends on the spatial orientation of the antenna. Two special cases are considered. In the first case, a constant distribution of TH , changing only slightly with θ, φ and an antenna with very high gain in the primary transmitting direction are assumed. If the antenna is aligned in direction θ0 , φ0 referred to a space-fixed coordinate system according to Fig. 8.18a, the antenna noise temperature T A (θ0 , φ0 ) is measured. The following then applies: T A (θ0 , φ0 ) =

1 4π

% G(θ, φ) · TH (θ, φ) · d H 4π

1 · TH (θ0 , φ0 ) = 4π

(8.158)

% G(θ, φ) · d H = TH (θ0 , φ0 ) 4π



 4π



(8.159)

790

M. Rudolph

a 106 solar noise

noisy quiet TH /K

105

104

1

10

100

f / GHz

b

c 1000

1000 cosmic noise (milky way)

atmosphere

Φ horizon 100 0o

TH /K

TH /K

100 max.

10

10 min.

10o 30o 5o 50o

1

10 f / GHz

cosmic 1

100

10 f / GHz

absorption by water, oxygen 100

Fig. 8.19 Noise temperatures: a solar noise; b cosmic background noise; c atmospheric noise; the bold solid line applies to the sum of the thermal noise and atmospheric noise at  = 50◦

In this way, the direction-dependent background radiation of the antenna environment can be measured. In Fig. 8.19b, c, the cosmic and atmospheric noise temperatures TH are represented as a function of frequency. For the second case, a virtually discrete noise source of the temperature TH is assumed in a narrow solid-angle area  H . The other background radiation can be ignored. The equivalent solid angle ω A = 4π/G of the antenna is greater than  H . If the antenna is aligned with the noise source, it follows: T A = TH

H A

(8.160)

This relation allows for a calculation of TH , if  H of the source and  A of the antenna are known and T A is measured. A practical example of a discrete, cosmic noise source is the sun, wherein approx. 6.5 × 10−5 sr (steradiant) can be assumed for  H . The frequency dependence of the solar noise temperature is shown in Fig. 8.19a

8 Interference and Noise

791

for quiet and noisy sun. The antenna noise temperature plays an essential role in the dimensioning of a radio path only if it is of the same order of magnitude as or exceeds the effective noise temperature of the receiver. If T A is known, it can be decided, on the other hand, whether it is also worth using a specific low-noise amplifier.

References 1. Papoulis, A., Pillai, S.U.: Probability, Random Variables and Stochastic Processes, 4th ed. McGraw Hill, New York (2002) 2. Schottky, W.: Über spontane Stromschwankungen in verschiedenen Elektrizitätsleitern. Ann. Phys. 57, 541–567 (1918) 3. van der Ziel, A.: Thermal noise at high frequencies. J. Appl. Phys. 21, 399–401 (1950) 4. Johnson, I.B.: The Schottky effect in low-frequency circuits. Phys. Rev. 26, 71–85 (1925) 5. Hooge, F.N.: 1/ f noise sources. IEEE Trans. Electron Devices 41(11), 1926–1935 (1994) 6. Haus, H., Adler, R.: Canonical form of linear noisy networks. IRE Trans. Circuit Theor. 5(3), 161–167 (1958) 7. Rothe, H., Dahlke, W.: Theory of noisy fourpoles. Proc. IRE 44(6), 811–818 (1956) 8. Hillbrand, H., Russer, P.: An efficient method for computer aided noise analysis of linear amplifier networks. IEEE Trans. Circuits Syst. 23(4), 235–238 (1976) 9. Hillbrand, H., Russer, P.: Correction to “An efficient method for computer aided noise analysis of linear amplifier networks”. IEEE Trans. Circuits Syst. 23(11), 691 (1976) 10. Pucel, R.A., Struble, W., Hallgren, R., Rohde, U.L.: A general noise de-embedding procedure for packaged twoport linear active devices. IEEE Trans. Microwave Theor. Tech. 40(11), 2013– 2024 (1992) 11. Pucel, R.A., Haus, H.A., Statz, H.: Signal and noise properties of gallium arsenide microwave field-effect transistors. Adv. Electron. Electron Phys. 38, 195–265 (1975) 12. Pospieszalski, M.W.: Modeling of noise parameters of MESFETs and MODFETs and their frequency and temperature dependence. IEEE Trans. Microwave Theor. Tech. 37(9), 1340– 1350 (1989) 13. Heymann, P., Rudolph, M., Prinzler, H., Doerner, R., Klapproth, L., Bock, G.: Experimental evaluation of microwave field-effect-transistor noise models. IEEE Trans. Microwave Theor. Tech. 47(2), 156–163 (1999) 14. Rudolph, M., Doerner, R., Klapproth, L., Heymann, P.: An HBT noise model valid up to transit frequency. IEEE Electron Device Lett. 20(1), 24–26 (1999) 15. Fukui, H.: Optimal noise figure of microwave GaAs MESFETs. IEEE Trans. Electron Devices 26(7), 1032–1037 (1979) 16. Van Der Ziel, A.: Noise in junction transistors. Proc. IRE 46(6), 1019–1038 (1958) 17. Rudolph, M., Heymann, P.: Comparative study of shot-noise models for HBTs. In: Microwave Integrated Circuit Conference (EuMIC), pp. 191–194 (2007) 18. Rudolph, M., Korndorfer, F., Heymann, P., Heinrich, W.: Compact large-signal shot-noise model for HBTs. IEEE Trans. Microwave Theor. Tech. 56(1), 7–14 (2008) 19. Pucel, R.A., Rohde, U.L.: An exact expression for the noise resistance Rn for the Hawkins bipolar noise model. IEEE Microwave Guided Wave Lett. 3(2), 35–37 (1993)

Chapter 9

Amplifiers Rüdiger Quay

Abstract This chapter describes one of the main application of RF-and microwave concepts, i.e., the concept of amplification and related procedures.

Acronyms AlGaN BiCMOS BT CMCD CMOS CS DE DG DSP FET GaAs GaN HBT HPA IC InP LINC LNA LP MAG MDS MMIC

Aluminum gallium nitride Bipolar complementary metal oxide Bipolar transistor Current-mode class-D (amplifier) Complementary metal oxide semiconductors Common-source Drain efficiency Dual-gate Digital-signal processing Field-effect transistor Gallium arsenide Gallium nitride Hetero-bipolar transistor High-power amplifier Integrated circuit Indium phosphide LInear amplification with Nonlinear Components Low-noise amplifier Loadpull Maximum available gain Minimum detectable signal Microwave monolithically integrated circuit

R. Quay (B) Fraunhofer-Institute for Applied Solid State Physics IAF, Freiburg im Breisgau, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_9

793

794

MOSFET MSG PA DPD PAE PCB PUF SiC SiGe TRX VCO VGA

R. Quay

Metal-oxide semiconductor field-effect transistor Maximum stable gain Power amplifier Digital predistorsion Power-added efficiency Printed circuit board Power utilization factor Silicon carbide Silicon germanium Transmit-receive Voltage controlled oscillator Variable gain amplifier

9.1 Amplifier Characteristics in Complex Functions Amplifiers are active two-port or multi-port networks which amplify input signals using external energy sources. Electronic amplifiers are divided up into small-signal amplifiers (direct-current amplifiers, low-power, low-frequency amplifiers, broadband amplifiers, narrowband high-frequency amplifiers) and large-signal amplifiers (high-power, low-frequency amplifiers, transmitter amplifiers). Important characteristics of amplifiers are the input and output level (saturation level), input and output impedance and transmission factors as a function of frequency. Further aspects to be considered in the design of amplifiers are signal-to-noise ratio and distortions, efficiency and power consumption, stability to withstand temperature fluctuations, aging of components and changes in the terminal impedance. Figure 9.1 gives the schematic of a very simple transmit and receive (TRX) function or module, where the importance of amplifiers becomes visible. RF- and microwave amplifiers are needed due to the radiation laws with a reduction of the received signal by 1/r4 in distance in r for active sensing, due to power dissipation, and due to the undesired coupling of waves. In the transmit path high-gain driver amplifiers (DRA) and high-power amplifiers (PA) are needed. In the receive path low-noise amplifiers (LNA) add the most decisive contributions to the signal distortion and thus require particular attention. The pre-amplifier (Pre-Amp) and post amplifiers (post amplifier) are typically amplifier with variable gain (VGA). To distinguish a tuned amplifier, that amplifies the highfrequency signals at a specific frequency f for a bandwidth Δf, can be characterized by several figures of merit. These include: • Amplification or gain, • Bandwidth, • Stability,

9 Amplifiers

795

Driver Amplifier

Pre-Amp

DSP

Attenuator

Phase shifter

High Power PA Amplifier

antenna

Post Amplifier

Low Noise Amplifier

Fig. 9.1 Schematic of a TRX function or module, DSP (digital signal processing) Fig. 9.2 Principal schematic of an active device with input and output currents and voltages

Uin Iin

Uout Iout

• Noise (both amplitude as well as phase noise), • Efficiency in its various forms, and • Linearity, again expressed in its various forms. These aspects, all relevant to the functionality of the module depicted in Fig. 9.1, are discussed in the following. Additional aspects may be of strong importance, such as ruggedness and susceptibility to damages from thermal or electrical exposure, however, will not be covered in the course of this chapter (Fig. 9.2).

9.1.1 Amplification and Gain Amplification is defined either as voltage and current amplification: Uout ( f ) Uin ( f ) Iout ( f ) Ai = Iin ( f )

Av =

(9.1) (9.2)

Both are frequency dependent. The combination of both is called power amplification, and will be discussed in the following.

796

R. Quay

9.1.2 RF-Device Configurations Any active device can be used in different principal configurations. These include: 1. common source/common emitter; 2. common gate/common base; 3. common drain/common collector. The situations are explained in Fig. 9.3. For the use in RF-amplifiers the three principal configurations are found useful in different applications, with respect to both voltage and current amplification, their impedance transformation, and isolation. For principal analysis we start with the configurations with their properties for frequency f → 0. The common-source configuration makes use of both the high current-gain and of the voltage gain.

FET

D

D

D Vout out Vout out

Vout out

Vinin

Vinin G

G

G

S

S

S

Vinin

Bipolar C C

C Vout out

Vout out

B

Vinin

B

Vinin

E

G E

Vout out

Vinin

Fig. 9.3 Definition of the RF-device configurations given for an N-channel Schottky field-effect transistor (FET) and an p-based bipolar transistor (BT)

9 Amplifiers

797

AV =

Uout gm · R D ≈ Vin 1 + gm · R S Iout AI = ≈∞ Iin

(9.3) (9.4)

The voltage gain is thus determined by the loading resistances R S and R D for gm  1. Common gate devices have a unity short circuit current gain and a voltage gain as given by the approximation in Eq. 9.5. They are useful to reduce feedback (see below). Uout gm · R L ≈ Vin 1 + R S · gm Iout AI = ≈1 Iin

AV =

(9.5) (9.6)

The common-drain/common-collector is typically called a source/emitter follower. In this configuration, again with the approximation for low frequencies, a unity voltage gain and a very high current gain are achieved. Uout gm · R S ≈1 = Vin gm · R S + 1 Iout AI = =∞ Iin

AV =

(9.7) (9.8)

In addition, the impedance transformation of the device configuration has to be considered. In order to describe this behavior we switch to the powerful tool of RF-parameters.

9.1.3 RF-Parameter Description of Small-Signal Amplifiers 9.1.3.1

Basic Parameters and Signal-Flow

Any basic two-port (or later multiport) configuration can be described by the four fundamental parameter systems z, y, h, and p. Figure 9.4 gives the small-signal equivalent circuit diagrams of active two ports in the four descriptions: (a) z-parameter, (b) y-parameter, (c) h-parameter, and (d) p-parameter. The two-port equations can be written: U1 = z 11 · I1 + z 12 · I2 U2 = z 21 · I1 + z 22 · I2 I1 = y11 · U1 + y12 · U2 I2 = y21 · U1 + y22 · U2

(9.9) (9.10) (9.11) (9.12)

798

R. Quay

a

I1

(z)

U1

z11

z12 I2

z22

~

b

I2

U z21 I1 2

~

I1

(y)

I2

U1

~

U2

~

y11

y22 y21U1

y12 U2

c

d I1

(h)

U1

h12 U2

~

U2

~

p22

I1

I2

h11

(p)

U1

~

~

p11

h22

I2

U2 p21 U1

p12 I2

h21 I1

Fig. 9.4 Principal schematic of an active device in a z, b y, c h, and d p-parameter configuration Fig. 9.5 S-parameters and ai /b j wave description of the amplifier

ZS a1

Transistor

a2 ZL

b1 (S)

b2

U1 = h 11 · I1 + h 12 · U2 I2 = h 21 · I1 + h 22 · U2

(9.13) (9.14)

I1 = p11 · U1 + p12 · I2 U2 = p21 · U1 + p22 · I2

(9.15) (9.16)

In addition, Fig. 9.5 gives the description with both the S-parameters and the ai and b j waves with the relations for a multiport with n-ports: bk =

n 

Sk j a j with k = 1, 2, .., n

(9.17)

j=1

with Sk j =

bj |a =0 for m= k ak m

(9.18)

The waves bk are results of the incoming wave quantities a j and thus represent the dependent variable. The coefficients of the linear equation systems are the Sparameters Si j .

9 Amplifiers

799

Example The actual parameters of a two-port with n= 2 are described as: b1 |a =0 a1 2 b2 = |a1 =0 a2 b1 = |a1 =0 a2 b2 = |a2 =0 a1

S11 =

(9.19)

S22

(9.20)

S12 S21

(9.21) (9.22)

where S11 is the input reflection coefficient, S22 is the output reflection coefficient, S12 is the reverse isolation, and S21 is the forward transmission or gain. These have to mentioned with respect to a reference impedance, typically 50 , 75 , or even 10  for lower-impedance systems.

9.1.3.2

Bipolar Amplifier Example

As an example the description of an active bipolar transistor with S-parameters is given in the following. The top figure gives the equivalent circuit with input and output capacitances, feedback capacitance, and parasitic resistances. The lower figure of Fig. 9.6 gives the measured S-parameters of an InP bipolar transistor for the frequency range between 0.25 and 110 GHz. As seen in the equivalent circuit in the top, the input circuit (emitter-base) consist of the emitter-junction capacitance represented by two parallel capacitances, seen in the S11 for f→ 0, which also has a parallel real contribution. At the output, we observe a finite DC-input resistance represented by S22 for f→ 0, again in with a real contribution in parallel. The feedback, expressed by the S12 in the polar-chart, is significant, indicated by the radius of the right top polar chart, serving for the S12 Further, we observe a high absolute gain S21 for a given area or transistor width. This is indicated by the radius of the top polar chart in the graph, where the radius is given as another number. The current amplification of bipolar transistors is rather high, especially per area used, in comparison to FET, which is why the radii are typically higher for BTs.

9.1.3.3

Field Effect Transistor Example

As an example for field-effect small-signal characteristics Fig. 9.7 gives the measured S-parameters of a GaN field-effect transistor between 0.25 and 110 GHz and a simplified small-signal equivalent circuit for the modelling. Contrary to the bipolar example, we observe the purely capacitive input behavior of the FET for S11 for f→ 0, when is expressed by the input capacitance in the equivalent circuit in Fig. 9.7.

800

R. Quay

Base

Collector Cj,BC

RB

RC gm

CD,BE

gEC Cout

Cj,BE gEB

RE

Emitter

radius = 30

radius = 0.2 S12

S21

radius = 1 S11 S22 radius = 1

Fig. 9.6 Equivalent circuit and measured S-parameters of an InP-bipolar transistor with an emitter width of 1 µm and a length of 4 µm and simplified small-signal equivalent circuit (hybrid pi-model)

Further we observe a finite output resistance for f→ 0, while also the output-side S22 is capacitive represented by the output capacitance Cds . The reverse isolation or feedback, expressed by the S12 , is also important, given by the scale of the top right polar chart. The forward transmission S21 is lower in absolute numbers than in the bipolar example, again indicated by the radius of the top left polar chart. Further, as the reader may note, the measurement data for S11 and S22 turns from the capacitive region of the Smith-Polar diagram into the inductive region for higher frequencies. This is not reflected in the small-signal equivalent circuit model given. It can be reflected by adding series parasitic inductive elements at source, gate, and drain.

9 Amplifiers

801

Drain RD

Cgd

Cds RG

gm

gds

Gate

Cgs Rs

Source

radius = 0.2 S21 radius = 10

S12 S22 radius = 1

S11 radius = 1

Fig. 9.7 Measured S-parameters of a GaN FET with a gate length of lg = 100 nm and a gate width of 6×45 µm and a simplified equivalent-circuit model for FETs

9.1.3.4

Idealized Basic Circuits

The principal variants for (unilateral) amplifiers derived from the parameters are depicted in Fig. 9.8. In this case, no feedback from the output to the input is considered. In this simplification we can give idealized equivalent-circuit description of amplifiers. Figure 9.8a gives the ideal transimpedance amplifier. Figure 9.8b gives the ideal transconductance amplifier with y21 = γT = gm . The current amplification factor in Fig. 9.8c, ki = A I represents the factor α or β of a transistor. The ideal

802 Fig. 9.8 Idealized principal circuits, a transimpedance amplifier, b transconductance amplifier, c ideal current source, d ideal voltage amplifier

R. Quay I1

a 0

0

zT

0

(z)=

~

zT I 1

I2

b 0

0

(y)=

U1

~

0

1

1 U1

c

I1

0

I2

0

~

(h)= 0

Ki

K i I1

d 0

0 U1

(p)= KU

KU U1

~

U2

0

voltage amplifier AU in Fig. 9.8d without infinite input impedance, lossless output and a real voltage amplification also has a infinite power amplification. To get closer to real amplifiers including the feedback effect we will discuss this effect in the next section.

9.2 RF-Feedback 9.2.1 Basic Principles RF-feedback is used with the feedback of a small portion of the output signal to the input. The delay in the feedback path must be chosen carefully to control stability. Once you supply the output current or voltage back to the input of an active

9 Amplifiers

803

a

b I1a

I1

I2a (Za)

U1a

U2

(Zb)

U2a

U2 I1b U1b

U2b

c

I2

U1

I2b

I1b

I2a (ya)

U1a

U2a

U1

U1b

I1a

I1

I2b (yb)

U2b

d I1a U1a

I2a (ha)

U2a

U2

U1b

I2 U2a

U2

U1 I1b

I2b (hb)

I2a (pa)

U1a

U1 I1b

I1a

I1

I2

U2b

U1b

I2b (pb)

U2b

Fig. 9.9 The four types of feedback: a serial current feedback, b parallel voltage feedback, c serial voltage feedback, d parallel current feedback Fig. 9.10 Control circuit with feedback loop

Xin(p)

Xw(p)

H(p)

Xout(p)

Xfeed(p) G(p)

two-port this is called feedback. A two-port can be described through the four sets of parameters given above. The resulting four types of feedback are given in Fig. 9.9. Figure 9.10 gives the general case of feedback in a control loop. Let us assume: X out ( p) X w ( p) X f eed ( p) G( p) = X out ( p) p = σ + iω

H ( p) =

(9.23) (9.24) (9.25)

804

R. Quay

The feedback circuit then can be described as: F( p) =

X out ( p) H ( p) = X in ( p) 1 + G( p) · H ( p)

(9.26)

This general case will now be discussed with some examples.

9.2.1.1

Negative Feedback

If an output parameter of an active two-port network (e.g. amplifier) is fed back to its input, a negative feedback is obtained. In an active electrical two-port network, one or both input parameters (input voltage, input current) can be modified by one or both output parameters (output voltage, output current). With restriction to the case where only one input parameter is influenced in each case by one output parameter, the four negative feedback types shown in Fig. 9.9 are obtained according to the four possible combinations. A two-port network can be described by various forms of two-port network equations, which interconnect input and output parameters. For the four coupling circuits, the form of the two-port network equations can be selected in each case in such a way that the equations of the resulting two-port network are derived from the addition of the two-port network equations of the active two-port network and the feedback two-port network (see Fig. 9.9). For the real, frequency-independent transmission factors H(p) = A0 and G(p) = K, the following is obtained: Ak =

A0 1 + K A0

(9.27)

Negative feedback occurs if |1 + K A0 | > 1. Positive feedback is designated accordingly by the condition |1 + KA0 | < 1. Negative feedback is used for the purposes discussed in the following paragraph. This gives, e.g. as the relationship between the relative changes in the transmission factors: ΔA0 1 ΔAk = Ak 1 + K A0 A0

(9.28)

Accordingly, the following relationship applies approximately to the distortion factors: 1 k0 (9.29) k≈ 1 + K A0 To investigate the stability of feedback networks, the poles of F(p), i.e. the zero values of the characteristic equation 1 + H ( p)G( p) = 0

(9.30)

9 Amplifiers

805

must be determined from the poles and zero values of the transmission factors H(p) and G(p). There are various methods for performing the stability calculation, e.g. according to Hurwitz, Cremer-Leonhard, Nyquist or the root locus method, which are described in detail in the literature [1–3]

9.2.1.2

Discussion of Special Cases

As a special case, going to real quantities without frequency dependence, we get: H ( p) = A0 G( p) = K Ak =

A0 1 + K · A0

(9.31) (9.32) (9.33)

Thus for |1 + K · A0 < 1| we get positive feedback and for |1 + K A0 > 1| we get negative feedback. These relations are very well known from a DC-perspective at low frequencies. The frequency dependence will render the situation more complex, thus we will start with some examples.

9.2.2 Basic Applications The applications and advantages of feedback are multiple: 1. Feedback can be used to stabilize a transistor against aging, temperature, and changes of the operation voltage. 2. Feedback enables to flatten the gain characteristics of an amplifier, i.e., to counter the frequency characteristics of the MAG/MSG for wideband amplifiers with frequency-selective feedback. 3. Further, the output signal can be subtracted from the input signal for the frequency band of interest in order to raise the stability (later will we call this k-factor above unity) and ensure unconditional stability. 4. Series feedback is often used by adding in inductor in the source path of an active device. This has a stabilizing effect, but at the same time moves the optimum noise match (see below) closer to the conjugate match, as explained below [5]. 5. Parallel feedback is used from the output of the device (collector/drain) to the input (base/gate). This path has both DC- and RF-requirements. 6. Feedback is suitable to reduce distortion in amplifiers, as explained below.

806

9.2.2.1

R. Quay

Example: Stabilization Against Temperature Variation

The microwave gain parameters, maximum stable gain (MSG), and maximum available gain (MAG) of individual RF-devices are strongly temperature-dependent: M AG/M SG = M AG/M SG(T ).

(9.34)

The gain can both decrease with increasing temperature (parts of the FET world) and increase with increasing temperature (e.g. bipolar world). One of the most common examples of feedback is to compensate this (increasing) temperature dependency using temperature-dependent feedback of the signal. Figure 9.11 gives a common example for an emitter- and base-based feedback to be used for electrical feedback and for temperature control. A voltage divider network consisting of R B1 and R B2 provides a voltage divider for the internal base resistance. In some cases,R B2 can also be replaced by a diode, which automatically compensates the temperature effect [4]. The resistor R E in the emitter path is used in series with the device emitter lead to provide voltage feedback. It must be carefully bypassed for RF-signals, and this impact shall be considered also for stability (see below). Further, carefully chosen R B1 , R B2 , and R E with their resistance increase with temperature helps to construct stable bipolar feedback.

Fig. 9.11 Emitter and base feedback as a means of temperature control

VCC

RB1

RB2 (T)

RE

9 Amplifiers

807

Table 9.1 Proposed feedback network for a silicon bipolar VC E = 2 V R B1 = 889 

VCC = 2.7 V R B2 = 2169

IC = 5 mA R E = 2169

H F E = 80

Numerical Example for Temperature Compensation To establish an emitter-based feedback loop, as shown in Fig. 9.11, the following values are proposed for the Silicon BT, (Keysight HBFP-0405) (Table 9.1).

9.2.2.2

Example: Gain Flattening

A frequency-dependent feedback can be used to flatten the gain over the targeted bandwidth. As seen in this chapter, the main gain parameters are strongly frequencydependent. Specifically for broadband amplifiers this poses the problem, as the gain is thus not inherently flat over the targeted bandwidth, especially for wideband circuits. Frequency-dependent feedback enables a flat gain characteristics. The following simplified example is given to illustrate the mechanism. Let us assume an amplifier with a high bandwidth up to the upper band edge fup . Figure 9.12 gives the example. Numerical Example As shown in Fig. 9.12 frequency-dependent feedback is used to flatten the gain. This is achieved by an increasing (to lower frequencies) negative feedback, which is minimum for the upper band-edge (to make the most of the technology), and increases for lower frequencies. The following simplified example for a broadband amplifier shall illustrate the findings: We use a real approach: Ak =

A0 1 + K · A0

(9.35)

If A0 is 100 (20 dB) at fup , we will use K(fup )= 0. Further we demand the gain to be flat (20 dB) down to fup /10, i.e. over a decade in frequency (This is not an easy task to design, however, is good in the understanding). For lower frequencies than fup , we

Xin(p)

Xw(p)

Xout(p) H(p)

gain fup

Xfeed(p) G(p) frequency

Fig. 9.12 Feedback as a means of gain flattening

808

R. Quay

will thusconstruct a K-function as a function of frequency, which yields Ak (fup /10)= 100. Thus, we find for a transistor, which is to be fully in the MSG-region of its gain characteristics: (with a gain slope of −10 dB/dec). We get: Ak = 100 (fup ), which yields K(fup /10)= 9/100. This example is of course simplified, as we have to take the phase into account, as well over the broad bandwidth, and especially whave to accound for the losses, and the stability considerations.

9.2.2.3

Example: RF-Stabilization

Amplifier stability is a critical criterion. Design of feedback is an essential tool to achieve the stability over bandwidth. RF-Feedback for stabilization can used be in order to compensate the built-in internal feedback of a circuit, which is one source for instability. This built-in feedback is always there, as any real active RF device is nonunilateral, i.e., the increased output signal due to the forward amplification will result in a non-neglegible impact on the input signal. This is due to the intrinsic feedback capacitance (Cgd for FETs, and C j,bc in bipolar transistors). The compensation of this feedback can be achieved over frequency. This, however, is dangerous, as at lower frequencies, the amplifier is only conditionally stable, i.e. is susceptible to the matching conditions achieved at the input and output of the transistor over frequency. For further explanation, see the sections on neutralization and the stability factor below. 9.2.2.4

Example: Series Feedback Inductive Noise Matching

Series feedback can help to overcome another problem of matching: For noise matching the requirement to be met is, on the one side to achieve optimum noise matching for minimum noise, while on the other side, to achieve maximum gain, i.e. conjugate complex matching at the input of the transistor. These two conditions are typically not met simultaneously, as the required matching conditions differ for plain transistors. The following inductive-series feedback method can be used to help in this situation. Figure 9.13 gives the image of the small-signal modeling of a source feedback. The series inductor induces a purely reactive feedback, which simplifies the matching, and in resonance, actually, does not add additional noise from the matching networks. This is done, as the Q-factor of any passive technology is not ideal. Numerical Example The so called noise degeneration introduces an additional reactive component in the source path. The trick of source degeneration is based on the following analysis of the input impedance of a device Zin . We do this for a FET, however, without limiting the generality: The input impedance reads: Z in = Z LG + Z (C gs ) + Z L S + gm · Z (C gs ) · Z L S

(9.36)

9 Amplifiers

809

Drain

Cgd

LG

RG

gm

gds

Gate

Cgs RS

Source

LS

Fig. 9.13 Inductive series feedback as a means to unify both noise as well as conjugate complex matching requirements

The last term in Eq. 9.36 is due to feedback. The current generator gm is assumed to resonate at the frequency fT . The trick of the source dgeneration is to use both inductor LG and L S and to choose the input impedance we see from the outside world, which is to be matched while maintaining the noise performance. The real part of the input impedance Zin amounts to: gm · f T ≈ fT · L S (9.37) Re(Z in ) = C gs With the application of series feedback in the transistor path, we can move the optimum noise matching towards the matching obtained for the conjugate complex situation. Ideally no noise will be added, while the matching of the low-noise situation is greatly improved. Example: Distortion Reduction As a last example, feedback is a very useful tool for the reduction of non-linearity of amplifiers. In RF-feedback, a portion of the RF-output signal from the amplifier, is fed back and thus subtracted from the RF-input signal. The gain is again reduced to:

810

R. Quay

Ak =

A0 1 + K · A0

(9.38)

This is a reduction of the gain, however, in the same way, the distortion is reduced to by the very same factor.

9.2.2.5

Neutralization of Transistor Amplifiers

The internal (mostly capacitive) feedback (according to y12 of the conductance matrix) of an amplifier quadripole may result in instability. This effect can be prevented by counteracting the unwanted feedback through neutralization. Narrowband small-signal transistor amplifiers can be neutralized into the short-wave range [6]. A frequently used circuit is shown in Fig. 9.14. A current, which, in terms of amount, is as high as the current through Ccb , but is phase-shifted through 180◦ , is fed via the capacitor C N to the base. The same result is obtained if the internal collector base capacitance is matched with the operating frequency by means of a parallel-connected inductance. In broadband transistor small-signal amplifiers, complex neutralization measures are often avoided by allowing for a greater number of transistor stages with lower amplification; in transistor power amplifiers, an exact neutralization is not possible due to the modulation-dependent feedback capacitance.

9.2.2.6

RC-Coupled Amplifiers

In AC- and RF-voltage amplifiers, the DC-current path is normally separated from the AC-current path using coupling networks. In the case of RC-coupled amplifiers, these coupling networks consist of resistors and coupling capacitors. The emitter

UB

CN

C cb

Fig. 9.14 Narrowband transistor amplifier stage with the neutralization capacitor C N

9 Amplifiers

811

U R1 Ri

RC1

C1

UO ~

R2

C2

CE1

RE1

R3

RC2

C3

R4

Ua

CE2

RE2

Fig. 9.15 Two-stage RC amplifier with DC voltage negative feedback Ri

C1

C2

C3

lB1 UO

~

R12

IB2 ~

rBE1 b1 IB1

rCE1

RC1

R34

rBE2

~

rCE2

RC2

RL Ua

b2 IB2

Fig. 9.16 Small-signal equivalent circuit of the RC amplifier for low frequencies

circuit is used in most cases. A typical two-stage amplifier is shown in Fig. 9.15. To minimize the DC voltage drift, the DC voltages of the two transistors are negatively coupled via R E1 and R E2 . Conversely, for AC voltages, the full voltage transmission factor is effective if C E1 and C E2 are selected as so high that their impedances can be regarded as short circuits at the operating frequency. Along with the advantage of drift decoupling, the circuit shown in Fig. 9.15 offers the facility to set the operating point of each amplifier stage separately at the optimum setting in terms of noise, input resistance, output resistance, and transmission factor. Figure 9.16 shows the small-signal equivalent circuit for low frequencies. Where R12 = R1 R2 /(R1 + R2 ) and R34 = R3 R4 /(R3 + R4 ), the transmission factors become Aγ =

Ai =

Az =

jωC1 IB1 1   = γBE1 R12 γBE1 U0 1+ R 1 + jωC + 1 i R12 R12 + γBE1

jωC2 IB2 β1 RC1   ≈− γBE2 R34 γBE2 IB1 1+ R34 1 + jωC2 RC1 + R34 + γBE2 jωC3 Ua with rCE  RC . ≈ −β2 R L RC2 IB2 1 + jωC3 (RC2 + R L )

(9.1/21)

(9.1/21)

812

R. Quay CBC1

Ri

UO

~

CB1

Ra

CBC2

~

RC1

CC1

Rb

~

RC2

CC2

RL

Ua

Fig. 9.17 Small-signal equivalent circuit of the RC amplifier for high frequencies

The lower edge-frequencies: 1 C · (R C1 · (Ri + C2 · (Ri + 3 C2 + R L ) (9.39) can thus be read off, the highest of which determines the lower limit frequency of the entire circuit. In circuits with RF-transistors, the influence of switching capacitances in relation to the transistor capacitances cannot be ignored. If both influences are combined, a simplified high-frequency equivalent circuit according to Fig. 9.17 is obtained. The parallel connection of the divider resistances R1 , R2 and the baseemitter resistance r B E1 is combined in Ra , and the parallel connection of R34 and r B E2 is combined accordingly in Rb . ω1 =

9.2.2.7

1

R12 r B E1 ) R12 +r B E1

, ω2 =

1

R34 r B E2 ) R34 +r B E2

, ω3 =

Transformer-Coupled Amplifiers

The coupling of amplifier stages by means of a transformer enables a relatively broadband impedance transformation with simultaneous separation of DC voltages. The disadvantages lie in the costs, weight, and volume of the transformer. Due to the unavoidable winding capacitances and leakage inductances, the upper frequency limit is of the order of magnitude of 100 MHz, maybe even GHz. The electrical equivalent circuit of a transformer with the winding resistances R1w and R2w is shown in Fig. 9.18. Provided that Rh  ω Lh (small (iron) losses) and Lh  Lσ 1 , Lσ 2 (small leakage), the equivalent circuit can be simplified (Fig. 9.19). Here, R = R1w + R2w /u¨ 2 and Lσ = Lσ 1 + Lσ 2 /u¨ 2 . The wired transformer is loaded at the input with the internal resistance Ri1 of the prestage and at the output with the terminal impedance Z2 (Fig. 9.20), which can be converted on the primary side (Z2 = Z2 /u¨ 2 ). Here, 1/Z2 = 1/R2 + ωC2 is divided into the effective conductance 1/R2 and the susceptance ωC2 . (C2 comprises the input capacitance of the following stage and the winding capacitance, 1/R2 the effective conductance of the following stage.)

9 Amplifiers

813

L R1w

Ls1

R2w

2

ü2

Rh

ü2

1:ü

U2

Lh

U2

Fig. 9.18 Equivalent circuit of the technical transformer using an ideal transformer where N1 : N2 = U2 : U2 =1:u¨

L

R

1:ü

U2

Lh

U2

Fig. 9.19 Simplified equivalent circuit of the technical transformer R

su 1

R i1

L

1:ü

Lh

R2

U2

ü2 C2·ü 2

su 1

Fig. 9.20 Equivalent circuit of the transformer loaded on both sides

U2

814

R. Quay

su1

1:ü

R2

R i1

ü2

U2

U2

su1 Fig. 9.21 Equivalent circuit of the transformer for the center-frequency range

(a) Transformation of center frequencies Here, the equivalent circuit (Fig. 9.20) can be even further simplified to provide the circuit in Fig. 9.21, since, with appropriate dimensioning, the following applies: ωL h  Ri1 ||R2 /u¨ 2 ; Ri1 ||R2 /u¨ 2  R, ωL σ ; ωC2 u¨ 2 u¨ 2 /R2 .

(9.40)

A voltage-transmission factor ABm = U2 /U1 ≈ −S Ri1 R2 /(u¨ Ri1 + R2 ).

(9.41)

then follows from Fig. 9.21. In some cases, e.g. if the transformer output is loaded with field effect transistors, this equation is further simplified due to the resulting high input resistance R2 to give the following: ABm = U2 /U1 ≈ −S Ri1 .

(9.42)

(b) Transformation of high frequencies The influences of the transformer leakage inductance Lσ and the input capacitance C2 u¨ 2 of the second stage become noticeable at high frequencies. The associated equivalent circuit is shown in Fig. 9.22. The voltage transmission factor is derived from this as follows:

AB =

U2 −S Ri1 = U1 1 + Ri1 u¨ 2 /R2 − ω2 L σ C2 u¨ 2 + jωC2 Ri1 u¨ 2 + jωL σ u¨ 2 /R2 (9.1/22a)

With ABm , according to Eq. 9.41, the following applies:

9 Amplifiers

815

L

su 1

R i1

1:ü

R2

U2

C 2ן 2

ü2

U2

su 1 Fig. 9.22 Equivalent circuit of the transformer for high frequencies

   A   B  jϕ =   e  A Bm  ABm AB

= 

(1 + Ri1 u¨ 2 /R2 )e jϕ 2 ) + ω4 L 2 C 2 u¨ 4 (1 + Ri1 u¨ 2 /R2 )2 + ω2 (L 2σ u¨ 4 /R22 − 2L σ C2 u¨ 2 + C22 u¨ 4 Ri1 σ 2

(9.1/22b) It is evident from Fig. 9.22 that Lσ and C2 u¨ 2 form a series resonant circuit, which is damped by Ri1 and R2 /u¨ 2 . Depending on the damping of the circuit by the effective resistances, a resonance rise of the voltage transmission factor is obtained, which can be used to increase the upper limit frequency of the amplifier (see Fig. 9.23). Without Lσ , the upper limit frequency would be: f0 =

Ri1 + R2 /u¨ 2 2π C2 Ri1 R2

(9.43)

according to Sect. 9.2.2.7. This 3-dB limit frequency is obtained by equating the real part and imaginary part of the denominator. Without the influence of Lσ , according to curve 1, where f > f0 , the decrease would be 1/ω, i.e. 20 dB/frequency decade (or 6 dB/octave). Since Lσ is always present, the curves 2–4 in Fig. 9.23 decrease according to Sect. 9.2.2.7 1/ω2 by 40 dB/frequency decade. With little leakage (curve 2), AB decreases at a constantly slow rate. The phase angle ψ increases relatively slowly. By increasing Lσ , the 3-dB limit frequency can be shifted slightly to higher frequencies (curve 3). Where R2 ≡R2 /u¨ 2 > Ri1 , this gives a resonance rise AB

ABm max

 =

at the angular frequency:

Ri1 u¨ 2 /R2 + 2 

ωr =



R2 /u¨ 2 Ri1

1 − Ri1 u¨ 2 /R2  L σ C2 u¨ 2

(9.44)

(9.45)

816

R. Quay 1 0.8 0.6 0.4

0.707

1

A B A Ba

0.2

0 –3 –6 –10

2

0.1 0.08 0.06 0.04

3

–20

–30 4

0.02

dB

0.01 180*

–40 4

135*

3 2

90*

1 f

45* 0 –45* fo

fu –90* 101

2

4 6 8102 2

4 6 8103

2

4 6 8104

2

4 6 8105

2

4 Hz 106

f

Fig. 9.23 Voltage transmission factor of a transformer between 10 Hz and 1 MHz; top, amount; bottom, phase; L H = 1 H; u¨ 2 C2 = 1.6 nF; Ri1 = 1 k; R2 = R2 /u¨ 2 = 2 k; 1 Lσ = 0; 2 Lσ = 0.1 mH; 3 Lσ = 0.45 mH; 4 Lσ = 3.2 mH

This is associated with a lowering of the 3-dB limit frequency (curve 4 in Fig. 9.23). For pulse transformers, the curve 1 with its relatively small phase rise should be aimed for, so that Lσ can be minimized in these transformers. (c) Transformation of low frequencies The behavior at low frequencies is determined above all by the shunt by the main inductance Lh of the transformer. The equivalent circuit applicable to low frequencies is shown in Fig. 9.24. The voltage transmission factor becomes: The lower 3-dB limit frequency in Fig. 9.23 is derived from the equality of the real and imaginary parts in the denominator of AB as fu = R p /(2π Lh ). Where Ri1 = 1 k and R2 ≡ R2 /u¨ 2 = 2 k, R p = 2/3 k. Where Lh = 1 H, fu = 106 Hz follows. Here, φ = -45◦ .

AB = U2 /U1 = −S R P

  Rp with R p = Ri1 R2 /(u¨ 2 Ri1 + R2 ). 1+ jωL h (9.1/23)

9 Amplifiers

817

9.2.3 Selective Amplifiers If signals with a very narrow spectrum or only a single frequency are to be amplified, low-bandwidth selective amplifiers are preferred to broadband amplifiers. They provide a better signal-to-noise ratio and above all noise signals lying outside the frequency band to be transmitted are suppressed.

9.2.3.1

Single-Circuit Amplifiers

The voltage transmission factor A B of a single-circuit amplifier according to Fig. 9.25 can be calculated from its equivalent circuit shown in Fig. 9.26. Assuming feedbackfree transistors (Ccb → 0), the following voltage transmission factor is obtained: AB =

U2 1 = −S Z P = −S U1 YP

su 1

R i1

(9.46)

1:ü

R2

Lh

U2

ü2

U2

su 1 Fig. 9.24 Equivalent circuit of the transformer for low frequencies

+ L

U1

Rp

C1

R1

U2

R2

Fig. 9.25 Single-circuit amplifier: a circuit with coupling to the next stage

818

R. Quay

~

Ca

Ri

Cs

Rp

L

C

R1

R2

Re

Ce

U2

S◊U i

Fig. 9.26 Single-circuit amplifier: b equivalent circuit

The following applies here: YP =

1 1  + RP Ri

= G P + iωC +

(9.47) 1 iωL

(9.48)

The internal resistance Ri of the first transistor and the input resistance of the second transistor, including its base voltage divider resistances thus lie parallel to the resonant resistance RP of the resonating circuit. They additionally clamp the circuit. The effective resonating circuit capacitance C is made up of the capacitance C’ of the resonating circuit capacitor, the switching capacitance C S , the output capacitance Ca of the first amplifier stage and the input capacitance Ce of the following stage. The following applies: YP = YP RP = 1 + i V (9.49) GP so that (Eq. 9.46) can be written in the form AB =

A Bm U2 S RP =− = =− U1 1 + iV 1 + iV

(9.50)

The following applies: V = Qv = ωr C R P

ω ωr



RP ω ωr

ωr

= − ω ωr L ωr ω

(9.51)

With this scaling, the two parameters A Bm and V are sufficient to describe the amplifier characteristics instead of the 5 parameters S, R p , L, C and f. If the voltage transmission factor AB = |AB|e jω scaled to A Bm is represented in the Gaussian plane, a circle is obtained (see Fig. 9.27). The more V deviates from zero, the smaller the amount of A B becomes and the more its phase φ deviates from π . The following is obtained from Eq. 9.51: A Bm |A B | = √ (9.52) 1 + V2

9 Amplifiers Fig. 9.27 Locus of the scaled voltage transmission factor A B /A Bm in the single-circuit amplifier

819

Im

V

( AA ( B

Bm

1.0

V=Vc1=+1

0.5

0.5

V=

0.5

AB (V) ABm V 0 –1

–0.5

Re

( AA ( B

Bn

–0.5

V= –

0.5

–0.5 V=Vc2=–1

–1.0

and φ = π − arctan V

(9.53)

The bandwidth of the amplifier is defined by the frequencies fc1 and fc2 , at which √ A B =A Bm / 2 (3 dB drop). This is the case where V = Vc1 = 1 and V = Vc2 = −1. In the single-circuit amplifier, the phase of A B deviates by −45◦ or +45◦ from 180◦ at the limit frequencies defined in this way (in multi-circuit amplifiers, this no longer applies!). The graphical representation of the function 1 A B /A Bm = √ 1 + V2

(9.54)

produces the curve shown in Fig. 9.28. With the two limit frequencies fc1 and fc2 (Fig. 9.28), and with reference to Chap. 1, the quality: Q=

fr fr = Δf c f c1 − f c2

(9.55)

820

R. Quay

AB ABm 1.0 0.8

00V

0.6 0.4 0.2

–5

–4

–3

–2 f'

–1

0

1

fc2

fr

fc1

2

3

4

f'

5

V f

fc Fig. 9.28 Amount of the scaled voltage transmission factor depending on the frequency or the scaled detuning V

is defined. For the product bandwidth Δf c · maximum amplification A Bm , with A Bm = S R p and Q = ωr C R p , this provides the fundamental relationship Δf c A Bm =

fr S R p fr S A Bm = = Q 2π fr C R p 2πC

(9.56)

independent from the resistance R p ! Therefore, if, for example, the greatest possible amplification A Bm is to be achieved for a predefined bandwidth, a transistor with high transconductance S must be selected and the effective resonant circuit capacitance C must be minimized. If the resonant circuit capacitance is left out (C = 0), C is then made up of only the switching capacitance Cs , the output capacitance Ca of the transistor and the input capacitance Ce of the following stage. Thus, in the most favorable case, the value Cmin = Ca + Ce + Cs is obtained for C. However, since Cmin is not sufficiently constant (new matching required following operating point modification and transistor exchange), a compromise has to be found between high amplification and constancy of the resonant frequency.

9.2.3.2

Multi-stage Selective Amplifier

Since the effective quality of a resonant circuit cannot be set at arbitrarily high values, the selection of a single circuit does not generally meet the imposed requirements. In practice, a selective amplifier therefore comprises several of the stages shown in

9 Amplifiers

821

Fig. 9.25, each of which, as an external resistance, has a resonant circuit tuned to the same frequency fr . The total transmission factor A B is then AB =

−A Bmn −A Bm1 −A Bm2 −A Bm3 ... 1 + i Q1v 1 + i Q2v 1 + i Q3v 1 + i Qn v

(9.57)

If stages with the same maximum amplification A B St and the same resonant circuit quality Q are used, the following complex total transmission factor is obtained in the case of n stages: AnB St A B = (−1)n (9.58) 1 + i QV n The amount thereof is |A B | =

AnB St 1+

V 2 n/2

=

A Bm 1 + V 2 n/2

.

(9.59)

The maximum amplification is therefore A Bm = AnB St . If the bandwidth is again defined by the limit frequencies fc1 and fc2 , at which 1 1 A B = √ A2B St = √ A Bm 2 2

(9.60)

(3 dB drop), the following applies at the band limits:

or:

2 = (1 + VC2 )n

(9.61)

 √ n VC1,2 = ± 2−1

(9.62)

According to Eq. 9.55, the following then applies: fr Δf c = Q

 √ 0.87 fr n 2−1≈ √ Q n

(9.63)

The higher the quality Q and the number of stages n, the smaller the bandwidth Δf c becomes. Figure 9.58 shows the maximum voltage transmission factor A Bm =AnB St and the bandwidth Δf c dependent on n for the discussed case of the constant stage amplification A B St independent from n (Fig. 9.29).

822

R. Quay

10

1.0 InABm

4

0.4

Q

0.6

Vcf 0.2

2 0

fr

6

. fC

0.8

InABSt

Vcf =

InABm InABSt

8

0

2

4

6

8

10

0

n Fig. 9.29 Maximum amplification and bandwidth depending on the number of stages

9.3 Gain and Matching 9.3.1 Power Gain and Impedance Matching Every active elements has the ability to amplify at all frequencies below the cutoff frequencies. A measure is to be found to judge on the impact of the matching networks to stability. These conditions shall be derived in the following. Figure 9.30 gives the terms for the analysis of the active two-port with respect to stability. Two active two-ports are wired with the complex impedances Z S and the load Z L . In due course bG is the power wave, which is given from the generator to the a complex impedance Z. bG is composed from b S (which the generator can give to Z0 ) and from a second contribution r S ·aG , which arises from an arbitrary Z=Z0 . The following equations hold [7] which are deduced from Fig. 9.30:

ZS a1

bG bS rS aG

r1 b1

Two-port (S)

Fig. 9.30 Active two-port investigated for stability analysis

b2 r2 a2

aL rL

ZL bL

9 Amplifiers

823

bG = b S + r S · aG

(9.64)

a L = b2 a1 = b G a2 = b L

(9.65) (9.66) (9.67)

aG = b1 bL = r L · aL

(9.68) (9.69)

b1 = r1 · a1 b2 = r2 · a2

(9.70) (9.71)

The reflection coefficients can be rewritten: S12 · S21 · r L (1 − S22 · r L ) S12 · S21 · r S r2 = S22 + (1 − S11 ) · r S r1 = S11 +

(9.72) (9.73)

The related powers can be written: 1 1 |u L · i L∗ | = |a L |2 2 2 1 2 = (1 − |r L | )|a L |2 2 1 |b S |2 PV = . 2 (1 − |r S |2 ) P2 =

(9.74) (9.75) (9.76)

P2 : power effective in load, PV : power available at source The transducer gain GT is defined as the ratio of the power transmitted to the load and the available power at power PV and thus:  a 2  L G T = (1 − |r S |2 )  (1 − |r L |2 ) bS 1 − |r L |2 2 . |S | · GT = 21 |1 − r S ·11 |2 |1 − r L · r22 |

(9.77) (9.78)

In this description the transducer gain thus only depends on the S-parameters Si j and the reflection coefficients. In this case GT is maximized, if we obtain power matching with the conditions: r S = r1∗ r L = r2∗

(9.79) (9.80)

824

R. Quay

These two conditions are called the conjugate-complex matching conditions. The transmission power amplification is the maximum, i.e. GT = Gmax , if power matching is set simultaneously on port 1 and port 2. If we insert Eqs. 9.79 and 9.80 into Eqs. 9.72 and 9.73, we obtain: ∗ ∗ · S21 · r2 S12 ∗ (1 − S22 · r2 ) (S ∗ − r ∗ ) r2 = S11 + 11 ∗ 1∗ Δ · r1 · S22 with : Δ = S11 · S22 − S12 S21 . ∗ + r1∗ = S11

(9.81) (9.82) (9.83)

From Eqs. 9.81 to 9.82 we obtain a second order equation with a solution which maximizes the transducer gain. The maximum transducer gain GT,max can further be rewritten:  

  S21   · k ± k2 − 1 .  (9.84) G T,max ( f ) =  S12  The GT,max obtained is independent from the external biasing. In this case the Rollet stability factor is defined in Eq. 9.85 [8]. 1 − |S11 |2 − |S22 |2 + |S11 S22 − S12 S21 | 2 · |S12 ||S21 | 2 | (1 + |Δ|2 ) − |S11 |2 − |S22 = 2|S12 ||S21 |

k( f ) =

(9.85) (9.86)

GT can further be factorized as: (1 − |r S |2 )(1 − |r1 |2 ) 1 − |r2 |2 · |1 − r L · S22 |2 (1 − |r1 |2 ) |1 − r S · r1 |2 2 = |S21 | · G S · G L

G T = |S21 |2 ·

(9.87) (9.88)

From the measured S-parameters, both G L and G S can be calculated. Further, the curves with G L = const and G S = const are circles. In order to achieve a maximum transmission power amplification, a radiofrequency transistor must be connected to the input and to the output with matching networks, in order to satisfy the conditions of Eqs. 9.79 and 9.80. The matching networks then consist of distributed or, for lower frequencies, concentrated elements with minimal loss. Due to the frequency dependence of the scattering parameters, power matching with matching networks, e.g. comprising an L and a C, or with distributed transmission lines, is possible in a narrow frequency range only. Stability investigations and definition of the elements of the matching networks can be performed in parallel, e.g. graphically in the complex reflection factor plane with the Smith diagram, or using electronics design automation tools. From the scattering

9 Amplifiers

825

parameters given for a transistor, G L can be calculated according to Eq. 9.87 and G S by 9.88. The loci G L = const and G S = const produce circles in the complex reflection factor plane, as shown below. r L and r S can be determined for power matching according to Eqs. 9.79 and 9.80. It can be shown that a two-port network is not unconditionally stable if |r L | ≤1 and |r S | ≤ 1. With |r L |= 1 and |r S |= 1, stability circles are obtained, which can similarly be plotted in the complex reflection factor plane.

9.3.2 Small-Signal Amplifier with Field Effect Transistors The basic principles of field effect transistors (Si-JFET, Si-MOSFET, MESFET and heterojunction-FET) have been dicussed in the semiconductor chapter. At microwave frequencies, amplifiers are advantageously designed with scattering parameters. Some of the concepts and resources required for this purpose are discussed below. Any type of FET can be used in the examples.

50j 100j

25j

250j

10j

10

25

50

100

250

–250j

–10j

–100j

–25j –50j

Fig. 9.31 Circles of constant gain in the input plane of G S in the Smith-Polar Chart

826

R. Quay

50j 25j

100j

250j

10j

10

25

50

100

250

-250j

-10j

-25j

-100j -50j

Fig. 9.32 Circles of constant gain in the output plane of G L in the Smith-Polar Chart

ZS

GS

rS

S11

G0

S22 r L

GL

ZL

Fig. 9.33 Transducer gain in a two-port network with two matching networks

9.3.2.1

Scattering Parameters of a FET

Terminal voltages and terminal currents are used to define the Y and Z parameters. These can easily be measured, provided that the current and voltage on the feed lines to the quadripole undergo little local change. At microwave frequencies, the wave characteristics of current and voltage are noticeable, so that it is advantageous in this case to use scattering parameters. The small-signal characteristics of any FETs are therefore normally described by scattering parameters. Example Figure 9.34 indicates the characteristics of the loci of the scattering parameters for a GaN HEMT with a gate length of 100 nm in the source circuit, U DS = 15 V, I D = 100 mA/mm; In addition, Sect. 9.3.2.1 sets out the numerical values of the scattering parameters in polar coordinates. According to the essentially capacitive input and output impedance of the GaN-HEMT, the reflection factor S11 has negative phase up to around 30 GHz and S22 up to 60 GHz. The amount of S11 decreases from 0.99 at

9 Amplifiers

827

10 MHz (broadband matching very difficult) to 0.65 at 15 GHz. The amount of S22 similarly decreases with increasing frequency, from 0.9 at 1 GHz to 0.6 at 15 GHz. The forward transmission S21 decreases steadily with the frequency in terms of amount from 8 at 10 MHz to 1 at 60 GHz, whereas the backward transmission increases from 0.0001 at 10 MHz to a maximum of approx. 0.09 at 120 GHz. This results in an amplification decrease with increasing frequency. At low frequencies, S21 has a phase of around 180◦ and S12 a phase of approx. 90◦ . The phases decrease steadily with increasing frequency in each case to approx. 8◦ at 15 GHz. The scattering parameters can be converted into the Z, Y, H and P parameters customary at low frequencies.

S22 S11

Radius= 1

S21

Radius= 10

S12

Radius= 1

Fig. 9.34 Scattering parameters of a GaN-HEMT for the 1–110 GHz frequency range. Characteristic impedance Z0 = 50 . Reference planes; contacting points of measurement probes on the 50  connection pads: a input reflection S11 with scaled input resistance Z1 and output-reflection S22 with scaled output resistance Z2 ; b forward transmission S21 scale (x10) and backward transmission, S12 x1

828

R. Quay

Table 9.2 Scattering parameters for a GaN-HEMT as a function of the frequency f S11 S21 S12 S22 Amount Phase Amount Phase Amount Phase Amount 0.0100 0.0150 0.020 0.025 0.030 0.035 0.040 0.050 0.100 0.500 1.00 2.00 3.00 4.00 5.00 6.00 7.00 8.00 9.00 10.00 15.00 20.00 25.00 30.00 40.00 50.00 60.00 70.00 80.00 90.00 100.00 110.00 115.00 120.00

0.9990 0.9989 0.998 0.998 0.997 0.998 0.997 0.995 0.998 0.991 0.983 0.971 0.958 0.933 0.917 0.902 0.882 0.863 0.849 0.840 0.805 0.786 0.781 0.737 0.762 0.751 0.751 0.754 0.756 0.747 0.745 0.734 0.737 0.740

359.90 359.88 359.79 359.73 359.65 359.57 359.48 359.31 358.25 352.93 345.72 331.37 317.42 304.95 293.23 282.88 273.43 264.98 256.86 250.21 223.19 205.89 191.45 181.68 167.31 152.38 139.45 127.19 114.26 100.91 87.83 74.37 67.70 59.38

8.2565 8.2067 8.228 8.224 8.253 8.286 8.304 8.328 8.419 8.575 8.536 8.312 7.981 7.534 7.125 6.677 6.215 5.817 5.429 5.067 3.753 2.921 2.381 1.974 1.547 1.243 1.040 0.883 0.780 0.705 0.645 0.587 0.565 0.544

179.46 180.293 180.54 180.60 180.60 180.61 180.684 180.601 180.056 176.381 171.363 162.182 152.368 144.126 136.416 129.475 123.168 117.218 111.871 107.149 86.992 70.971 57.785 44.884 28.593 8.417 349.910 332.350 314.455 297.049 279.375 261.812 253.832 245.793

0.00014 0.00022 0.00029 0.00038 0.00046 0.00061 0.00070 0.00088 0.00156 0.0080 0.01592 0.03107 0.04469 0.05635 0.06655 0.07485 0.08121 0.08677 0.09101 0.09460 0.10411 0.10686 0.10825 0.10716 0.10961 0.10711 0.10261 0.09801 0.09581 0.0966 0.0970 0.0969 0.0983 0.0988

93.09 101.56 86.427 98.050 88.172 88.178 91.757 87.762 90.179 85.771 81.604 72.669 64.437 56.938 50.039 44.058 38.569 33.498 28.844 24.906 8.507 356.62 347.191 338.023 325.762 311.106 299.159 287.388 277.79 266.83 256.51 244.53 240.63 235.48

0.6300 0.6274 0.6262 0.6242 0.625 0.623 0.623 0.621 0.617 0.609 0.602 0.586 0.570 0.545 0.521 0.499 0.475 0.452 0.433 0.421 0.373 0.363 0.357 0.355 0.391 0.422 0.463 0.504 0.529 0.551 0.588 0.613 0.6129 0.6306

Phase 0.33 0.249 0.16670 359.99 359.87 359.75 359.68 359.43 358.72 354.77 349.94 340.18 330.20 321.26 313.18 306.10 299.19 293.47 287.38 282.50 261.41 246.45 234.23 228.30 213.72 199.16 186.14 170.20 156.10 142.34 128.28 112.67 105.27 97.17

Table Scattering parameters for an unmatched GaN-HEMT as a function of the frequency (Table 9.2).

9 Amplifiers

829

9.3.3 Signal Flow Diagrams The characteristics of a small-signal amplifier or more generally a linear network, can be described by means of a linear equation system. In the case of a microwave network, scattering parameters are often used to link the variables. The cause of the returning wave variables b j lies in the ingoing wave variables ak . The a j are therefore independent variables and the bk are dependent variables. The scattering parameters Sk j are the coefficients of the equation system. To analyze the network, the equation system must be solved according to the relevant variables. This can be done, for example, by applying the matrix calculation. Instead of the algebraic determination of the network characteristics, a graphical solution by means of signal flow diagrams [9, 10] is also possible, wherein the cause-effect relationship is taken into account. The graphical solution is physically descriptive and often requires less time than the algebraic solution. The relationship between the equation system and the signal flow diagram is established by the definitions described in Fig. 9.35: 1. The wave variables a j and bk are described in the signal flow diagram by nodes. a j and bk are referred to as node signals. 2. The S-parameters are represented by directed branches. 3. These directed branches connect the nodes and therefore describe the signal flow. This takes place from the independent node a j (source) to the dependent node bk (sink). 4. The node signal bk of a sink is derived from the sum of all incoming node signals Sk j a j . Fig. 9.36 shows signal flow diagrams for basic circuits, from which more complex circuits can be constructed. Figure 9.36a describes a two-port network, Fig. 9.36b shows a load resistance (b L = r L a L ) and Fig. 9.36c shows a generator (bG = b S + r S aG ). r L is the reflection factor of the load, r S that of the de-activated source (b S = 0). b S is the power wave that can be delivered by the generator to a matched consumer (aG = 0). For a given signal flow diagram, a transmission factor U¨ k j between two nodes a j and bk can be defined as bk U¨ = ] (9.89) aj U¨ k j can be determined from the structure of the signal flow diagram. The following rules must be observed here: 1. A path is a continuous sequence of similarly oriented branches which connect the node a j (source) to the node bk (sink). No node may be touched more than once. The path transmission factor P is derived from the product of the branch transmission factors along the path. 2. A loop is a self-contained path. No node may be touched more than once. The loop transmission factor L is derived from the product of the branch transmission factors along the loop.

830

R. Quay

aj

Source

Branch

Drain

aj

Sjk

bk

bk

Node with a parting power wave

bk

Node with an incident power wave

Node with incident power waves

Fig. 9.35 Definition of signal flow diagrams

a

a1

a1

S21

b2

b2

S

b1

a2

S11

b1

b

S22

S12

a2

aL aL rL

ZL bL bL

c bS

1

ZS bS =

uS ZS

uS rS

b6 = a6

b6

ZD +

ZD

bs = 0

Fig. 9.36 Signal flow diagrams for: a two-port network; b load resistance; c generator

rS

a6

9 Amplifiers

831

bs =us/2 Z0

a1

S21

b2

1 S11

b1

S22

rL = (ZL – Z0)/(ZL+Z0)

a2

S12

Fig. 9.37 Signal flow diagram for a two-port network provided with a source (Z S = Z0 ) and load

3. The following applies: U¨ k j =

n

Pν Δν Δ

ν=1

(9.90)

where: Σ =1− Σν = 1 −

 ν 

L(1) + L(1) +

 

L(2) + L(2) +

 ν 

L(3) + · · ·

(9.91)

L(3) + · · ·

(9.92)

In the denominator,the symbols have the following meanings: • Σ L(1): sum of all loop transmission factors occurring in the mitsignal flow diagram (1st order loops). • Σ L(2): sum of all possible products of the loop transmission factors of two nontouching loops (2nd order loops). • Σ L(3): sum of all possible products of the loop transmission factors of three non-touching loops (3rd order loops). In the numerator, the symbols have the following meanings: • P1 , P2 , …the path transmission factors of the n possible paths between a j and bk . • Σ (1) L(1): sum of the loop transmission factors of all 1st order loops occurring in the signal flow diagram which do not touch the path P1 . • Σ (1) (L(2): sum of the loop transmission factors of all 2nd order loops which do not touch the path P1 . • Σ (2) L(1): sum of the loop transmission factors of all 1st order loops which do not touch the path P2 . Example: Fig. 9.37 shows the signal flow diagram for a two-port network which is provided with a source with the internal resistance Z S = Z0 and any given load Z L . The required transmission factor is U¨ 11 = b1 /a1 . This corresponds to the input reflection factor r1 with any given load reflection factor r L . The following is derived from Eq. 9.90:

832

R. Quay

P1 = S11 P2 = S21r L S12 Δ = 1 − S22 r L Δ1 = 1 − S22 r L Δ2 = 1. P1 Δ1 + P2 Δ2 S12 S21r L U¨ 11 = r1 = = S11 + Δ 1 − S22 r L

(9.1/79)

from which the following is obtained: The output reflection factor r2 for any given source reflection factor r S is obtained due to the circuit symmetry from Sect. 9.3.3 by replacing the input variables with the corresponding output variables. r2 = S22 +

S12 S21 r S 1 − S11 r S

(9.1/80)

9.3.4 Power Gain Definitions Power transmission behavior is relevant to amplifier design. There are several power gain definitions [9, 11–14], which will be explained below. The S and Y parameters have been described. The following abbreviations are used: Δ S = S11 S22 − S12 S21 ΔY = Y11 Y22 − Y12 Y21 Y0 − Ys = reflection factor of the source rS = Y0 + Y S Y0 − Y L = reflection factor of the load rL = Y0 + Y L

(9.93) (9.94) (9.95) (9.96)

Si j and Yi j are the S- and Y-parameters of the amplifier two-port network.

9.3.4.1

Power Gain G power given from the twoport to the load P2 = P1 power given from the source to the network |S21 |2 (1 − |r L |2 ) = ∗ (1 − |S11 |2 ) + |r L |2 (|S22 |2 − |Δ S |2 ) − 2Re[r L (S22 − Δ S S11 )]

G=

=

|Y21 |2 Re(Y L ) 2 = f (Y L )

   Re Y11 − YY1222YY21L · Y22 + Y L 

(9.97)

9 Amplifiers

833

The power gain G is dependent on the quadripole parameters and Y L , but not on Y S . The terminal power gain gives no indication of the utilization by the two-port network of the signal power available from the source and of the load.

9.3.4.2

Transducer Power Gain GT P2 power given from the twoport to the load = P1V power available from the source |S21 |2 (1 − |r S |2 )(1 − |r L |2 ) = |(1 − S11 r S )(1 − S22 r L ) − S12 S21 r L r S |2 4|Y21 |2 Re(Y S )Re(Y L ) = = f (Y S , Y L ) |(Y11 + Y S )(Y22 + Y L ) − Y12 Y21 |2

GT =

(9.98)

GT depends on the quadripole parameters, on Y S and Y L . Generally, GT ≤ G applies. GT = G applies only in the special case where the source and quadripole input are matched. GT describes the advantage provided by an active quadripole in terms of power transmission, compared with an assumed passive network, which matches the source and consumer without loss. Special Case: Unilateral Transducer Power Gain GT u P2 power given from the twoport to the load disregarding feedback = P1V power available from the source 2 2 |S21 | (1 − |r S | )(1 − |r L |2 ) = |(1 − S11 r S )(1 − S22 r L ) 4|Y21 |2 Re(Y S )Re(Y L ) = f (Y S , Y L ) = (9.99) |(Y11 + Y S )(Y22 + Y L )

GT u =

If the feedback is small, it can be ignored in an initial approximation (S12 = 0 and Y12 = 0), as a result of which substantially less calculation effort is required for the circuit design [11, 14]. The maximum error resulting from the assumption of absence of feedback can be estimated by means of the following inequality, where GT is the true transducer power gain: where The smaller u is, the smaller the error becomes. GT 1 1 < < 2 (1 + u) G Tu (1 − u)2 u=

|S11 S12 S21 S22 | . (1 − |S11 |2 )(1 − |S22 |2 )

834

9.3.4.3

R. Quay

Available Power Gain G A power available from the twoport P2 = P1 V power available from the source |S21 |2 (1 − |r S |2 ) = ∗ (1 − |S22 |2 ) + |r S |2 (|S11 |2 − |Δ S |2 ) − 2Re[r S (S11 − Δ S S22 )]

GA =

=

|Y21 |2 Re(Y S ) = f (Y S ) Re[(ΔY + Y22 Y S )(Y11 + Y S )∗ ]

(9.100)

G A depends on the quadripole parameters and on Y S , but not on Y L . If the special case occurs where the quadripole output and the consumer impedance are matched, G A = GT applies. In the event of mismatching, G A ≥ GT applies.

9.3.4.4

Insertion Gain G I P2 power given to the load = PS power given from the source |S21 |2 |1 − r L r S |2 = |(1 − S11 r S )(1 − S22 r L ) − S12 S21r L r S |2 |Y L + Y S |2 |Y21 |2 Re(Y S )Re(Y L ) = |(Y11 + Y S )(Y22 + Y L ) − Y12 Y21 |2 |Y L ||Y S | = f (Y L , Y S )

GI =

(9.101)

G I depends on the quadripole parameters, on Y S and on Y L . G I corresponds to the gain measured when a two-port network is inserted between the source and the consumer. For the special case where the source and consumer are matched, G I = GT applies. 9.3.4.5

Maximum Power Gain 1 − |r S |2 1 − |r L |2 2 × |S | × 21 |1 − S11r S |2 |1 − S22 r L |2 = G S × G0 × G L

GT u =

G T ud B = G Sd B + G 0d B + G Ld B

(9.102)

The maximum power gain depends not only on the degree of matching (Y S , Y L ), but also on the stability characteristics of the two-port network. The corresponding gain definitions (MAG, MSG, U) are therefore always dealt with in conjunction with stability. Regarding the use of the different gain definitions it can be stated that GT is normally used by circuit developers to describe the efficiency of an amplifier circuit under the operating conditions concerned, G I corresponds to the measured

9 Amplifiers

835

Z0

us

G0 (S12 = 0)

Gs

~

rs = 0

rs

S11

GL

S22 rL

ZL

rL = 0

Fig. 9.38 Unilateral transducer power gain GT u of a two-port network with connected matching networks

gain when a two-port network is inserted between the source and the consumer. G A is required for the noise calculations. Conversely, MAG, MSG and U are used by component manufacturers to describe the maximum gain achievable with an active element, taking into account stability requirements. 9.3.4.6

Constant-Amplification Circles

By ignoring the feedback (S12 = 0), the input reflection factor r1 of a two-port network becomes independent from the load reflection factor r L and the output reflection factor r2 independent from the source reflection factor r S . Since the input and output are thus decoupled, a simplified and clear procedure can be followed in the circuit design. Figure 9.38 shows how Eq. 9.102 can be assigned to a network. GT u is understood as the product of three amplification contributions, which can be assigned in a unique manner to the transformation networks and the two-port network. Depending on whether the transformation networks make the matching better or worse compared with the case r S = r L = 0, a matching gain or a matching loss is obtained. The amplification contribution G S , for example, depends for a given S11 (two-port network characteristic) only on the reflection factor r S of the source, which can be optimized in the circuit design by means of a suitable matching circuit. For r S = 1, G S = 0, for r S = 0, G S = 1 (Eq. 9.102). The maximum unilateral transducer gain GT u,max is obtained when the two-port network is bilaterally matched. The following is obtained by insertion in Eq. 9.102: 1 1 × |S21 |2 × 1 − |S11 |2 1 − |S22 |2 = G S,max × G 0 × G L ,max

G T u,max =

(9.103) (9.104)

The aforementioned procedure is then based on the fact that all r S values that can produce a constant G S (0< G S < G S,max ) are located in the Smith diagram on a circle. The expression for G S is formally equal to that of G L , where only r S is to be replaced by r L and S11 by S22 . The same therefore applies accordingly to G L as to G S . The influence of the input (i = 1) and output matching (i = 2) on the gain GT u can consequently be described respectively by an ensemble of constant-amplification circles [9, 11, 14] the position of which in the Smith diagram is given by:

836

R. Quay

gi |Sii | 1 − |Sii |2 (1 − gi ) √ 1 − gi (1 − |Sii |2 ) Ri = 1 − |Sii |2 (1 − gi ) Gj i = 1, j = S, gi = G j (1 − |Sii |2 ) = G jmax

di =

(9.1/90) or :

i = 2, j = L .

The center of the constant-amplification circle Gi lies on the vector Sii∗ at the distance di from the center of the Smith diagram. Ri is the circle radius, gi the associated scaled amplification. Example Figure 9.39 shows constant-amplification circles (G circles) for a GaAs MESFET chip for S-parameters, for 12 GHz in the input and output impedance plane. The maximum error for GT u can be estimated with Sect. 9.3.4.2. The centers of the G circles lie in each case on the connecting straight line between the center of the Smith diagram and S∗11 and S∗22 . At S∗11 and S∗22 , the amplification contributions become the maximum; the circles degenerate in each case to a point. The G circles, which are located within the 0 dB circle, bring about an improvement compared with the case of connection with Z0 , while those outside it cause a deterioration. Where the G circles are closely adjacent to one another, GT u changes substantially with r S and r L . With the S-parameters of the GaAs MESFET at f= 12 GHz, the following approximation value for the maximum achievable amplification is obtained, ignoring the feedback, with Eq. 9.104: GT u,max = 2.3 dB + 3.9 dB + 2.1 dB= 8.3 dB. The source and load reflection factors required for this purpose are approximately as follows: ∗ ∗ = 0.643/168.8◦ and R Lm = S22 = 0.623/74.6◦ r Sm = S11

(9.105)

For comparison, values for the maximum gain GT,max and the required matching with the exact procedure (Eq. 9.106, i.e. taking the feedback into account, are indicated below: GT,max = G A,max = MAG = 8.9 dB for r Sm = 0.73/178◦ and r L ,m = 0.71/85◦ . The simple method described here does not always provide a usable accuracy [9, 15]. It is therefore recommended always to estimate the maximum error in advance with Sect. 9.3.4.2. If this is unacceptably high for the planned application, feedback must not be ignored in the calculation, so that the gain must be determined via the general formulae from Eqs. 9.12 to 9.16, or the more complex graphical method indicated in [9, 16] must be used.

9.3.5 Stability To enable the design of amplifier circuits, the conditions must be known under which unwanted oscillations can be avoided, i.e. the circuit operates in a stable

9 Amplifiers

837

a

j

GSmax = 2.3 dB

j2

j0.5 –10dB –5

j3

–2 0

j0.2 . S11 0

j5

1

2.3 1

j10 0

–2

–5 –10dB 0.5 1

0.2

2

5

10

–j10 –j5

–j0.2 –j3 –j0.5

–j2 GS

–j

b

j

GLmax = 2.1 dB j0.5

j2 .

S22

j3

2.1 1 1 0

0

j0.2

–2

j5

–2 –5

j10

–5 –10dB

0

0.2

0.5

1

–10dB

2

3

5

10 –j10

–j0.2

–j5

–j3 –j2

–j0.5

–j

GL

Fig. 9.39 Constant-amplification circles G S in the input impedance plane and G L in the output impedance plane for a GaAs-MESFET chip (CFY 10) at 12 GHz (assumption: S12 = 0)

838

R. Quay

manner. With regard to the stability behavior of an active two-port network at a given frequency, two cases are distinguished, i.e. absolute and conditional stability [9, 11, 12]. Absolute stability occurs if the two-port network can be wired with any given passive terminations on the input and output and always remains stable. Absolute stability requires a stability factor k > 1, k=

1 + |Δ S |2 − |S11 |2 − |S22 |2 > 1. 2|S21 S12 |

(9.106)

and |S21 S12 | < 1 − |S11 |2 and |S21 S12 | < 1 − |S22 |2 .

(9.107)

Δ = S11 S22 − S21 S12 .

(9.108)

where

In Y-parameter notation, the following must apply: k=

2Re(Y11 )Re(Y22 ) − Re(Y12 Y21 ) >1 |Y12 Y21 |

(9.109)

and Re(Y11 )≥0 and Re(Y22 )≥0. k depends on the quadripole characteristics, but not on the source and consumer characteristics. If absolute stability occurs, simultaneous matching at the input ∗ = Y1∗ ), (9.110) (r Sm = Ri∗ and Y Sm and the output

∗ = Y2∗ ), (r Lm = R2∗ and Y Lm

(9.111)

is possible without the occurrence of self-excitation of the two-port network. The source reflection factor r Sm required for this purpose and the load reflection factor r Lm are as follows [14]: r Sm < r Lm < where the following applies:

B1 ± B2 ±

 

B12 − 4|C1 |2 2C2 B22 − 4|C2 |2 2C2

(9.112)

(9.113)

9 Amplifiers

839

B1 = 1 + |S11 |2 − |S22 |2 − |Δ S |2

(9.114)

B2 = 1 + |S22 | − |S11 | − |Δ S | ∗ C1 = S11 − Δ S · S22 ∗ C2 = S22 − Δ S · S11

(9.115) (9.116) (9.117)

2

2

2

Δ S = S11 S22 − S12 S21 .

(9.118)

For B1 > 0, the minus sign is to be used in the equations for r Sm and r Lm , for B1 < 0 the plus sign. In Y-parameter notation, the following is obtained for the source admittance Y Sm and the load admittance Y Lm which are required for bilateral matching of the two-port network:

Y Sm Y Lm

√ |Y12 Y21 | k 2 − 1 + = 2Re(Y √22 ) |Y12 Y21 | k 2 − 1 + = 2Re(Y11 )



Im(Y12 Y21 ) j − Im(Y11 ) 2Re(Y22 )

Im(Y12 Y21 ) j − Im(Y22 ) 2Re(Y11 )

(9.1/94)

The gain in the case of bilateral matching is referred to as the maximum available power gain MAG. The following applies here [17]:         Y21   S21  (k − k 2 − 1). (k − k 2 − 1) =  (9.1/95) MAG =   S12 Y12 MAG = G(r Lm ) = G T (r Sm , r Lm ) = G A (r Sm ) = G I (r Sm , r Lm ).

(9.1/96)

MAG depends only on the quadripole parameters (see Eq. 9.106). For the special case of bilateral matching of the two-port network, the following applies (see Eqs. 9.97–9.101): Conditional stability occurs if the two-port network has not only passive terminal resistances at which the circuit is stable, but also those at which it oscillates, i.e. is unstable. The instability of a two-port network is caused by the internal - in the case of transistors e.g. capacitive - feedback. With conditional stability, the stability factor is k < 1. It follows from Eqs. 9.106 and 9.109 that the risk of instability increases with the amount of the product from feedback and amplification |S12 S21 | and |Y12 Y21 |. Example: MESFET Figure 9.40 shows the stability factor k for a GaAs-MESFET chip (CFY 10) for Sparameters, depending on frequency. Below 9 GHz, k is less than 1, so that the chip is conditionally stable in this frequency range. Above 9 GHz, k > 1 and the chip is correspondingly unconditionally stable. When plotted versus the frequency in linear scale, the k-factor forms a straight line. With conditional stability, the boundary between the stable and the unstable area is defined in that one or both of the reflection factors r1 and r2 of the wired two-port

840

R. Quay

Fig. 9.40 Stability factor k for a GaAs-MESFET chip (CFY 10) as a function of the frequency (log scale)

3

k

2

1

0

1

2

4

6

8

10

GHz 20

f

network have the amount 1. For |r1 | > 1 and |r2 | >1, the input and output resistance of the two-port network is negative, i.e. the circuit oscillates. Self-excitation can be avoided by selecting the consumer reflection r L (Sect. 9.3.3) and the source reflection r S (Sect. 9.3.3 ) in such a way that |r1 | < 1 and |r2 | 1, the center of the impedance plane concerned belongs to the unstable area. In this case, the unstable area in Fig. 9.41 would consequently be defined by the unshaded part inside the unit circle. The two stability circles in each case provide information only on the stability behavior at one frequency. If stability is to be ensured for all frequencies,

9 Amplifiers

841

Input

Output

Ri

50j

50j Mi 100j

25j

10j

25j

250j

100j

10j

250j

Mi 10

25

Ri

Mi 50

100

10

250

–10j

–250j

–25j

–100j

25

–10j

–250j

–25j

–100j

–50j

–50j

|r|=1

|r|=1

Fig. 9.41 Stability circles of an active quadripole in the input and output impedance planes. For |S11 | and |S22 | < 1, the shaded area is unstable

the stability circles must consequently be constructed for several frequencies from the possibly wide frequency range, in which k < 1 applies to the two-port network. Figure 9.42 shows the stability circles in the input and output impedance plane for a GaAs-MESFET chip (CFY 10). The unstable area here lies in each case inside the stability circles. Corresponding to the stability factor, which increases with the frequency (Fig. 9.40), the unstable area in the impedance planes becomes smaller with increasing frequency. Below 9 GHz, the chip is conditionally stable (k < 1), so that, depending on the reflection factor of the wiring, stability or instability may occur. S∗11 and S∗22 , which are included for comparison, run e.g. primarily in the unstable area. (It should be remembered here that, with any given wiring (= Z0 , the reflection factors r∗1 and r∗2 do not correspond exactly to S∗11 and S∗22 due to the feedback.) Above 9 GHz (k > 1), the stability circles lie outside the impedance planes, so that no self-excitation is possible with passive terminations. It is also evident from Fig. 9.42 that the centers of the stability circles mainly lie approximately on the extension of the vector which joins the center of the Smith diagram with (i = 1, 2). In the case of conditional stability (k < 1), there are three options for avoiding self-excitation: 1. Mismatching of the two-port network and the source or consumer. 2. Wiring of the two-port network with lossy resistors at the input and/or output, so that k > 1 applies to the two-port network newly created in this way. 3. Neutralization of the internal feedback of the two-port network by an external feedback network, so that k > 1 applies to the two-port network newly created in this way.

842

R. Quay

In the first option, a non-optimal VSWR is accepted for the sake of ensuring stability. However, in contrast to the other two options, the remaining characteristics such as e.g. gain, bandwidth, noise figure or output power can be optimized independently from the stability. For this reason, this method is to be recommended. Suitable reflection factors for the wiring can be selected with the stability circles. A specific distance from the unstable areas will be maintained so that instability cannot be caused by component tolerances, temperature change or aging. Although the second option results in an absolutely stable two-port network, as already mentioned, it restricts the options for optimization. The maximum gain achievable with the first and second options, referred to as the maximum stable gain (MSG) [9, 12] is as follows:      S21   Y21  =  (9.121) M SG =  S12   Y12  MSG depends only on the amount of the quotient of the original forward and backward parameters. The following is obtained from Eq. 9.121 for k= 1: M SG = M AG(k = 1)

(9.122)

In contrast to MSG, MAG is not defined for k < 1, because the gain with selfexcitation becomes maximum and infinite. The third option similarly results in an absolutely stable two-port network. However, neutralization is possible mainly for the narrow frequency ranges only. With exact neutralization, the constructed two-port network is feedback-free (|S|12,new | = 0 and | Y12,new |= 0) and k= ∞ (Eq. 9.106). If matching is also present at the input and output, the unilateral power gain U is obtained [12, 17]. With the original quadripole parameters, this is as follows: 

2  − 1

U =     k  SS1221  − Re SS1221 1  S21 2  S12

=

|Y21 − Y12 |2 4[Re(Y11 )Re(Y22 ) − Re(Y12 Y21 )]

(9.123)

(9.124)

Example: Source Figure 9.43 shows the power gains for a GaAs-MESFET chip in the source circuit. The transmission gain |S21 |2 in the 50  system is low due to the substantial mismatching present therein. MSG can be indicated for k < 1 only, whereas MAG can be indicated for k > 1 only (cf. Fig. 9.40). U describes the highest achievable gain, which here, for example, at 10 GHz, is 13.5 dB. MSG descreases with 10 dB per decade in freqency. With increasing frequency, U, GT u max , and MAG decrease at 6 dB/octave or 20 dB per decade. At the frequency fmax,U = 50 GHz, we see U= 0 dB. fmax,U refers to the ultimate maximum oscillating frequency. At fmax,U , the two-port network is active (gain> 1), at f> fmax,U (gain < 1) passive, so that fmax represents an upper limit for the field of

9 Amplifiers

843

a 4GHz 7GHz

4GHz • 7GHz • j

•2GHz

10GHz

7GHz

10GHz•

5GHz 3GHz

9GHz •

S11

12GHz

2GHz

1GHz

11GHz

•12GHz 0

0.2

0.5

1

2

3 5

10

15GHz

15GHz•

j b

•4GHz 15GHz

12GHz

10GHz 7GHz

12GHz 10GHz •7GHz • •

• 15GHz

j 4GHz 11GHz

15GHz

7GHz 5GHz 3GHz



S22

1GHz 0

0.2

0.5

1

2

3 5

2GHz

10

j

Fig. 9.42 Stability circles in the: a input and b output impedance plane for a GaAs-MESFET chip (CFY 10) for various frequencies. For comparison, S∗11 and S∗22 are indicated for this chip. The unstable area lies in each case inside the stability circles

844

R. Quay

application of a transistor. Another fmax,M AG can be deduced from the MAG for: f max,M AG = f (M AG = 0 dB) f max,U = f (U = 0 dB)

(9.125) (9.126)

9.3.6 Practical Stability With the stability factor we have inherently defined a quantity which is more than a simple mathematical description. Four conditions are found useful for the solution of the matched circuit active device in Fig. 9.30 which are summarized as follows. The term called MAG (maximum available gain) was defined and considered. Stability can thus be expressed combining the following four conditions: |M AG| > 0 M AG to be a real number. |S11 | < 1 input stability

(9.127) (9.128) (9.129)

|S22 | < 1 output stability

(9.130)

The first two conditions refer to the maximum available gain (MAG), the pair of condition III and IV refers to the matching conditions at the input and output for amplifier operation:

50

40

30

MSG

GTumax

U

10 dB/dec

G (dB)

Fig. 9.43 Gain for a FET chip as a function of frequency. (Unilateral power gain U, maximum unilateral transducer power gain GT umax , maximum available power gain MAG, curretn gain h21 , maximum stable power gain MSG, maximum oscillating frequencies fmax,M AG )

20 h21

MAG

fmax(U)

10

0 0.1

fT (h21) 1

10 f (GHz)

100 fmax (MAG)

9 Amplifiers

9.3.6.1

845

Unconditional Stability

Deduced from Eq. 9.84, the stability factor k has to be k > 1, so that Gmax is real. Thus for frequencies with k ≥ 1 than the two-port is stable independent from the the external matching. We have thus to distinguish between absolute or unconditional and conditional stability. For those frequencies, where unconditional stability is achieved, we read: 2 | (1 + |Δ|2 ) − |S11 |2 − |S22 > 1. (9.131) k= 2|S12 ||S21 | and 2 |S12 S21 | < 1 − |S11 |.

(9.132)

2 |. |S12 S21 | < 1 − |S22

(9.133)

and with Δ = S22 S11 –S12 S21 In this case k is only dependent from the properties of the device or matrix, but not from the source- or load-properties. For unconditional stability, simultaneous matching at input (r Sm =r∗1 ) and output ((r Lm =r∗2 )) is possible without self-excitation of the two-port network. It is to be mentioned that the frequency f for which k = 1, is called fc . The gain at input and output match is again called the maximum available power gain (MAG), which is first of all a complex quantity.  

 Y 

   S21  21  2   · k − k − 1 =  · k − k2 − 1 . M AG( f ) =    S12 Y12

(9.134)

The MAG is again only dependent from the parameters of the quadripole.

9.3.6.2

Conditional Stability

Contrary conditional stability means that, depending on the passive source and loadstates, the device can be either stable od instable. The instability of an active two-port is typically caused by the internal capacitive feedback. For conditional stability k amounts to: 2 | (1 + |Δ|2 ) − |S11 |2 − |S22 < 1. (9.135) k( f ) = 2|S12 ||S21 | This means that for any frequency with k < 1 some matching conditions can be found which yield instability. It can further be deduced from Eq. 9.135, that for k < 1 the danger of instability through the product of feedback and amplification (|S12 S21 |) rises in Eqs. 9.132 and 9.133.

846

R. Quay

Explaining Examples For f ≥ fc , so that k > 1, the chip is unconditionally stable. For f < f0 the borderline between stability and instability is given for either |r1 | or |r2 | = 1. For |r1 | or |r2 | > 1 the input or output resistance becomes negative, i.e., the circuit oscillates. The self-excitation can be avoided if the load reflection r L and the source reflection r S are chosen to be |r1 | f1

9.6.10 The Frequency Pyramid So far, the signals provided had only a single frequency. When dropping this assumption and if we consider nonlinearities, then the situation becomes more complicated. Figure 9.84 gives the spectrum of a two-tone test with frequencies f1 and f2 as well as the mixer pyramid (Fig. 9.85).

9.6.11 Linearity Concepts and Measures It became clear in the previous section that any amplifier, even, in a low power compression region, yields several undesirable frequency contributions to the amplified signal. For some applications, this may be irrelevant, however, for some others this is highly problematic. Thus we need to understand measures to analyze and

884

R. Quay

Psat

P−1 dB

PAE (%)

Fig. 9.86 Compression of the output power P2 versus input power P1 , input power range 1: linear region; input power region 2: compression region; input power region 3: saturation region

PAE

Glin Glin−1

2

1 Pin [dBm]

Fig. 9.87 Input (left) and output (right) spectra for a two-tone measurement with signals with at f 1 and f 2

3

IM

f1

f2

2f1–f2

f1

f2

2f2–f1

f2–f1

quantify nonlinearity. Figure 9.86 gives the compression curve of the RF-output power P2 with respect to input power P1 with respect to efficiency (PAE). We observe the compression of the gain G when the PAE gets closer to its maximum. Up to a gain compression of −1 dB this is named the linear region. Beyond that the region is called compression region and maximizes the PAE. The saturation region 3 is reached if the output power is independent of the input power. In this case the PAE can also go down again, as significant DC-power and RF-input power are invested.

9.6.11.1

Intermodulation

In the compression region 2, the phenomena gain compression and generation of harmonics occur. For modern communications, another concept is more appropriate to quantify linearity. Typically, a signal consisting of two frequencies is used at the input. Figure 9.87 gives the spectra and the input and output of the device. Apart

Fig. 9.88 Intermodulation distorsion, gain, and output power versus input power

885

Pout (dBm)

9 Amplifiers

Gain(dB)

IMD3

Glin

Pin [dBm]

from the frequencies f1 and f2 , a spectrum of additional frequencies components is generated. The two main components are given with power levels P1 and P2 at the frequencies f1 and f2 . At the same time we find significant power contributions at frequencies of: (9.223) f m+n = m · f 1 ± n · f 2 where m and n are integers. In a next step we will correlate the intermodulation to the power compression curve in a two-tone excitation experiment. To that end we define the intermodulation distortion power IMD3 for m= 2 and n = 1 with f1 < f2 : PI M D3,low = Pout @2 f 1 − f 2 PI M D3,up = Pout @2 f 2 − f 1

(9.224) (9.225)

The carrier to intermodulation ratio C/I is defined as [30]: C/I =

Pout PI M D3,(up,low)

(9.226)

where both the upper and the lower value need to be considered. Similarly, higher order moments IMDn from Eq. 9.223 can be considered, with n>3. Figure 9.88 gives the third order intermodulation distorsion and output power, both vs. input power. We observe, that even in the linear region far from gain compression the intermodulation distortion rises linearly. A final statement is to correlate the efficiency and intermodulation. The interdependence of PAE and intermodulation is one of the key correlations of modern communications (compare: Figs. 9.88 and 9.89). Figure 9.90 gives the dependence of the distortion vs. the efficiency achieved. In this case, the IMD3 is plotted, which increases with increasing PAE. The details

886

R. Quay

Fig. 9.89 Power-added efficiency gain, and output power versus input power

Psat

PAE (%)

P–1 dB

PAE

Glin Glin–1

2

1 Pin [dBm]

3

Fig. 9.90 Example of intermodulation distortion IMD3 versus PAE

IMD3 (dBm)

Target

ΔPAE

PAE [%]

of this function can be modified, e.g., by design for linearity. E.g. one goal would be to achieve a plateau in the distortion, so that much higher PAE can be achieved with a minimum of additional distortion, if a certain linearity must be achieved. This is indicated by the dashed line in Fig. 9.90. It can be seen that the dashed curved can be more nonlinear for lower efficiencies and related power levels, however, it is optimized for certain target ranges, where a benefit of ΔPAE is achieved for a given linearity target.

9 Amplifiers

887 0 –10

Power (dBm)

–20 –30

ACPR Low

ACPR Up

–40 –50 Off-set –60 –70 –80 Next channel (left)

Channel

Next channel (right)

Frequency (GHz)

Fig. 9.91 Spectrum of a multi-frequency multi-channel communication signal and generation of out-of-band emissions

9.6.11.2

Peak-to-Average Ratio (PAR) or Crest Factor

Before we come to more complex signals we remind ourselves of the definition of the peak-to-average ratio (PAR) or so called Crest-factor, as given in Eq. 9.227: C=

|x|r ms |x| peak

(9.227)

calculated from the peak amplitude |x| peak of the waveform divided by the RMS value |x|r ms of the waveform. This is an important figure, as modern communication signals comprise complex waveforms with high peak-to-average ratios.

9.6.11.3

Adjacent Channel Power Ratio

The concept of an adjacent channel power ratio (ACPR) is derived from the generation of intermodulation over some bandwidth. This is explained in the next figure. Inside a specific bandwidth the signal is transmitted based on both amplitude and phase modulation. The different spectral contributions lead to continuous out of band contributions out of the band depicted as shoulder in Fig. 9.91. As bandwidth is a limited resource, communication channels have to be packed close. The ACPR is defined as (Fig. 9.92):  ω2 S(ω)dω (9.228) AC P R = ω1 ω4 ω3 S(ω)dω

888

R. Quay

Fig. 9.92 Measured Spectrum of a CDMA communication signal without (green) and with (yellow) linearization

9.6.11.4

Control Dependence of Intermodulation

Based on Shannon fundamental laws, any communication signal requires a minimum bandwidth or multiple frequencies to transport information. For multifrequency input signals, additional mixed products occur at the amplifier output due to non-linear amplifier behavior. The associated non-linear behavior is described in the frequency range by control-dependent intermodulation spacings. As shown in Fig. 9.70, two adjacent-frequency (f1 ≈ f2 , |f2 - f1 | f1 ) unmodulated signals with the same amplitude (P1 (f1 ) = P1 (f2 )) are used to measure these spacings. Due to the third-order non-linearities of the amplifier, mixed products occur at the output, in addition to P2 (f1 ) and P2 (f2 ), at the frequencies 2f1 - f2 and 2f2 - f1 , which are close to the signal frequencies f1 and f2 and are therefore particularly interfering. The intermodulation spacing IM [27] depends on the total input power P1 = P1 (f1 ) + P1 (f2 ) of the two carriers and is defined by the ratio of the powers of the carrier and mixed product at the output (Fig. 9.70) as I M/d B = P2 ( f 2 )/d Bm − P2 (2 f 2 − f 1 )/d Bm

(9.229)

In Fig. 9.93, it is assumed that non-linearities of higher than third order can be ignored. In the linear amplification range, the output power P2 (f1 ) increases proportionally to the input power P1 , whereas the power of the mixed product P2 (2f2 − f1 ) increases proportionally to P31 , so that the intermodulation spacing IM decreases inversely proportionally to P21 [26]. With high level values, a fictitious output power is produced, at which the carrier and mixed product are equally great. This is referred to as the intercept point IP. If nonlinearities of higher than third order can be ignored, the intercept point is independent

9 Amplifiers

889

Fig. 9.93 Relationship between intermodulation spacing IM and input level P1 , IP: intercept point P2 = Pout (dBm)

IP

IM3

P2 (2f2−f1) = IMD3

1 P2 (f1)

1

3 1

MDS1

P1 = Pin [dBm]

Table 9.3 Characteristics of the dynamic range of a GaAs MESFET (and of a GaN HEMT) P−1 dB = 30 dBm (GaN 43 dBm) MDS1 = −95.7 dBm (GaN −88.2 dBm)

G0 = 8 dB (GaN 15 dB) D = 117.6 dB (GaN 116. 7 dB)

IP = 39 dBm (GaN 51 dBm) D f = 84.5 dB (GaN 88. 2 dB)

NF= 5.3 dB (same)

from the control and therefore characterizes the intermodulation behavior of the amplifier by a single number. This precondition is satisfied e.g. by bipolar transistors, but not by field effect transistors. The intermodulation behavior of the latter is well described by the intercept point only for intermodulation spacings greater than around 30 dB [31]. However, if these are less than around 20 dB, the intermodulation spacing generally decreases more markedly than by 1/P21 . A substantial improvement in the intermodulation spacing can therefore normally be achieved in the case of FETs that are operated close to the nominal output power through a small reduction in the output power. In Fig. 9.93, the spurious free dynamic range of the amplifier is denoted D f . This is defined as follows: D f /d B =

2 (I P/d Bm − G 0 /d B − M DS1 /d Bm) 3

(9.230)

FET Examples For a 1 W GaAs power MESFET (MSC 88 004), the aforementioned characteristics typically have the following values at 6 GHz (B = 1 MHz) (Table 9.3): For comparison, a modern 20 W GaN power high-electron mobility is provided with the following characteristics, again at 6 GHz (B = 100 MHz).

890

R. Quay

Fig. 9.94 Contours of constant large-signal gain and circles of constant small-signal gain for a 0.1 W GaAs power FET (P1 = 12 dBm, f = 9 GHz) in the output impedance plane (step width 1 dB) [32]. Wave resistance Z L = 50 

j

11.3dB

j2 A j0.2

j3

7.5dB

j5 B

0

0.2

0.5

j10 1

2

3

5

10 −j10 −j5

−j0.2 −j3 −j2

−j0.5 −j

9.6.11.5

Load Dependence of Intermodulation

The load impedance also has a significant influence on the intermodulation spacing that can be achieved with a field effect transistor with a predefined output power. This is shown in Fig. 9.95 for a 1-W GaAs power FET [34]. By changing the load resistance, the intermodulation spacing IM can be improved by e.g. 10 dB here, if a reduction in the output power by only 0.3 dB compared with the optimum value is accepted. If the relationships shown in Figs. 9.94 and 9.95 are known for a power FET, an optimum compromise in terms of output power, intermodulation spacing, and gain can then be found according to the respective prevailing requirements.

9.7 Hybrid and Integrated Circuit Based Amplifiers 9.7.1 Lumped Elements and Hybrid Components So far the actual realization of the passive elements has not been discussed. As a hundred years ago, single active devices can be very efficiently integrated using lumped elements or hybrid printed circuit board (PCB). This is also true for multistage active circuits with a small number of active and passive components. Figure 9.96 gives a example of an active device in package (actually a packaged FET) integrated with hybrid passive components realized on a printed circuit board.

9 Amplifiers

891 j1.0 j0.5 j2

j0.2

271mW 240mW 210mW 180mW 170mW

17dB

IM=20dB 0

0.5

30dB

45dB 40dB

−j0.2

−j2 −j0.5 −j1.0

Fig. 9.95 Contours of constant output power and constant intermodulation spacing IM in the load impedance plane for a 1 W GaAs power FET (f = 3.96 GHz, Pin = 16 mW) [34]. Wave resistance Z L = 10

Fig. 9.96 Image of a hybrid power amplifier (left) with a packaged FET and (right) and hybrid lumped passive components on a printed circuit board to realize the transmission line and viaholes

892

R. Quay

9.7.2 Integrated RF-Circuits For the modern microwaves, there is a specific trend to integrate passive components with the active elements in integrated circuits. Both the integrated concept and the hybrid approach have their beauties and should in no way be marginalized. They will always be used in a balance. The integrated approach is advantageous due to the following reasons: • The footprint of integrated devices is a lot smaller than of hybrid devices. • Higher microwave frequencies require very precise control of the passive elements in lengths (e.g. positioning of bondwires) and thickness. • More complicated circuits require a large number of connections, which are hard to control in a hybrid fashion. • Packages require modelling with similar complexity to IC approaches. • RF functions are increasingly integrated with digital control and mixed functions in integrated silicon CMOS circuits. Figure 9.97 gives the process flow of a classical RF-integrated circuits, which have up to three metal layers are, with some exceptions, planar. This process flow is typical for GaAs, InP-, GaN-based passive technologies and the resulting passive structures are mostly two-dimensional. It starts with the preparation of the (semi-insulating) substrate followed by the epitaxial deposition of active layers. The process is followed by ohmic formation and device passivation. After that the gate is formed, which is then interconnected by (multiple) layers and then planarized.

9.7.2.1

Example of an Integrated High-Power Amplifier

The design flow of a power amplifier is typically executed in the following order: 1. Selection of the individual transistors (gate width for FETs and emitter area for bipolars) and of the numbers of the transistors based on the output power requirements at a selected frequency or over a dedicated bandwidth. 2. Determination of the impact of the output impedance to efficiency, gain, and output power, and further considerations, such as intermodulation, including harmonic considerations. 3. Selection of the load according to a compromise of gain, output power, and efficiency, and other constraints. 4. Determination of the impedance at the input and its behavior over bandwidth, including the harmonics. 5. Construction of the input- and output networks needed based on hybrid RCLserial or parallel circuits, and deduced from that, transmission line networks delivering the same impedances over bandwidth. 6. Layout of the actual transmission lines for the input and output-networks including verification by EM-simulations and determination of the related losses. 7. Design of the DC-feed lines.

9 Amplifiers

893

a

e

b

f

g c

h d

Fig. 9.97 Process flow of a classical RF-process a substrate preparation, b epitaxy, c device isolation, d Ohmic formation, e device passivation, f gate formation, g interconnect metal, h planarization

8. Verification of the overall circuit stability including loop analysis and small- and large-signal stability. 9. Circuit optimization in iterations of the previous sequence by means of CAD. After these considerations the output stage has a larger gate periphery and consists of four individual transistors to meet an output power of ten Watts. At the input and the output of this stage they are combined by a double combiner structure. The DC-basing is provided from both sides and decoupled through MIM-capacitances. Schematic Figure 9.98 gives the schematic of a four-stage power amplifier. Figure 9.98 gives, in a hierachical representation, the different networks to match the four transistor stages. The transistor sizes used (8 fingers with a gate width of 45 µm) are also given. Realization Figure 9.99 gives the microimage of a four-stage power amplifier in Gallium Nitride high-electron mobility transistor technology in coplanar transmission line technology. We see the four-stage design with the power splitter and combiner networks. The input power is inserted at the left side and split by a power divider into the two transistors of the third and, again at the fourth, stage to be combined at the output.

894

R. Quay

VD VG VG

VD VG

IMN

ISMN

VD VG

8x45

8x45

8x30

RFin 8x25

8x30

VD

ISMN

ISMN 8x30

OMN

RFout

8x45

8x45

Fig. 9.98 Schematic of a four-stage GaN integrated circuit amplifier with the input networks (IMN), output networks (OMN), interstage network (IMN), transistor sizes in μm, and DC-biasing

Fig. 9.99 Microimage of a four-stage integrated circuit amplifier in coplanar transmission line technology with RF-combiners, matching networks, and DC-biasing. Viaholes are added in many places to suppress unwanted substrate modes

9.7.3 Passive RF-Components and Their Use for Matching As was already discussed in previous chapters, passive lumped or concentrated and distributed components are used for the matching of active to specific frequencies. This section shall explain the use of the components.

9.7.3.1

Matching Networks

The output power of field-effect transistors can be increased by increasing the gate width. The input and output impedances are then reduced inversely proportionally to the gate width, so that a low-loss matching to e.g. 50 s becomes increasingly difficult as the gate width increases. Knowledge of the source and load impedances required

9 Amplifiers

895

in the operating frequency range for optimum large-signal operation is necessary for the dimensioning of the input and output matching networks. The equivalent circuit elements of the input and output circuits of the FET must be selected in such a way that they simulate the complex conjugate behavior of the required source and load impedance. The gain of the active element decreasing with the frequency must also be compensated in the design of broadband power amplifiers. Since the achievable large-signal characteristics depend on the output matching in first order, the frequency response compensation must take place in the network for the input matching. However, with lossless networks, matching at the input and output and constant amplification cannot be implemented simultaneously. The reflection factor r achievable in the best case with lossless matching circuits in the broadband domain can be estimated with a relationship first indicated by Bode and Fano [35, 36]: |r | ≥ e−π Q K /Q F ω where Q K = ω2 − ω1 1 and Q F = ω RC

(9.231) (9.232) (9.233)

ω2 is the upper, ω1 the lower band limit of the transmission range. The greater the required bandwidth, the lower the quality Q K . Conversely, Q F describes the quality of the load to be matched, which is given here by the input and output impedance of the FET. According to Eq. 9.231, the reflection factor is large in the case of small quotients Q K /Q F , i.e. in the case of wide bandwidth and high quality of the load. The connection line between the matching network and the load increases the quality of the load and should consequently to be kept as short as possible, or, even better, should be incorporated into the matching network together with the parasitic elements of the load. Accordingly, the bandwidth achievable with a specific matching circuit depends, inter alia, on the permissible voltage standing wave ratio (VSWR), on the required transformation ratio and the number of reactive elements used. Real matching circuits can essentially be implemented with concentrated and/or distributed elements. Minimal inductances Lmin ≈0.2 nH and minimal capacitances Cmin ≈0.1 pF can be set up in discrete form. The wave resistances that can be implemented with strip lines are roughly between 20 and 150 s. Greater transformation ratios can generally be implemented with concentrated elements than with distributed elements. Some design methods for matching circuits are based on concentrated elements. These can be converted into the corresponding distributed elements, e.g., with the relationships set out below.

896

R. Quay

  2πl Z0 tan for l ≤ λ/4 L= 2π f λ   1 2πl C= tan for l ≤ λ/4 2π Z 0 f λ √ εr l Z 0 L= for l ≤ λ/10 c √ εr l for l ≤ λ/10 C= c Z0

(9.234) (9.235) (9.236) (9.237)

Inductances can be implemented by means of short-circuited lines with highlydistributed inductance and low-distributed capacitance, i.e. by means of lines with a high wave resistance (e.g. 120 ). Capacitances, on the other hand, can be simulated by means of open-circuit lines with a low-wave resistance (e.g. 25 ). l is the length of the required line pieces. The dimensioning of two conventional matching circuits is indicated below.

9.7.3.2

L-Transformation

Figure 9.100 describes the dimensioning of the L-transformation, in which two reactive elements are used. This circuit transforms the real resistance R into Z0 at a frequency f0 . The reactive resistance X P lies parallel to the higher of the resistances Z0 in Fig. 9.100. With e.g. a transformation ratio of n = R/Z0 = 8 and a VSWR of 1.5, a bandwidth of around 17% is thus achieved.  R Z0 Z 0 > R, X S = ± R(Z 0 − R), X P = − XS  R R Z0 , XS = − Z 0 < R, X P = ±R Z0 − R XP

a

b

Xs Z0

(9.238) (9.239)

Xs

Z0 Xp

R

Xp

R

Fig. 9.100 L-network for the transformation of a real resistance R to Z0 : case a: Z0 > R; case b: Z0 < R

9 Amplifiers

897

However, the input and output impedances of an FET are generally not real, but complex. The reactive component must therefore be compensated before the Ltransformation is applied. The input impedance of the FET may, for example, frequently be described approximately by a series circuit of R and C. In this case, the capacitive reactive component can be compensated at f0 by a suitable series inductance.

9.7.3.3

λ/4 Transformation

A λ/4 line with the wave resistance Z L is able to transform the real resistance R into the real resistance Z0 .  (9.240) Z L = R Z0 With a λ/4 transformation of this type, a bandwidth of around 20% can be achieved with a transformation ratio of, for example, n= 8 and a VSWR of 1.5. Figure 9.101 shows single-stage, compensated λ/4 transformers together with the design equations [26]. A bandwidth of around 24% is therefore achieved with a transformation ratio of e.g. n = 8 and a VSWR of 1.5. Wider bandwidths and/or higher transformation ratios can be achieved with multi-stage L or λ/4 transformers. Chebyshev transformers, which also offer good matching at the band limits, are also frequently selected for wide bandwidths [38, 39]). As the most simple and principal examples Fig. 9.111 gives a set of relevant passive RF-components used for matching. The microstrip transmission line is the most commonly used interconnect element. It is described by the equivalent circuit depicted and discussed further below again with the distributed elements.

9.7.3.4

Losses in Transformation Circuits

Power FETs or bipolar transistors have low impedances. High currents therefore flow in the matching circuits, so that their losses must be verified before the circuit is implemented. An estimate of the losses can be made with the principle of constant effective power. This principle is based on the notion that no effective power is consumed in (ideal) reactive resistors. The currents and voltages in the transformation circuit assumed to be lossless from Fig. 9.102 are calculated as follows: P = |I1 |2 R1 = |I2 |2 R2 = |I3 |2 R3 = |U1 |2 G 1 = |U2 |2 G 2 = |U3 |2 G 3

(9.241)

However, real reactive resistors have losses which, as shown in Fig. 9.102, can be taken into account by introducing additional effective resistors. If the losses in the components are small (Q≥10) compared with the transmitted power, the currents and voltages can be calculated in close approximation with Eq. (9.2/11). The total loss Pv of the transformation circuit is derived from the sum of the losses in the real reactive resistors as:

898

R. Quay /4

/2

Z1 = RZ0

Z2

Z1

Z0

T=

2Q 1 − 2

TZ0 + Z2= − 2

C

L

/4

Z1 = Z0R

Z1

Z2=

R /4 Z2

Z0

Z0 Z 1 − Z1 Z 0 TZ0 2 + Z 02 2

(9.2/10a)

Z1 Z 02 Z 12 − Z 02 + 4Z1Z 0Q

0RC

Q=

(9.2/10b)

/4

Z1= AZ2

/4

Z2= BZ0

Z2

Z1

Z0 C

A = R/Z0 A 2 − Q± B= 1+A

L

2Q 2 [1+A] 2 + A

A>B

(9.2/10c)

R Z2 = Z0R Q=1/

0RC

0.67

2 1 Z1=4 Z 2 · Z0 4Q+ Z0 Z2 − Z2 Z0

Z1 /4

Q 0.33

Z2 Z1

Z0 (9.2/10d)

Fig. 9.101 Compensated λ/4 transformers for broadband matching of the loss resistance R of a parallel or series resonant circuit to Z0 [26]

PV = PV L2 + PV C2 + PV L3 = |I1 |2 R L2 + |U1 |2 G C 2 + |I3 |2 R L3 .

(9.242)

The quality of the inductances or the capacitance is given by: Q L2 =

ωL 2 ωC2 ωL 3 , Q C2 = , Q L3 = R L2 G C2 R L3

(9.243)

The losses can therefore be calculated according to PV = |I1 |2

ωL 2 ωC2 ωL 3 + |U1 |2 + |I3 |2 Q L2 Q C2 Q L3

(9.244)

This equation shows that high series reactive resistances and high parallel reactive conductances are to be avoided in transformation circuits. This requirement is met

9 Amplifiers

a

899

I3

L3

L2

I2

U2

U3

I1

U1

C2

R C

R3 G3 P

b

I3

R1 G1 P

R2 G2 P L3

RL3

I2

L2

PVL3 U3

RL2

I1

PVL2 U2

C2

GC2 PVC2

R U1 C

Fig. 9.102 Calculation of the losses in a transformation circuit: a lossless; b lossy transformation circuit

by short paths in the Smith diagram, wherein a pre-matching of the FET chips in the housing [37] can also make a contribution. At 6 GHz, the quality Q L of bond wire inductors is typically 50–80, and the quality QC of chip capacitors is typically 30–60. In both cases, the quality is limited by skin effect losses [37], so that the following applies: QL =

√ ωL 1 1 ∝ ω, Q C = ∝ 3/2 RL ωC RC ω

(9.245)

Q L increases proportionally to the root of the frequency, whereas QC decreases inversely proportionally to ω3/2 . Capacitors therefore make a substantial contribution to the total losses at high frequencies. In [37] the losses for a transformation circuit with 2, 4 and 6 reactive elements, but in each case with the same transformation ratio (n = 50), at 6 GHz are compared with one another. It is shown that the losses (around 1 dB) do not depend in practice on the number of reactive elements. However, the bandwidth increases with their number from 20 to 60%, but more than around 6 elements in practice bring no further improvement in terms of the bandwidth due to the tolerances of the reactive elements. At 6 GHz, a low-loss matching of power FETs with input resistances down to 1  is possible. At even lower input resistances, the matching losses increase sharply and limit the output power thus achievable. Higher output powers can be achieved by the power combining method discussed below.

900

9.7.3.5

R. Quay

Power Combining

With the high integration levels modern (CMOS-based) RF-electronics tends to combine high number of transistors, e.g. more than 100, in order to obtain higher RFoutput powers. This is based on highly-scaled transistors with high cut-off in a highly sophisticated low-loss passive multilayer stack. More classically thought and based on previous considerations for a given frequency there is a maximum power per device which can be extracted. To increase this power, multiple devices can be parallelized and combined by passive networks, which are typically lossy to some extent. As explained, in the following, the power cannot be increased infinitely. Let us consider the power of a single device to be Psingle . In a lossless environment the maximum power ideally scales with the number of devices to the total power Ptot . Ptot =

n 

Psingle,k

(9.246)

k=1

Typically, the individual devices to combined are identical. However, due to the distributed nature of the combining, their contributions to the total power are not identical. At any reasonable RF- and microwave frequency, the passive losses on a combiner network are not zero. Further, the phase distribution over the individual designs needs to be accommodated for. This typically leads to additional losses in the networks. The output power of FETs or HBTs cannot be increased arbitrarily by increasing the gate width, since the input impedance of the transistors is ultimately so low that a low-loss matching to e.g. 50 is no longer possible. However, there are two further options for increasing the output power, in which this difficulty is avoided: 1. The powers of several pre-matched FET chips can be combined to form a correspondingly higher total power (power combining). The pre-matching is carried out directly on the chip with concentrated networks. In this way, the low chip impedances can be transformed to higher values and the pre-matched chips (mainly 2 to 4 chips) can then be connected in parallel. In this procedure, the circuit dimensions are much smaller than one wavelength; however, the chips are not decoupled from one another. With the increase in gate width, the width can reach fractions of critical wavelenght, such as λ/4. An example of this is shown in Fig. 9.103 The two GaAs-MESFET chips with a gate width of 5.6 mm are installed with the matched network in a housing [37]. Without further external matching, this configuration in the 50  system delivers an output power of 2.5 W in the frequency range from 4.2 to 7.2 GHz. The amplification is (5.5 ±1.5) dB, the efficiency around 20%. 2. The second option for increasing power is based on the combination of the powers of n amplifier units using couplers [26, 41]. Three conventional coupler structures are shown in Fig. 9.104 The structure a by necessity has a binary number of inputs (n = 2x) and is made up of n − 1 3-dB couplers (e.g. Wilkinson or Lange couplers). For the structures b and c, n does not have to be a binary number. The

9 Amplifiers

901 L4

L7

open

w

L2

Z0;I2

C3

L P1 C1

L2 L4

L7

P2

Z0;I1

Z0;I2

w C3

open

Fig. 9.103 Through power combining of pre-matched GaAs-MESFET chips, 2.5 W are achieved in the 4.2–7.2 GHz frequency range (G = 5.5 ± 1.5 dB; w= 5.6 mm; L= 0.1 nH; C1 = 1.49 pF; L2 = 0.96 nH; C3 = 4.3 pF; L4 = 0.15 nH; L7 = 0.19 nH; Z0 = 50 ; l1 = 1.15 mm; l2 = 2.5 mm; εr = 9.8) [37]

serial combiner shown in Fig. 9.104b similarly consists of n - 1 cascaded couplers, each of which contributes the amount 1/n to the total power. The coupling factors must be selected for this purpose according to the figure. The structure shown in Fig. 9.104c is a Wilkinson n-path combiner. The powers of n amplifier units can thus be added together with one coupler. The following requirements must be imposed on combiner structures: losses and VSWR must be low; conversely, the decoupling of the gates and the amplitude and phase symmetry must be as high as possible. The combiner function is used in each case at the output of the amplifier stage concerned. Conversely, at the input, the combiner is operated in the reverse direction as a divider. An example of this possibility for increasing power is shown in Fig. 9.105 [42]. This involves a GaAs-FET amplifier for the 9 to 10 GHz frequency range with an output power of 5 W, an amplification of 41 dB and an efficiency of 8.3%. The amplifier is made up of 8 balanced stages, in which the power is in each case divided or added by means of 3-dB couplers. The width and therefore the output power of the transistors used in the stages increases in the direction of the output. In the final stage, four transistors operate in parallel. Two further examples of power combining in GaAs-FET power amplifiers: [43] examines an 80 W amplifier for 5.9–6.4 GHz with 18.9% efficiency, while [44] discusses an 8.2 W amplifier for 17.7–19.1 GHz. 9.7.3.6

Scaling Rules for Combining in a Lossy Environment

The combination of various transistors to increase the output power is based on the assumption that this power combining can be pursued with a minimum of combining losses. At increasing frequencies this assumption has to be modified. As is given in [45] the total output power when combining n-single output power ports Pout in a lossy combiner environment yields:

902

R. Quay

1

X

2

X

X X

X

X

X

X

X X

X X

X

X

X n

X

X 2n

2n-1

2

1

21

20 = 1

n

3

3dB

4.78dB

6dB

10 log n dB

n

2 1

Z = Z0 Z = Z0 n /4 Z = Z0

Fig. 9.104 Combiner structures: a tree comprising 3-dB couplers; b serial combiner comprising cascaded couplers; c Wilkinson n-path combiner

9 Amplifiers

903

x

P1

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

x

FSX 51 P−1dB/dBm 17 w/mm

0.3

FSX 51 17 0.3

P2

x

FSX 52

FSX 03

FSX 03

FSX 06

FLC 301

23

26

26

30

33

33

0.6

1.2

1.2

2.4

7.2

7.2

FLC 301

Fig. 9.105 Block diagram of a 5W GaAs-FET amplifier for 9–10 GHz[42] Drain

WG

S

S Gate

Fig. 9.106 Schematic of a coplanar multifinger structure

Ptot = n · (Pout − Ploss )

(9.247)

Equation 9.247 accounts for the losses in magnitude, not in phase.

9.7.3.7

The Gain Scaling with Device Size

As we have seen, the output power from a single transistor is limited based on a maximum voltage and current limitation. Thus the only free parameter to increase the power is to increase the absolute device current and thus device size. However, as we are discussing RF-devices at a specific frequency, the increase in device size is traded with gain and resulting efficiency at this specific frequency. For both FET and bipolar devices, multifinger devices are required to increase the current. A single finger alone cannot be increased in size indefenitely, as at some point its extension will be in the order of the wavelength of the exciting signal, so that the individual parts of the fingers cannot be in the same phase. Figure 9.106 gives the schematic of a multifinger structure. We see that the power is distributed to the individual fingers by means of bus structures. Three effects are now of crucial importance to the power

904

R. Quay

Coutput, paras

Ldrain bus

Ldrain bus

Ls

Ls Lgate bus

Lgate bus

Cinput, paras

Fig. 9.107 Parasitic losses induced by a multifinger structure through the additional parasitic source inductance Lsour ce , the added capacitance of the bus Cinput, paras , Cout put, paras , the losses of the gate or base finger Rg , or Rb , and the additional contribution through the parasitic L S

scaling. Equation 9.248 give the semi-quantitative approximations of the maximum frequency of oscillation with the parasitics:  f max,bi polar =

fT Rbb C jc

(9.248)

1. The busses are lossy structures, which in addition have a capacitive and inductive parasitic contribution. 2. Further, the spatial distribution of the source feeders requires special attention to minimize the effective source inductance. 3. In addition, the gate or base extension adds an additional lossy contribution to line resistance of the emitter. These three effects have a reductive impact on gain at a specific frequency,especially for frequencies near f c for k ≈ 1 (Fig. 9.107).

9.7.3.8

Distributed or Travelling Wave Concepts

The previous considerations are based on a parallel combining of devices which are in phase. However, there is also an alternative making use of the phase relations [46]. Figure 9.108 gives the principle of a traveling-wave amplifier (TWA). The amplifier consists of multiple devices, which are combined with respect to the phase correlation. The input signal is sent through multiple parallel pathes which are designed

9 Amplifiers

905

to interfere constructively at the output transmission line. The principle leads to a combination of the individual output power. This concept is especially suitable for broadband amplifiers, as in conventional amplifiers, one cannot increase the gainbandwidth product by paralleling FETs, as the resulting increase in transconductance gm is compensated for by the corresponding increase of both input and output capacitances. The distributed amplifier overcomes this difficulty by adding the individual transconductances of the FETs without adding their input and output capacitances [47]. To that end Fig. 9.109 gives the schematic of a traveling wave amplifier. In the pure case, we have no matching at the input of of the transistors in each individual path. The relative phase of the input signals is determined by the length of the transmission lines between the input and is given by the inductance Lg in Fig. 9.109. The inductance Lg /2 at the input serves a phase shifter. In general, it greatly helps, that an input of a transistor is typically capacitive. This fact in combination with the inductive nature of the transmission line, makes sure, that some power in transferred into the transistor. The main working principle is thus based on the idea, that the input impedance of any transistor is capacitive, which is automatically compensated by the main inductive contribution of any inductive line. At the output the signals are added constructively given by the inductance L D . It is vital for the input signal to be as weak as possible before entering the resistor RG , as this power is dissipated and

Output ZD ZD

Input

ZG

Fig. 9.108 Principle of the travelling-wave amplifier in a wave representation LD/2/2

LD

LD

LD

LD/2/2

Output

ZD ZD

Input Lg/2

Lg

Lg

Fig. 9.109 Schematic of the traveling-wave amplifier

Lg

Lg/2

ZG

906

R. Quay

Fig. 9.110 Microimage of a travelling-wave amplifier based on bipolar technology [48]

lost. The gain of the TWA is thus increased by the number of transistor provided in the TWA other than in a conventional amplifier. The only limit to this gain increase are the transmission line losses, which will suppress endless gain increase especially at increasing frequencies. Figure 9.110 gives the microimage of a real travelling wave amplifier based on InP bipolar transistors for a bandwidth up to 110 GHz [48]. The regular structure ensures the maintainance of the relative phase through the individual branches with the active InP HBTs for every frequency from the lower left to the output at the top right. Eight active devices are used.

9.7.3.9

Lumped-Elements

The serial and parallel metal-insulator-metal capacitances are described by the main capacitive elements plus inductive and capacitive line elements derived from the transmission line in a.) at their respective inputs and outputs. Figure 9.112 further yields a compact inductor structure. The capacitive elements in the inductor structure lead to overall capacitive behavior beyond a certain frequency. Further the resonances created by the parastics have to carefully analyzed prior to the design. Interdigital capacitances are used in integrated circuits, as they are easily realized with fewer numbers of layers. Viaholes are used to connect frontside to the backside, or more complicated, different metal layers in an integrated circuit. Bondwires in various configurations connect different pads through a line connection over ground in a hybrid fashion. Essentially this is described in RF by a line with an effective height over ground. Various models have been developed:     l 1 l2 r2 r μ0  · l ln + 1+ 2 − 1+ 2 + + ] L= 2π r r l l 4

(9.249)

9 Amplifiers

907

a

c

b

Substrate

Substrate

Substrate

L1 L2 R

L

R

R

L

C

C L

C C2

C1

C1

C2 R

serial

parallel

Fig. 9.111 Schematics of typical RF-matching elements and their equivalent circuits: a microstrip transmission line, b serial MIM capacitor, c parallel MIM capacitor

The model from Eq. 9.249 is one option which uses the parameters: l: length of the bondwire, r: radius of the bond wire, and μ0 the permeability of free space [49]. This modeling is a great importance, as, relatively, hybrid wires typically induce a relatively high amount of inductance and capacitance (nH), relative to other parasitics in e.g. integrated circuits.

9.7.3.10

Distributed Elements

Another class of elements are based on distributed effects. The so-called quarterwavelength transformation is essential in this case. A quarter-wave length transmission line is extremely helpful to transform the impedance in the Smith Chart by a phase of 180◦ . For a transmission line with half-wave length, the input impedance Zin is the one of the load Z L . Figure 9.113 illustrates the transformation in the SmithChart for the two situations. Figure 9.114 gives more complex elements derived from quarter-wave transformation and the coupling of transmission line elements, such as the Wilkinson divider or combiner [50, 51] . The Wilkinson divider/combiner other than a resistive power splitter shall provide matching on all ports, and provide a −3 dB (minus trasmission line losses) coupling to both output ports (see Fig. 9.115 ), and provide high isolation between the output ports, in the ideal case to obtain:

908

R. Quay

ff.)

e

d

L1 L2

C R R

L

L C2

C1 C1

C

C

L

C2

C2

C1 R

serial

parallel

Fig. 9.112 Schematics of typical RF-elements used for connection and their equivalent circuits: d compact inductor e interdigital capacitance serial f interdigital capacitance parallel

|S11 | = |S22 | = |S33 | = 0 1 |S21 | = |S31 | = √ = −3 d B 2 |S23 | = |S32 | = 0

(9.250) (9.251) (9.252)

Example of a Wilkinson Divider The case of a symmetric Wilkinson divider for a Z0 = 50  environment, at the given frequency, a transmission line if chosen, which which has a higher impedance than the Z0 = 50 s, as the combination of the two transmission lines with the higher impedance needs to generate the 50  in parallel configuration, so that no impedance step is produced at the heart of the coupler. Further, the length of the two transmissions lines needs to be λ/4 equivalent to a 90◦ phase shift. In the idealized case, the resistance R is 100 . This will affect the isolation slightly, however is useful, if any wave is reflected at port 2 (or 3), this power will then undergo a 2×90◦ phase transformation to port 3 (or 2), and lead to good isolation of ports 2 and 3 (extinction). Variants of the divider coupler can also include further built-in impedance transformation, or, asymmetry of the ports for power distribution. Couplers A coupler is a device to separate a defined fraction of the power to additional port with creating losses in the actual process of doing so and maintaining defined

9 Amplifiers

909

50j 100j

25j I= /4 10j

250j

10

25

50

100

250

−10j

−250j ZL

I= /8

−25j

−100j −50j

Fig. 9.113 Illustration of the impedance transformation of serial lossless transmission line with lenght l in units of the wavelength λ

isolation between some of the ports. The device consists of four ports. Next to the input port (P1) there is the through port (P2), the coupled port (P4) with a 90◦ phase shift relative to the through port and the isolated port (P3). The equation to describe the behavior can be expressed ideally: |S11 | = |S22 | = |S33 | = |S44 | = 0 (matching) |S31 | = |S24 | = 0 (isolated outputs)

(9.253) (9.254)

|Si j | = |S ji | = 0 (reciprocal)

(9.255)

The equations to describe the coupler read: RSL (return loss) = −20 log |S11 | ISL (insertion loss) = −20 log |S21 | Coupling = −20 log |S41 | Isolation = −20 log |S31 |    S31    Directivity = −20 log  S  41

(9.256) (9.257) (9.258) (9.259) (9.260)

910

R. Quay

h

g

i Z2, /4

Max. H Substrate

L2

L1

Z2 = 2Z0

Z2, /4

Z0

Port 2

R = 2Z0

2Z0,

R

Z0

/4

C Z0

L C1

Port 3

Port Z 0 1

Substrate

Ground plane

L1

Z0

C2

C1

C2

R= 2 Z0 /4

R

2 Z0

Z0

Fig. 9.114 Schematics of typical RF-elements and their equivalent circuits g a viahole to the backside h a bondwire i a Wilkinson Combiner

Z2, /4

Port 1

R

Z0

Port 3

Z0

Port 2

Z0

Z2, /4

Z2 = 2Z0

R = 2Z0

Fig. 9.115 Schematic of an idealized Wilkinson divider/combiner with n= 2 and the principal ideas as a special case of Fig. 9.104

9 Amplifiers

911

input port (P1)

isolated port (P3)

coupled port (90-) (P4)

through port (P2)

Input port(P1)

Coupled port (P4)

Isolated port (P3)

through port (P2)

Fig. 9.116 a Layout of a Lange coupler and b a practical realization n microstrip transmission line technology [53]

As another quarter-wavelength-structure, the Lange coupler[52], also called a 90◦ hybrid, is given in Fig. 9.116. The Lange coupler is an interdigitated coupled-line directional coupler, typically composed from microstrip lines (Fig. 9.116). Numerical Example of a Lange Coupler A practical realization is given in Fig. 9.116. The following equations has been used to estimate the length of the finger, as the Lange coupler is a generic quarter-wavelength structure. L=

c λ = √ 4 4 · f 0 · εe f f

(9.261)

A Lange coupler in microstrip configuration thus will stretch in frequency up to the mm-wave, however, it requires high precision for the actual line definition. E.g. for f0 = 30 GHz and with an effective εe f f = 9, we get a finger length of L =0.8 mm. Rat-race Coupler A rat-race coupler (derived from its circular shape) is the realization of a 180◦ hybrid. The principle and typical layout is given in Figs. 9.117 and 9.118. A rat-race coupler has the overall length of 1.5 λ (or better 6 × λ/4) and makes use of the quarter-wave transformation. It can be used in multiple ways: (a) to divide or combine signals in-phase with low losses, further (b) it also serves as a 180◦

912

R. Quay 2Z0,

3 l 4 Port 2 (Isolated Port in a.)

Port 1

2Z0,

l

2Z0,

4

Port 4 (Input Port in a.)

2Z0,

l 4

l 4

Port 3

Fig. 9.117 Layout of a rat-race coupler for the situation a with in-phase outputs 2Z0,

3 l 4

Port 1 input

2Z0,

Port 2

l

2Z0,

4

Port 4 Coupled port

2Z0,

l 4

l 4

Port 3 Isolated port

Fig. 9.118 Layout of a rat-race coupler for the situation b with 180◦ phase shift

phase splitter with an equal√ magnitude of the output signals. The impedance of the transmission line is fixed at 2Z 0 . For (a) If you feed the signal port 4 in Fig. 9.118, port 1 and port 3 will receive a 3 dB split, and port 2 will be isolated. The phase of 1 and 3 will be zero (no shown in Fig. 9.118). For (b) If port 1 serves as the input port, than port 2 and port 4 yield the same magnitude (−3 dB) with a 180◦ phase shift, and Port 3 is the isolated port. Based on this bevior, port 4 is sometimes referred to as the input “sum port”, while input port 1 is referred to as the “delta port”.

9 Amplifiers

9.7.3.11

913

A Stub Structure

As a derivation of the quarter-wavelength transformation a stub structure is the combination of two transmission lines with characteristic impedances. It is very useful to realize arbitrary impedances for matching, and, as shown in Fig. 9.119 can be used with an open or short structure at the end. Figure 9.120 illustrates the transformation in the Smith-Chart. Stub structures can be nicely used in various functions based on their wavelength-dependent nature. They are first of all very easy to be realized and secondly their tolerances are quite minor. Figure 9.119 gives the stub elements and their equivalent-circuit elements for the different relative wavelength configurations for both the open and shorted version of a stub. As a summary of this overview typical passive elements, their geometries and values are compiled in Table 9.4.

9.7.4 Transmission Lines and Parameters For the use in integrated circuit a set of passive RF-components is typically used. Such components and their functions are typically standardized in passive libraries (Table 9.5). The description of the different transmission line techniques given in Fig. 9.121 is provided in the following. l < l/4

l = l/4

l/4< l 0 =0 0 u

10 Oscillators and Frequency Synthesis Fig. 10.36 Oscillation generation by means of a dynatron, static dynatron characteristic curve: “N-characteristic curve”

985

i

di/du >0 =0 0

A

u

It was recognized in early days of microwave engineering that non-linear devices of S-type can be used as an equivalent negative resistance element in a series circuit only, while the non-linear devices of N-type can operate with a parallel circuit only (“Rukop’s problem” [17]). S-type oscillation generators are (apart from the electric arc) the double-base diode and the four-layer diode. Apart from the dynatron (a triode with a positive grid voltage > anode voltage), the N-type also includes the tunnel diode. Negative differential resistances can be generated by means of suitable circuits with tubes [18, 19] and transistors [20]. In all these elements, the frequency of the generated oscillation is determined by the external wiring in relation to existing parasitic reactances and other effects. These contrast with elements in which the frequency of the generated oscillation is essentially determined by the sequence of movements of the charge carriers in the semiconductor material. These are the transferred electron device (TED) and the avalanche transit-time diode. Oscillations can also be excited with space charge diodes [21–23].

10.3.1 Tunnel Diode Oscillators Oscillator circuits [24, 25] can be implemented well beyond 100 GHz with the tunnel diode discovered by Esaki in 1958 [26] during the study of heavily doped pn-layers. Compared with tubes and transistors, the tunnel diode is an element with a substantially lower resistance. In contrast to other semiconductor oscillators (Gunn diode, see Sect. 10.3.2) or IMPATT diode (Sect. 10.3.3), the tunnel diode achieves only a low output power due to the negative differential resistance occurring in the characteristic curve at comparatively low voltages. The current–voltage characteristic curve according to Fig. 10.37 is of the N-type. The small-signal equivalent circuit (Fig. 10.39) for an operating point in the falling part of the characteristic curve consists of the negative resistance −Rn (Rn > 0) and the parallel capacitance C j formed by the capacitance of the pn-junction. The path resistance is taken into account with RB , the lead inductance with L s . A simple

986

V. Issakov and U. L. Rohde I

Fig. 10.37 Different load lines in the static characteristic curve of the tunnel diode

RRn 2

IT

DU

UH

UB

UT

U

oscillator circuit is obtained if the tunnel diode is wired according to Fig. 10.38 with a voltage source U B , an inductance L Z and a load resistance RL [27]. The small-signal equivalent circuit for this purpose is shown in Fig. 10.39. From the node equation i n + i c + i L = 0, the differential equation of this arrangement follows with L = L s + L Z , R = RB + RL and u = RiL + LdiL /dt and i L = u/Rn − Cj du/dt Fig. 10.38 Tunnel diode with wiring

LZ RL

TD

UB

Tunnel diode

Fig. 10.39 Tunnel diode equivalent circuit with outer circuit

iL

in

RB

iC

LS Lz

u

–Rn

Cj RL

10 Oscillators and Frequency Synthesis

987

  LCj d2 u/dt 2 + RCj − L/Rn du/dt + (1 − R/Rn )u = 0

(10.3.1)

and where u = U0 ept the main equation   F( p) = p 2 LCj + p RCj − L/Rn + 1 − R/Rn = 0.

(10.3.2)

The following are obtained as solutions for p:

p1,2

    1 1 1 − R/Rn 1 R 1 R 2 ±j = σ0 ± jω0 = − − − . 2 C i Rn L LCi 4 C i Rn L (10.3.3)

Periodic oscillations are possible only if the radicand in Eq. (10.3.2) is positive, i.e. R = RB + RL < Rn

(10.3.4)

applies. This equation will be referred to as the DC current stability conditions. For R > Rn , the operating point A in Fig. 10.37 is unstable; the two intersection points 1 and 2 of the load lines with the characteristic curve are stable. The diode can operate here as a switch. If oscillations at the frequency ω0 are self-excited from the noise, σ 0 must be positive according to Eq. (10.3.2), i.e. R = RB + RL < (L S + L Z )/Rn Cj .

(10.3.5)

This will be referred to as the AC current stability condition. If (L s + L Z ) < Rn2 Cj and R is between the two values given by Eqs. (10.3.3) and (10.3.4), the circuit is stable and can be used for amplification. The maximum oscillating frequency of a tunnel diode oscillator is determined by the limit frequency of the diode. It is defined as the frequency at which the real component of the small-signal input impedance of the diode becomes zero. It is obtained from Eq. (10.3.2) for L Z = 0 and RL = 0: f 0 max

1 = fc = 2π Rn Cj

Rn − RB . RB

(10.3.6)

The maximum oscillating frequency of the circuit according to Fig. 10.39 is achieved with L Z = 0 at the self-resonance frequency of the diode: 1 Ls $ fr = 1− . Cj Rn2 2π L s Cj

(10.3.7)

988

V. Issakov and U. L. Rohde

Given that, according to Eq. (10.3.4), the value L s > C j Rn RB remains for RL = 0 and L Z = 0, f r < f c = f 0max is found from Eq. (10.3.7). Oscillations above the self-resonance frequency f r , but always below the limit frequency f c , are possible if the diode is suitably wired with an additional capacitance [13]. For the previous calculation, the diode characteristic curve was approximated at the operating point A by its tangent. The amplitude of the oscillations would then increase exponentially according to Eq. (10.3.5). However, a stationary final value is actually set, since the effective de-attenuation decreases as a result of the bending of the characteristic curve. The deviation of the oscillation shape from the sinusoidal shape increases as the values of the L/RRn C j ratio increase. The current curve is distorted by the 3rd harmonic much more severely than the voltage curve [19]. The resulting course of the oscillation process is described according to the characteristic curve by a non-linear differential equation. It was previously determined with graphical or numerical methods only [14–16, 22]. Analytical solutions can be found in [19–21]. If the characteristic curve of the tunnel diode is approximated by a cubic parabola, the maximum deliverable power with control around the operating point A [17] with the amplitudes U and I according to Fig. 10.37 is as follows: Pmax

)  2 * f 3 U I 1 − ≈ for f ≤ f c . 16 fc

(10.3.8)

The deliverable power decreases toward higher frequencies as a result of the path resistance RB and the barrier layer capacitance C j and becomes zero at the limit frequency of the diode. The voltage control range is in each case more or less constant for a semiconductor material. It is e.g. for germanium U ≈ 250 mV and for gallium arsenide U ≈ 350 mV. For microwave diodes, the current control range I ≈ I H is I ≈ 1 to 20 mA. For example, a power P = 10 mW is achieved at 5 GHz, and a power P = 2 mW is achieved at 10 GHz with an efficiency of 2% [18]. The output power can be increased through the parallel operation of several tunnel diodes [10]. To enable operation as an oscillator, the tunnel diode is installed in a resonator. In the coaxial resonator shown in Fig. 10.40, the oscillator power is fed via the decoupling loop to the external load resistor (RL in Fig. 10.39), the short-circuit plunger is used to set the terminal reactance of the diode required for oscillation operation, which is shown in the equivalent circuit 10.39 as the inductance L z . The DC voltage required to set the DC current operating point is supplied via a low-pass network.

10 Oscillators and Frequency Synthesis

989

Decoupling loop DC voltage connection –

coaxial line

Low-pass Short-circuit

+

plunger Capacitor S-type or N-type element (tunnel diode or Gunn element, IMPATT or BARRIT diode)

Fig. 10.40 Coaxial resonator with tunnel diode

10.3.2 Transferred Electron Devices (Gunn Elements) as Oscillators In 1963, Gunn [23] discovered that current oscillations occur in n-doped GaAs samples when a specific electric field strength is exceeded. The cause of this lies in the special band structure of the GaAs, which, along with the main minimum, has a secondary minimum with a lower electron mobility in the line band, if the electron energy is plotted [28, p. 328] as a function of the wave number k in the crystal direction [29]. With increasing electric field strength, the electrons move from the main minimum over to the secondary minimum above a critical value E K (electron transfer). The existence of the Gunn Effect had already been predicted by Ridley, Watkins [26] and Hilsum [24]. Kroemer showed that the electron transfer mechanism is the physical cause of this [25]. Gunn elements are used as low-power ( E K , the electric field does not remain uniform, but space charge packets, referred to as domains, form instead, and travel through the element from the negative to the positive terminal at the mean drift speed of the electrons [28, 30]. The domain formation is excited on the negative contact in a lightly-doped small area of the otherwise evenly doped n-layer. The field strength which is increased here increases further due to the negative mobility and therefore negative dielectric relaxation time, until a stable field distribution is

990

V. Issakov and U. L. Rohde

a

b

L (1...20 m) 100 m

Metal Metal contact

–(K ) n

Gold wire

Active zone

GUNN element

n* Substrate

Ceramic

Metal contact

+(A)

Metal

Fig. 10.41 a and b: Gunn device: a basic structure; b mounted in the housing

l lK a b

UH U K=EK.L

U

Fig. 10.42 Current–voltage characteristic of a Gunn element where n0 L > 1012 cm−2 : ‘a’ static characteristic curve without domain; ‘b’ dynamic characteristic curve with traveling of a domain

established through saturation. In the rest of the element, the field strength falls due to the constant terminal voltage to values E < E K (see Fig. 10.42). An increase in the terminal voltage increases the field strength in the domain, but allows it to fall outside, thereby reducing the current. The characteristic curve for this is shown by the curved branch b in Fig. 10.42 [31]. For voltages below the holding voltage U H , the domain is decomposed. If the domain reaches the positive contact, it disappears and triggers a current pulse in the wiring through the transition from the dynamic to the static characteristic curve in Fig. 10.42 (Fig. 10.43). A new domain may appear as soon as the field strength has exceeded the value E K . The transit time τ of the domain, at a drift speed vs ≈ 107 cm/s and a length L = 10 μm, is τ = 10–10 s. A fundamental prerequisite for the creation of a domain is that the path traveled during the relaxation time of the electrons is shorter than the length L of the active zone. This results in the condition that the product becomes n0 L > 1012 cm−2 (n0 donor density). For values n0 L < 1012 cm−2 , the Gunn Effect does not occur in GaAs. However, in the area of negative mobility and at certain frequencies, the element shows a negative differential conductance which can be used for smallsignal amplification [28, 32]. The lowest frequency at which this occurs corresponds

10 Oscillators and Frequency Synthesis

Blocking capacitor

Decoupling aperture

991

DC voltage supply Ground connection

GUNN element

Tuning varactor Fig. 10.43 Waveguide oscillator with Gunn element and tuning varactor

roughly to the reciprocal of the transit time (τ). The element is stable below this frequency. If the Gunn element is installed in a resonator for operation as a microwave generator (Fig. 10.43), the time of the triggering of domains and therefore the operating frequency can be influenced by the resonator voltage superposed onto the DC voltage. In transit time mode, the cycle duration T of the AC voltage on the element is equal to the transit time (τ). At τ = 10–10 s, f r = 10 GHz. Due to the delayed triggering of the domains, frequencies f > f r can be attained, with early extinction of the domains due to under-stepping of the holding voltage U H . In Fig. 10.43, the Gunn element is installed in a cavity resonator. It is tuned via the tuning varactor installed in the resonator. At f r ≈ 10 GHz, tuning ranges up to around 1% can be achieved as a result; the tuning can also be carried out mechanically by means of capacitively acting tuning screws. The oscillator power is decoupled by an aperture plate disposed on the end of the resonator. Along with coaxial, cavity and line resonators, dielectric resonator disks are also used at frequencies below around 20 GHz in integrated microwave oscillators, consisting of a material with a relatively high permittivity (e.g. εr ≈ 40 for barium titanate) (Fig. 10.44 [33]). The dielectric resonator is coupled at a distance ≈ λr /4 from the Gunn element. At f r ≈ 10 GHz, the diameter of the resonator is around 5.6 mm, its thickness around 2.3 mm. The conversion from DC to AC energy in domain mode takes place through the formation of space charge packets. Due to the finite size and speed of the domains, the efficiency is limited; it is only a few percent. Better values (≈15–30%) are achieved in LSA (limited space-charge accumulation) mode, wherein, at an operating frequency higher than f r , the formation of domains is largely suppressed through suitable control [34]. The negative differential resistance of the element is therefore directly available for de-attenuation. The maximum deliverable AC power of the Gunn element in domain mode decreases due to the transit-time effect with increasing frequencies by 1/f 2 . In LSA mode, this transit-time condition does not apply, as parasitic effects (e.g. skin effect) limit the deliverable power here. Toward lower frequencies ( f ≤ 10 GHz), it is

992

V. Issakov and U. L. Rohde Dielectric resonator HF output

lr 4

UB Substrate

GUNN element

Fig. 10.44 Integrated microwave oscillator with Gunn element and dielectric resonator, which is magnetically coupled to the middle part of the strip line (operated in TE01 δ-mode)

determined essentially by the permissible power loss of the element. The power of Gunn elements between 20 and 120 GHz is shown in Fig. 10.48.

10.3.3 Avalanche Transit Time Oscillators (Read and IMPATT Diodes) The generation of high-frequency oscillations with a pn-barrier layer diode biased up to the avalanche breakdown was proposed by Read [35] in 1958. Charge carriers generated at the pn-junction through collision ionization influence a current in the outer circuit with a suitable phase position, producing an impedance with a negative real component. First practical implementations of the avalanche transit-time oscillator took place in 1965 [36, 37]. Present-day avalanche transit-time diodes, also referred to as IMPATT (impact ionization and avalanche transit time) diodes, are not often manufactured using the n+ pip structure discussed by Read (+ means very heavily doped), but rather as p+ nn+ diodes. However, suitable pn diodes or PIN diodes can be used for oscillation generation [28, p. 296]. Apart from Si or GaAs, InP is also used as the semiconductor material. Figure 10.45a shows the basic structure of an avalanche transit-time diode (IMPATT diode) for an abrupt pn-junction, Fig. 10.26c for the profile according to Read. With suitable doping, the length w and the applied reverse voltage U sp (≈ 20–200 V), a course of the electric field occurs in the diode as shown in Fig. 10.45b [38]. At the barrier layer, the field strength attains the value E K (360 kV/cm for Si) which is required for the use of the avalanche effect. If an alternating-current field is then superposed onto the direct-current field (Fig. 10.46a), the pair formation of charge carriers through collision ionization occurs for E > E K . Since the generation rate increases very strongly here with the field strength, an ionization remains limited to the areas of highest field strength in the vicinity of the barrier layer. This is the

10 Oscillators and Frequency Synthesis

a

Avalanche zone

A

P+

b

E

993

c Avalanche zone

Drift zone

n+

n

i0 K

A

P+

d

E

n

U0 = −Usp

n+

i

i0 K

U0 = −Usp

EK

P+

Drift zone

EK

n

n+

P+

n+

i

n x

L

w

w

L

x

Fig. 10.45 a–d Avalanche transit-time diode: a basic structure; b field distribution with applied reverse voltage U sp for abrupt pn-junction; c structure of the Read diode (i = intrinsic, intrinsically conducting); d field distribution in the Read diode

a−U

0

USP

b

2 ii

c

2 −i0

2 /2

2

2 3 /2 2

Fig. 10.46 a–c Operation of an avalanche transit-time diode: a terminal voltage of the diode; b electron current injected in the barrier layer; c terminal current of the diode (influence current)

avalanche zone shown in Fig. 10.45a. An electron stream is injected at the barrier layer, as shown in Fig. 10.46b, the maximum of which is attained shortly before the field strength falls below the value E K . The diode voltage and injected current are therefore phase-shifted through around 90° [35]. The resulting holes flow to the p+

994

V. Issakov and U. L. Rohde

zone and do not contribute to the HF generation. Due to the high field strength in the drift space, the electrons drift at the saturation speed vs (vs ≈ 107 cm/s in Si) toward the n+ zone. As a result, a rectangular current is influenced in the outer wiring with the time period τ = L/vs (Fig. 10.46c). If τ = T /2, the fundamental mode of the current is phase-shifted through 180° at the angular frequency ω in relation to the diode AC voltage. This is the case for L = 10 μm at f = 1/T = 5 GHz. At this frequency, the diode shows a negative resistance, which can be utilized for amplification or oscillation generation. It can be recognized that a de-attenuation is also possible for ωτ = π and T = 2τ. The lowest frequency at which this occurs is referred to as the avalanche frequency f a . To enable operation as a microwave generator [38], the IMPATT diode is built into a resonator (see e.g. Fig. 10.40), which is tuned in such a way that it compensates for the capacitive reactance of the diode at the operating frequency. The operating point is set by means of a DC current source (with high internal resistance). The level of the impressed DC current I 0 (I 0 ≈ 20–200 mA), together with the reverse voltage U sp , determines the maximum deliverable microwave power. Several diodes (approx. 2–10 diodes) can be arranged in a common resonator to further increase the microwave power [39]. Coaxial resonators (Fig. 10.40), cavity resonators (Fig. 10.43) or line resonators (Fig. 10.47) are used as resonators. In Fig. 10.47, the IMPATT diode is operated with a microstrip resonator approx. λr /4 in length. The microwave power is decoupled via a line coupler combined with the line resonator. The reactance of the λr /4 resonator and therefore the operating frequency of the oscillator are modified via a second coupler. The output power of IMPATT oscillators is higher than that of Gunn oscillators. Several watts are attained up to f ≈ 50 GHz in continuous-wave mode. Due to the high energy density in the semiconductor element (≈107 W/cm3 ), this power is limited by the permissible power loss of the diode. At higher frequencies, the deliverable powers decrease by the 1/f 2 characteristic typical of transit-time elements. Figure 10.48 shows the continuous-wave oscillator power of IMPATT diodes compared with Gunn elements [39, 40]. Tuning voltage

Tuning varactor r /4

resonator

HF output

r

4

IMPATT diode

Substrate

Power supply (l0)

Fig. 10.47 Microwave oscillator with IMPATT diode and λr /4 resonator as strip line

10 Oscillators and Frequency Synthesis

995

40 W 20 1/f 10 8

GaAs IMPATT

6 4

Si IMPATT

2

1 a

8 6

In P GUNN

4

1/f 2

GaAs GUNN 2

10-1 8 6 4

2

10-2 1

2

4

6

8 10

2

4

6

8 10 2

GHz 4.10 2

f Fig. 10.48 Continuous-wave power of semiconductor oscillators with IMPATT diodes and Gunn elements at frequencies between 5 and 200 GHz according to [39, 40]

Peak powers higher than the specified values in continuous-wave mode by a factor of 10 to 20 can be achieved with IMPATT diodes in pulsed mode. The theoretical maximum achievable efficiency for Si diodes is 15%, and for GaAs diodes 23%. Much higher efficiencies and output powers can be achieved in TRAPATT (trapped plasma avalanche triggered transit) mode [41, 42]. During the current flow phase, the entire n-area is ionized. This allows the diode voltage to fall to lower values and therefore reduces the diode losses. As a result of the generation of the injected charge carriers through collision ionization, IMPATT diodes [43] are noisier than Gunn elements. The noise behavior can be improved if the electrons are generated by minority-carrier injection on the pn barrier layer [44] or on a Schottky barrier [45] rather than through ionization.

996

V. Issakov and U. L. Rohde

10.3.4 Two-Terminal Oscillators with Transit-Time Tubes Transit-time tubes are electron tubes for the microwave frequency range (f ≥ 1000 MHz = 1 GHz; λ ≤ 30 cm), at which the transit time of the electrons between the high-frequency electrodes is no longer negligibly short compared to the cycle duration of the oscillation to be amplified or generated. In contrast to density-controlled tubes, the finite transit time is made usable in their case for the mechanism. Along their path between the beam-generating system and the collector or along a part of this path, the electrons engage in an energy interaction with stationary or propagating high-frequency fields. The speed of the electrons is controlled and the beam whose density was originally uniform is converted into a density-modulated beam so that charge packets are formed. If a charge packet of this type passes through a high-frequency field which decelerates the electrons, it releases energy to this field. These control, conversion and decoupling processes take place in longitudinal electric fields in the beam direction (e.g. in the klystron) or, if coil-shaped electron paths are used, in transverse electric fields across the beam axis (gyrotron). Today, the main transit-time tube oscillators are the carcinotron, the magnetron, the multi-slot klystron and the gyrotron (gyromonotron with other gyrotron types still being developed). The reflex klystron [11, 12, 46–48] used in the 1950s and 1960s mainly as a heterodyne oscillator has essentially been replaced by semiconductor oscillators. A detailed theoretical examination of the reflex klystron can be found e.g. in earlier editions of this book.

10.3.4.1

Multi-slot Klystron (Extended Interaction Klystron or EIO = Extended Interaction Oscillator)

In the amplifier klystron and in the reflex klystron, the conversion of DC current energy into high-frequency energy takes place in a single decoupling field in general during only a short time compared with the cycle duration of the oscillation. In order to extend the energy interaction over a longer period and thereby achieve a lower power density in the resonator, a higher electronic conductance, greater bandwidth and also higher efficiency, the decoupling can also be performed in several, successively connected fields. These can be formed in several interconnected cavity resonators, but an arrangement with one resonator only, e.g. as shown in Fig. 10.49, can also be used, whereby slots in hollow metal cylinders are used for the periodic coupling of the electron beam with the high-frequency field [49]. The mechanical holder of these cylinders is not shown in the figure. In this arrangement, the speed control and energy decoupling are effected in five consecutive fields which have the same amplitude and length. The infiltrating beam electrons act on the individual gaps as electronic conductances (quotient from influence current to gap AC voltage). If the electronic conductance of the entire circuit has a negative real component, an oscillation excitation is possible.

10 Oscillators and Frequency Synthesis

997

Fig. 10.49 Resonator for a multi-slot klystron (n = 5) [49]

The conductances can be calculated for small AC voltage amplitudes u/U ˆ 0 = M  1, ignoring the space charge forces. Figure 10.50 shows the arrangement of the high-frequency electrodes in a five-slot tube. The electron beam entering on the left at the speed of  v0 =

2e $ U0 m

(10.3.9)

travels successively through five in-phase fields with a length d, which are arranged at a mean distance D from one another corresponding to a static transit phase angle  = w D/v0 . Assuming the existence of a steady state, the same voltages u = û sin ωt, or in complex notation U = −jMU 0 , are present at the individual gaps. As a result, the speed of the electron beam is modulated in the first field, and a convection current occurs in the 1st drift space whose fundamental wave on the 2nd gap is, but for M  1, Fig. 10.50 Diagram of the gaps of the five-slot klystron

U

U

U

U

U vS

VD

d D

D

D

D

998

V. Issakov and U. L. Rohde

1 β I0 Me− j 2

I k2 =

(10.3.10)

The quantities I 0 , β,  used in this equation are defined. The current I i influenced in gap 2 is weakened at this point compared with the convection current by the beam coupling factor β, so that the following is obtained for this influence current: Ii 2 =

1 I0 β 2 Me− j 2

(10.3.11)

Divided by the voltage at the gap, the following electronic conductance is obtained at gap 2: Y2 =

1 I0 2 I i2 = β je−j U 2 U0

(10.3.12)

The electronic conductance at gap 3 is made up of two components, which depend on the speed modulation at gaps 1 and 2. By analogy with the above [49], the following is obtained for the component originating from gap 1: Y31 =

1 I0 2 β 2je−j2 2 U0

(10.3.13)

The component originating from gap 2 is Y32 =

1 I0 2 β je− j 2 U0

(10.3.14)

so that the total electronic conductance at gap 3 is given by: Y3 = Y31 + Y32 =

 1 I0 2  −j β j e + 2e−j2 2 U0

(10.3.15)

In this way, as shown in [49], the electronic conductance of the entire system is obtained: Ytot =

 1 I0 2  −j β j 4e + 6e−j2 + 6e−j3 + 4e−j4 50 U0

(10.3.16)

The evaluation of this equation supplies spiral diagrams similar to those in the reflex klystron. For the case of a five-slot klystron discussed here, only the real component of the scaled electronic conductance is shown in Fig. 10.51 for small voltage controls. Seven smaller, virtually insignificant attenuation and de-attenuation areas can be recognized between  = 0 and 288°. The first practically usable oscillation range is between 288° and 360° with a maximum of the negative real component at 330°.

10 Oscillators and Frequency Synthesis Fig. 10.51 Real component of the scaled electronic total conductance of the five-slot klystron for Uuˆ0 → 0

999

–Re{ytot/(I0b 2/U0)} 2.0 1.5 1.0 0.5 0

270° 0°

90°

180°

330° 360°

û U0

0

Oscillation ranges of this type are repeated with a period of 2π . The optimum values of  are in each case just below 2kπ (k = 1, 2, 3 …). As in the case of a traveling-wave tube with coupled resonators as a delay line, the distribution of the electric field occurring in the tube axis can be described as a superposition of an outgoing and returning wave. Since these waves in each case travel through a drift space length D for the duration of a period (in-phase mode), the associated phase speed is given by vPp = ±

ω D. 2π

(10.3.17)

On the other hand, the following is obtained for the mean electron speed from the definition of the static transit phase angle v0 =

ωD D = τ opt

(10.3.18)

If the ratio v0 2π = vp opt

(10.3.19)

is formed, it can be seen that v0 is slightly greater than vp , since the transit phase angle πopt is always just below 2π for all n. An example of a practically designed tube is shown in Fig. 10.52 [50]. The electron beam emanating from the cathode passes through the resonator following pre-acceleration by means of an auxiliary anode under the influence of a focusing magnetic field. The resonator has five gaps for the interaction. By means of a metal bolt immersed via bellows into the vacuum, the resonator can be detuned up to 4%. The decoupling is effected via a waveguide terminated by a vacuum-sealed window. Since the pole shoes of the outer magnet required for the beam focusing are combined with the tube sleeve, a very compact structure and a weight saving are obtained. Modification of the acceleration voltage causes a frequency change in the order of magnitude of 0.1%. Tubes of this type are currently built for frequencies from 15 to more than 300 GHz. In this frequency range, their continuous power outputs are between 1 kW and 1 W, and in pulse mode between 2 kW and 10 W. By using a grid

1000

V. Issakov and U. L. Rohde Collector Pole shoe Magnet

Drift spaces

Window

Resonator Tuning slider Pole shoe

Anode Cathode + focusing electrode Heating

Fig. 10.52 Schematic section of a tunable five-slot klystron (Extended Interaction Oscillator [50])

control upstream of the cathode, the complexity of the modulator is reduced in pulse mode. Tubes of this type are now also offered as amplifiers (extended interaction oscillator or amplifier).

10.3.4.2

Carcinotron (Backward-Wave Oscillator)

The carcinotron [51] (BWO = backward-wave oscillator) is one of the traveling-wave tubes. It acts as a two-terminal oscillator due to an internal feedback. A common feature of all traveling-wave tubes is the interaction between a propagating high-frequency wave and an electron stream flowing with the wave. To enable an interaction to take place, the electron speed and phase speed of the wave must be roughly equal, so that a reduction in the propagation speed of the electromagnetic field must be achieved. This is done using a delay line [52]. The requirement that the phase speed of the electromagnetic wave is vp < c, (where c is the vacuum light speed) is met using a periodic delay line, e.g. as shown in Fig. 10.53 (interdigital line), the period length of which is L. The field vectors E, at the location z and at the homologous location z + L differ only in terms of their phase (assuming the attenuation to be negligible), so that the following applies: E(x, y, z + L) = E(x, y, z)e−jb(n)

(10.3.20)

10 Oscillators and Frequency Synthesis Electron beam

A

L

y

Ez

A

b h

Fig. 10.53 Interdigital line as an example of a periodic delay line (read 2L rather than L)

1001

z

Section A-B

L

p

B

The frequency-dependent phase b(n) is determined only to integral multiples of 2π . The fundamental phase b(0) is to be defined by the requirement −π ≤ b(0) ≤ π . The following then applies: b(n) = b(0) + 2π n, n = 0, ±1, ±2, . . .

(10.3.21)

which gives the following for the phase constant: β(n) =

b(n) b(0) + 2π n = L L

(10.3.22)

vp(n) =

ω Lω . = β(n) b(n) + 2π n

(10.3.23)

and for the phase speed:

For every n there is a corresponding phase speed vp(n) . Waves with differing phase speeds, referred to as partial waves or “Hartree harmonics”, therefore exist for a given frequency on the delay line. Their amplitudes are determined from the geometry of the line. According to Eq. (10.3.19), the partial wave has the greatest phase speed for n = 0. The Fourier development supplies the following for the complex amplitude E (n) (x, y) of the partial wave with the phase speed vp(n) : 1 E (n) (x, y) = L

z(0 +L

E(x, y, z)ejβ(n) z dz.

(10.3.24)

z0

It follows from this that the fastest partial wave generally has the greatest amplitude. For example, in Fig. 10.53, for the transverse slots in the vicinity of y = 0 where the electron beam is located, |E| = E z = const applies. If the coordinate start point is placed in A, the following applies to the area −L/2 < z < L/2:  Ez =

E 0 for |z| < 2p 0 for 2p < |z|
wπ , the fundamental wave is a backward wave. The fundamental phase b(0) will now be determined for the interdigital line considered as an example in Fig. 10.53 from the geometry of the line. It is assumed here that the electromagnetic wave propagates along the slots at the speed of light, and furthermore the phase jump of π, which occurs due to the reflection in the transition from one transverse slot into the next will be taken into account. The following then applies to two adjacent slots where y = 0:

10 Oscillators and Frequency Synthesis

1003

b(0) =

ω (h + L) − π. c

(10.3.29)

By inserting Eq. (10.3.29) into Eq. (10.3.30), the following is obtained: c vp(n)

  h 1 λ =1+ + n− L 2 L

(10.3.30)

and specifically c v p(0)

=1+

λ h − . L 2L

(10.3.31)

The following is obtained for the limit wavelength of the pass-band with Eq. (10.3.29): −π =

2π 2π (h + L) − π and 0 = (h + L) − π. λπ λ0

(10.3.32)

From which the following is derived for the pass-band: ∞ = λπ > λ > λ0 = 2(h + L).

(10.3.33)

Therefore, ω0 > ωπ applies, and the fundamental wave of the interdigital line is accordingly a backward wave. The dispersion curves of the interdigital line derived from Eq. (10.3.31) are plotted in Fig. 10.54. The deviation from the linear dispersion characteristic according to the simple theory is caused by the finite distance of the carrier of the interdigital line. The pass-band is also narrower than indicated above for the same reason. The following is obtained for the delay factor of the group speed with Eq. (10.3.28) and the relationships df /f = −dλ/λ and c = fλ: ω

c

c

d vp(n) d vp(n) λ cλ d vp(n) λ dβ(n) c =c =c =− =c vg dω dω df f dλ   c c c λ d vp(n)   . = − vg vp(n) L d Lλ

(10.3.34)

The quantity c/vg can be determined graphically in the dispersion diagram. To do this, the tangent is placed on any dispersion curve with the index n by the point An , which is associated with a predefined value λ/L = λA /L (points A−1 , A0 , A1 , A2 in Fig. 10.54) within the pass-band. If the ordinate axis is designated as y and the abscissa axis is x, the equation of this tangent reads as follows:

1004

V. Issakov and U. L. Rohde 96 A+2

b(2)=4

b(2)=3 n=+2

72

b(1)=2

48 n=+1 A+1 c/vg

b(1)=

24

A/L

0/L

/L

0 c/vp(n)

b(0)=0 A0 n=0 −24

b(0)=−

−48

A−1

b(−1)=−2 n=−1

−72 n=−2 b(−1)=−3 b−2=−4 −96 0

24

48

72

96

L

Fig. 10.54 Dispersion curves of the partial waves of the periodic delay line according to Fig. 10.53. Calculated with h/L = 16.3

# #  c #  d vp(n) λA c ## # . x − y− = # vp(n) # λ A L d Lλ # λ A L

(10.3.35)

L

This tangent intersects the ordinate axis at the point (0, y0 ), to which the following applies:

10 Oscillators and Frequency Synthesis

1005

last electrode of the accelaration system Beam energy Beam

Cathode

Collector

Delay line E

Attenuation

Line energy Decoupling

Fig. 10.55 Schematic representation of a carcinotron

# # c c ## λA d vp(n) ## y0 − − # . v p(n) # λA L d Lλ # λA L

(10.3.36)

L

The comparison of this relationship with Eq. (10.3.34) shows that c/vg can be read for λA /L on the (c/vp ) axis where the tangent to the dispersion curve intersects the ordinate axis. Since the group speed is identical for all partial waves (Eq. 10.3.27), all tangents to the different dispersion curves intersect one another at the same point on the (c/vp ) axis. According to the principle of the carcinotron, a partial wave is used, the phase speed of which has the opposite sign to the group speed. If the phase speed in the direction therefore matches the electron speed, the energy produced by the interaction of the electron beam and the HF field runs against the beam (Fig. 10.55). (This explains the French designation carcinotron, which is derived from the Greek word for crab.) The decoupling of the line energy takes place therefore on the cathode side of the tube. The principle of the carcinotron thus requires no external feedback for the oscillation generation [55–59]. Efficiency and the pre-oscillation current are essential parameters for the design of carcinotron tubes. However, due to the complexity of the relationships, reference is made here to the literature [60–70]. The ratio of phase speed to electron speed differs in the two carcinotron types which are now to be discussed. The carcinotron without a magnetic transverse field (0-type carcinotron) [60] is similar to the normal traveling-wave tube: The electrons are accelerated by a constant field until they enter the delay line in such a way that their speed is slightly greater than that of the wave in the z direction. In the DC voltage-free drift space, a conversion from kinetic into electromagnetic energy takes place with the interaction with the line. The energy migration back to the input corresponds to a feedback process, since the electron beam is modulated by the alternating field present at the input. The 0type carcinotron achieves efficiencies of Bc , it is possible that the returning electron no longer reaches the cathode and describes a “rolling circular trajectory”. The middle electron path, on which a rolling circular trajectory unfurls, is referred to as the

Guide circle

B > Bc

B = Bc

B < Bc Rolling circular trajectory

–e

K

B > Bc 2rk B A

2rn

Fig. 10.57 Electrode arrangement in full-anode (zero-slot) magnetrons, electron paths with different inductions (anode voltage constant)

1008

V. Issakov and U. L. Rohde

“guide circle”. The anode voltage U a = U c is referred to accordingly as the critical voltage if the electron grazes the anode where B = const. The course of ia as a function of B with U a as a parameter is given for an example in Fig. 10.58. Where B > Bc and U a < U c , ia becomes very small, since the majority of the electrons no longer reach the anode. ia decreases gradually due to the speed distribution of the electrons and other effects. The movement equations of the electrons follow from the even equivalent circuit shown in Fig. 10.59 (where r a and r k are the radius of the anode and cathode respectively). If vx and vy are the speed components, the following is obtained from the approach for the forces [74]: e E sin Bt B m0   e E 1 − cos vx = Bt . B m0

vy =

(10.3.38)

The constant component of vx vcond =

E “Conductor path speed” B

(10.3.39)

ia

Fig. 10.58 Dependence of the anode current in a full-anode magnetron on the magnetic induction B

Ua=10 kV

8

4 1 kV 0

Anode

0.2

0.3 T 0.4 B

B

–e

wZ E ucond

y x

Cathode

2rr

ra – rk

Fig. 10.59 Even development of the Fig. 10.48 path curve of an electron (hypocycloid) resulting from conductor path movement (vcond ) and rolling circular movement (ωz )

0.1

10 Oscillators and Frequency Synthesis

1009

means a translation movement in the x direction. A pendulum movement in the vertical direction and, phase-shifted through 90°, in the horizontal direction, is superimposed on this movement, expressed by the time-dependent components of vx and vy . The circular path (rolling circle) formed from the time-dependent components has a radius of rr =

m0 E eB 2

(10.3.40)

ωz =

e B. m0

(10.3.41)

and an angular speed of

The frequency ωz is referred to as the cyclotron angular frequency and plays a part in all particle accelerators, in the gyrotron discussed in the following chapter and in the propagation of corpuscles in the ionosphere. The rotation time is calculated as τ=

2π m 0 1 2π = ωz e B

(10.3.42)

It does not depend on the radius of the rolling circle. Hull’s magnetron can generate oscillations due to the rolling circular movement, if B is slightly greater than the critical induction. The AC field superimposed on the static E-field between the anode and cathode is excited in that electrons which are additionally accelerated by the HF field and therefore draw energy from the HF field land on the anode and are therefore lost to the interaction space, whereas the “correct-phase” electrons, i.e. electrons emitted in a time period in which the HF field has a decelerating effect, oscillate over several cycles in the interaction space and deliver energy to the HF field due to the deceleration, and in fact more than is consumed by the “incorrect-phase” electrons [74]. The connection between the critical parameters U c and Bc will now be examined. According to the principle of angular momentum, the change with time in the angular momentum G is equal to the torque M dG =M dt

(10.3.43)

Expressed in cylinder coordinates (unit vectors er , eϕ , ez ), according to Fig. 10.60: magnetic induction B = −ez Bz . electric field strength E = −er E r . speed of the electron v = er vr + eϕ vϕ . distance from the center r = er r.

1010

V. Issakov and U. L. Rohde

Fig. 10.60 Field directions and coordinates in the full-anode magnetron

B

Er

K

A

r

–e

2rk 2ra

Therefore, M = r × F = r × (−e)(E + v × B)  d dG = ez m 0r 2 ω = ez (−e)Bz vrr = dt dt

(10.3.44)

with the angular speed ω of the electron. From Eq. (10.3.44)    d 2 d  2  eBz d r 2 r ω + = r ω + r 2 ωL = 0 dt 2m 0 dt dt

(10.3.45)

with the abbreviation ωL =

eBz ωz ; “Larmor frequency" = 2m 0 2

(10.3.46)

the following solution is obtained: r 2 (ω + ωL ) = K and for the constant K from the starting condition ω = 0 on the cathode r = r k K = ωLrk2 .

(10.3.47)

The angular speed of the electron is therefore calculated as follows:

 r 2 k . ω = −ωL 1 − r

(10.3.48)

where Ua = Uc and B = Bc , the electron is tangent to the anode, i.e. for r = r a , v = eϕ vϕ and vr = 0. It therefore follows from the energy principle that m0 2 m0 2 2 v = r ω = eUc 2 ϕ 2 a

(10.3.49)

10 Oscillators and Frequency Synthesis Fig. 10.61 Dependence of the critical voltage U 0 on the critical induction Bc

1011 Uc U

Quiescent current No oscillation

Hull’s parabola (Uc ~Bc2) no quiescent current B,Bc

and, for the critical parameters with Eqs. (10.3.46) and (10.3.48)   2 2 e ra2 rk Uc = Bc2 . 1− m0 8 ra

(10.3.50)

This parabolic connection between U c and Bc produces the “Hull’s parabola” or “cut-off parabola” (Fig. 10.61). The parabola therefore separates the area in which no oscillations can occur from the oscillation area. The efficiency of this “zero-slot magnetron” is only a few percent. The slot-anode magnetrons have substantially better efficiencies of 30–40%. The oldest form is the Habann generator, a two-slot magnetron [75, 76] (Fig. 10.62a). In the operation of this generator, the magnetic induction is substantially greater than the critical value. The electrons travel through several spirals and strike the anode segment with the lower HF potential. As a result, a negative resistance appears outwards between both anodes, and the coupled frequency-determining resonant circuit is de-attenuated [74]. Figure 10.62b shows a four-slot magnetron, in which two opposite-lying anodes are in each case connected and then have the same HF potential. The resonant circuit is formed here by the capacitance of the adjacent segments and the connected inductance in the form of a tunable double line. Although this arrangement enables a simple tuning from outside, it also introduces instabilities in the natural frequency. Furthermore, the achievable high-frequency powers in the cm wave range are only small [73, 74, 77]. In the magnetron used today, referred to as the multi-chamber or traveling-wave magnetron, the slotted anode cylinder is combined with the resonators, as shown in Fig. 10.65a. The solid anode body contains not only the central bore for the cathode, but also e.g. six slots with bores at the end. Each of them acts as a resonator, wherein the slot area approximately forms the capacitance and the bore forms the inductance. The anode body is connected to the ground potential and can be effectively cooled.

1012

V. Issakov and U. L. Rohde

a

(+)

–UB+

(–)

b (–)

(+)

(+)

(–)

–UB+ Fig. 10.62 a and b Slot-anode magnetron with outer circuit (Habann tube): a two-slot magnetron; b four-slot magnetron

This tube, which is not after all an electron beam tube, therefore delivers highfrequency continuous power and above all pulse power thanks to its high efficiency. The mode of operation of this magnetron is based on the interaction between electrons and an accompanying high-frequency field, if the conductor path speed vcond of the electrons and the phase speed of the rotating tangential electric field coincide (synchronism). Under the accompanying effect of the constant magnetic field, the electrons are compacted by the transverse component of the high-frequency electric field at the places where the longitudinal component of the HF field has a decelerating effect on the electrons. As a result, the electrons move slightly closer to the anode, whereby they gain as much energy from the constant electric field as they have delivered to the HF field in the deceleration process [78, 79]. If the anode voltage is U = U syn in the synchronism, the following is obtained according to Eq. (10.3.39): Usyn = E(ra − rk ) = Bvcond (ra − rk ).

(10.3.51)

If the distance between 2 anode segments with the same potential is equal to a, the electron must have traveled the path a/2 if the phase of the HF field has rotated through 180°, i.e.

10 Oscillators and Frequency Synthesis

vcond =

1013 a 2 Tn 2

=

a = a fn Tn

(10.3.52)

with the cycle duration T n and frequency f n of the HF field. It follows from this that Usyn = (ra − rk )a f n B.

(10.3.53)

This linear connection between B and U (“Hartree line”) is shown in Fig. 10.65. (As explained below, the parameter n refers to different oscillation field forms.) The efficiency of the magnetron can be determined from the distance of the straight lines from the Hull’s parabola in the following manner: The energy absorbed by the electron when traveling along the cathode–anode path is eU syn ; only a part of this is delivered to the HF field, and the residual energy is converted into heat on collision with an anode (Fig. 10.63). The kinetic energy immediately before the collision is m0 m0 2 v = (2vcond )2 , 2 2

(10.3.54)

since, as shown in Fig. 10.64, the circumferential speed of the rolling circle has double the value of the conductor path speed. The energy delivered to the HF field U UC Usy

n=1

n=2 n=3

Hartree line

Fig. 10.63 The oscillation area of the slot-anode magnetron, limited by the Hull’s parabola (U c = fBc ) and Hartree line (U syn = fB)

π-type B

Fig. 10.64 Relationship between circumferential speed and conductor path speed in the rolling circle

2ucond

ucond

1014

V. Issakov and U. L. Rohde

a

b

c S

1

j

5j

2

6

1

K

2

3

4

5

6

S

S

A 3

5 4

Cathode

U1

U2

U3

U4

U5

U6

U7

U1

Fig. 10.65 a–c Multi-circuit magnetron, bore type: a bore type with N = 6. The capacitances which determine a resonant circuit are included in the drawing; b complete equivalent circuit; c development of the equivalent circuit for a feedback delay line

is calculated as the difference between the absorbed and the remaining energy, and for the efficiency it follows that η=

2 eUsyn − 2m 0 vcond eUsyn

(10.3.55)

and with Eq. (10.3.51) η =1−

Usyn 2m 0 . e (ra − rk )2 B 2

(10.3.56)

With the approximation in the case of the thick cathode r k → r a , it follows from Eq. (10.3.50) that Uc =

    rk 2 rk 2 e ra2 2 e 1+ Bc 1 − ≈ (ra − rk )2 Bc2 . m0 8 ra ra 2m 0

(10.3.57)

The following therefore applies:  2  2 Usyn BBc Uc − Usyn BBc η =1− = . Uc Uc

(10.3.58)

The efficiency is therefore proportional to the difference Uc − Usyn (Bc /B)2 . For B = Bc and Uc = Usyn , it is zero, and then increases rapidly with increasing B (up to 80%). An equivalent circuit for the self-contained, resonance-enabled delay line (Fig. 10.65b) can be produced by drawing in the capacitances between the anode and the cathode, the internal slot capacitances and the internal inductances. If the resulting equivalent system is cut into at s, the arrangement of a delay line is obtained in the development, the output of which is fed back to the input (Fig. 10.51c). The delay line produces the necessary delay of the wave propagation speed, so that synchronism with the electron conductor path movement can take effect.

10 Oscillators and Frequency Synthesis

1015 U3

Fig. 10.66 Phase relationships of the partial voltages of the delay line Fig. 10.65c

U2

j

U4

U5

U1 U7

U6

The phase relationships of the voltages drawn in Fig. 10.65c are shown in Fig. 10.66. The total phase rotation between the input and output in N resonance systems or slots amounts to multiples of 2π ϕtot = Nϕ = n2π.

(10.3.59)

The phase difference between adjacent slots is therefore ϕ=

2π n N

(10.3.60)

where n = 1, 2…, N/2 (N/2 = number of pole pairs). The oscillation condition, in which adjacent slots oscillate in phase opposition, i.e. for which n = N/2, is referred to as π-type, since then ϕ = π. In practical operation of the magnetron, the π-type is aimed for, since the highest efficiency is then achieved with the lowest anode voltage. The number n is generally used to identify the oscillation types (Fig. 10.63). To prevent a mode shift from the π-type into adjacent types, rings are fitted over the anode segments to connect the anode segments which have the same phase, i.e. 1, 3, 5 and 2, 4, 6 as shown in Fig. 10.62 (“strapping”) [80]. As shown in Fig. 10.67, the oscillation frequencies of the oscillation types are drawn apart by means of the connections, thereby reducing the risk of mode shift. This strapping arrangement can be implemented not only in the bore type shown in Fig. 10.68a, but also in other anode forms, as shown in Fig. 10.68b, c. It results in an improvement up to frequencies of around 10 GHz, above which it is more beneficial to select the “rising sun” structure shown in Fig. 10.68d. A number of electron paths are shown in Fig. 10.69. Due to the mode of operation described, a “space charge rotor” is produced, the “spokes” of which are formed by the electrons which deliver energy to the HF field and in doing so move to the anode. “Incorrect-phase” electrons, i.e. those which absorb energy from the HF field, are guided back to the cathode and are therefore removed from the interaction space. The space charge rotor rotates at the speed nT = f / p

(10.3.61)

1016

V. Issakov and U. L. Rohde 8 GHz

Fig. 10.67 Influencing of the oscillation frequencies by connection rings (“strapping”)

6

with connection without

f

4

2

0

2 6

0

4 6

6 6

8 6

2

3

4

j 0

a

1

n

b

K

K

c

d

K K

Fig. 10.68 a–d Different anode forms in the multi-circuit magnetron: a bore (hole) type with connection rings; b slot-type; c barren type; d rising-sun type

10 Oscillators and Frequency Synthesis

1017

correctly running electrons form spokes incorrect-phase electrons

B E

Fig. 10.69 Electron paths and space charge rotor in the bore-type magnetron

where f is the oscillating frequency and p is the number of spokes. In the π-type, p = N/2 applies. Since all emitted electrons do not reach the anode, but return to the cathode, heat output is also fed to the cathode, which is then heated. The heat output can therefore be reduced or be made precisely zero during operation. Figure 10.70 (cut into) shows the basic structure of an 8-chamber magnetron similar to Fig. 10.69. The generated high-frequency power is normally decoupled by means of a coupling loop in one of the resonant chambers, to which a coaxial decoupling line is connected. A more recent design of the magnetron, referred to as the coaxial magnetron, is shown in Fig. 10.71 (with a coupling hole on the coaxial resonator). In this

Cathode connections Power decoupling

Cathode

Fig. 10.70 Structure of a multi-chamber magnetron (with 8 resonant chambers)

Anode

1018

V. Issakov and U. L. Rohde KS S H

AK

K

TR

F

IL KR AL

Fig. 10.71 Structure of a coaxial magnetron: K cathode, AL external conductor, S resonator vane, TR transformer, KS coupling slot, F ceramic window, KR coaxial resonator, H waveguide, IL internal conductor (anode), AK decoupling hole

magnetron, every second resonator chamber is coupled via parallel-axis slots in the anode cylinder, which is simultaneously the internal conductor of an external coaxial resonator, with the H 011 oscillation prevailing there. Most of the oscillation energy is stored in the outer resonator due to the large dimensions of the outer resonator (high no-load quality) and due to the fixed coupling with the interaction space. This outer resonator therefore determines the oscillating frequency. The natural frequency of the H 011 oscillation can be varied through axial shifting of a contactless tuning ring in the coaxial resonator (modified effective length of the resonator). The coaxial magnetron is primarily of importance at frequencies above 10 GHz [81]. A current–voltage diagram in which the lines of constant output power P, constant efficiency η, and constant induction B are plotted is used to define the operating point of a magnetron. A relatively wide operating range is shown in Fig. 10.72a. These diagrams are plotted in the case of an adapted consumer. A feature common to all microwave generators is that the frequency generated by them is load-dependent. Indeed, the load resistance is transformed via the decoupling line to the location of the gap as a generally complex resistance, which has a detuning effect. In order to gain an overview of this influence, the diagram indicated by Rieke is used, as shown in Fig. 10.72b, a type-2 line diagram. For every load reflection factor in the flange plane of the selected tube given by the amount and angle, this shows the associated power and frequency deviation [82]. A technical distinction is made between continuous-wave magnetrons with highfrequency powers up to several kW and pulse (radar) magnetrons. With both forms, the operating frequencies extend to several 100 GHz. The maximum pulse powers are over 10 MW, but not in the mm-wave range. Typical values here are e.g. 10 kW at 70 GHz and 1 kW at 140 GHz with efficiencies in the region of 10%.

10 Oscillators and Frequency Synthesis

a

1019

14 kV

h=40%

13

P=70 kW 35%

12

60

B=5920 G

11 50

5400 10

25%

ua

5000 40

4700

9

30%

4400

30

8 20 kW 4000

7

3600G

6

10 kW

5

0

2.5

5.0

7.5 ia

b

10.0

12.5

15.0 A17.5

1.0

Hz

z

–10M

0.5

f=

MH

4

2.0

-15

–5

M

Hz

20

0.5

48KW

P=34KW

0

42KW

f=0 2.0

a

z

–0.5

+15MH

z

f=+5MH

Hz

0M

+1

–1.0

Fig. 10.72 a Current–voltage diagram: connection between anode voltage ua , anode current ia , induction B and output power P (pulse mode) 10,000 G = 1 T; b Rieke diagram: dependence of the output power P and the frequency change f on the complex load resistance with an induction of B = 0.55 T and a DC current ia = 10 A (pulse mode)

1020

V. Issakov and U. L. Rohde

As well as the multi-chamber magnetrons, there are further structural forms of “crossed-field tubes”, of which only the linear and the circular crossed-field amplifier (amplitron) will be mentioned. Although they have power amplifications of 10–15 dB only, they deliver output powers up to several MW with high efficiency.

10.3.4.4

Gyrotron

Gyrotrons are transit-time tubes with a novel interaction mechanism, suitable for generating and amplifying maximum powers at frequencies in the range around 100 GHz. Their operating frequency is the same as an electron cyclotron frequency or one of its harmonics, produced by a static magnetic field parallel with the electron beam axis. The necessary resonators or waveguides can therefore be overdimensioned, so that substantially greater cross-sectional areas are available for the penetrating electron beam than e.g. in the klystron. It is therefore also possible to work with substantially greater beam powers, and higher effective powers are obtained [83–85]. Figure 10.73 shows schematically a longitudinal section and a cross section through a gyromonotron, currently the most highly developed structural form as an oscillator. The sheath M of a truncated conical cathode K emits electrons under the influence of a peripherally symmetrical electric and a longitudinal magnetic field, so that an electron hollow beam forms (magnetron injection gun). The electric field forms between the cathode and a weakly positive cylindrical anode A or the input of the interaction space W to which the full electron acceleration voltage U 0 is applied. The longitudinal magnetic field is produced in a coil pushed over the tube. The individual electrons move in the hollow beam on coil-shaped paths and take on the speed components necessary for the energy interaction with a circular electric field in the resonator across the beam axis. In contrast to the klystron, the interaction between the field and electrons takes place in the case of the gyrotron across the axis

E

r

E Bz

E

A

W

B

M

B

K

Z

AK

A

F Z

E Section A-B

U0 =

= U0

B

Fig. 10.73 Cross section and longitudinal section through a gyromonotron (schematic). E ϕ (z) azimuthal electric field distribution (H 011 oscillation), B(z) static magnetic field

10 Oscillators and Frequency Synthesis

1021

of the hollow beam. Beam-generating systems operating in saturation whose hollow beam passes through the resonator at locations of high circular electric field strength are currently used. Under the influence of the electric field and the magnetic induction B rising in the z direction, the beam undergoes a compression, whereby the transverse speed of the electrons is increased. It then travels through the resonator. The magnetic induction Bz is set in such a way that the cyclotron frequency f z = ωz /2π corresponds roughly to the operating frequency, taking into account the relativistic mass change of the electron. With the relativistic factor:  γ0 = 1 −



v0 c0

2 −1/2 =1+

U0 /kV 511

(10.3.62)

the following are obtained for the cyclotron frequency: ωz =

ωz0 eBz fz 28 Bz = and = . γ0 γ0 m 0 GHz γ0 T

(10.3.63)

Here, v0 is the electron speed produced by the voltage U 0 , c0 the light speed in the free space, e the electron charge, m0 the electron rest mass, and Bz the axial magnetic induction in the interaction range. For example, a 140 GHz gyrotron in the interaction range requires a magnetic induction of 6 T. Magnetic fields of this type can be generated in superconducting magnets only. The radius of the coil paths is calculated as follows:   v⊥ /c U0 /kV r v⊥ = 1.71 + 1+ . and r= ωZ mm BZ /T 511

(10.3.64)

Here, ν⊥ is the transverse speed component of the electrons. In the further course of the beam in the tube downstream of the resonator, the magnetic field is further reduced, the beam spreads and strikes the decoupling line AK which is to be effectively cooled from outside. The latter also acts as a collector and is closed by a vacuum-tight window F which has low losses for high frequencies. The function of the tube can be presented as follows: under the provisional assumption that an oscillation form similar to the H 011 oscillation of a closed cavity resonator is excited in the resonator which is open on both sides, the electrons will begin to interact with the circular electric field E ϕ as they travel through the resonator. On entry, they undergo a speed control, not in the longitudinal direction of the beam as in the klystron, but across it, i.e. at the periphery of their coil-shaped paths. Taking into account the relativistic mass change (the tubes are currently operated with acceleration voltages between 10 and 100 kV), electron packets, clusters of charges at the coil periphery, form in the further course of the path, as shown in Fig. 10.74. The beam which is density-modulated in this way can have a de-attenuating effect due to influence on the resonator. The electron packets are decelerated and emit energy (in

1022

V. Issakov and U. L. Rohde

Z

E

Fig. 10.74 Implementation of the phase focusing (bunching) at the coil periphery for ω > ωz

the same way that, in the amplifier klystron, the beam which is density-modulated in the longitudinal direction excites the decoupling resonator to produce oscillations). An H 0n wave excited in the similarly over-dimensioned decoupling line feeds the generated high-frequency power to the consumer. The line and the load resistor (not shown) load the resonator, and the resulting quality is therefore reduced to a value of several hundred. Otherwise, the oscillation build-up of the oscillator in continuous operation can be conceived as in the case of any tube oscillator from the noise [86]. Since the cyclotron frequency or one of its harmonics determines the operating frequency, the resonator can be over-dimensioned; here, an oscillation type must be selected which has powerful azimuthal electric field components at the location of the beam penetration, e.g. an H 041 oscillation. The hollow beam can then, in the case of a voltage maximum lying further outside, be guided through the resonator, where it can have a greater, circular ring-shaped cross-sectional area than further inside. An improvement in the mode of operation is achieved by designing the resonator as partially conical rather than entirely cylindrical. To improve efficiency, the static magnetic field responsible for the electron movement in the interaction space can also be given a z-dependence of only a few percent rather than leave it as constant over the entire resonator length [87]. Figure 10.75 shows the longitudinal sections of idealized circular cylindrical cavity resonators, which are dimensioned for the four H mn1 oscillations of the same frequency compared with an E 010 resonator or a klystron resonator. Non-radiallysymmetrical oscillation types are also used, e.g. an H 911 oscillation, whose powerful azimuthal electric field components are utilized in the vicinity of the resonator wall for an interaction with the electron beam (“whispering gallery modes”). A diameter comparison reveals that, e.g. in the H 041 resonator, a circular cross-sectional area, which is greater by orders of magnitude than the area in the klystron resonator, is available for the electron beam traveling through. Complex resonators, for example

10 Oscillators and Frequency Synthesis

1023

4.30l 3.46l 2.26l 1.23l

0.77l

L=3l

E010

0.4

H011

H021

H911 H041

Fig. 10.75 Longitudinal sections through four idealized resonators used in gyrotrons compared with an E 010 resonator and a klystron resonator at the same resonance frequency

comprising the series connection of an H 011 resonator and an H 041 resonator, are being tested [88], along with designs with quasi-optical resonators with the aim of advancing to higher frequencies [89]. Four structural forms currently under development are shown schematically in Fig. 10.76. A gyroklystron amplifier (Fig. 10.76b) consists of two short gyrotron

a

b Electron hollow beam

Output power

Input power

c

d Sump collector

Output power

Output power

Fig. 10.76 a–d Structural forms of gyrotrons: a gyromonotron (oscillator); b gyroklystron amplifier; c gyro-traveling-wave amplifier; d gyro-backward-wave oscillator

1024

V. Issakov and U. L. Rohde

resonators with a drift space inserted between them. The speed control then essentially takes place in the first resonator, again in the peripheral direction, the conversion into a density-modulated beam takes place in the drift space, and the energy decoupling takes place in the resonator drawn on the right. A high-power narrowband amplifier is expected from this design. In the case of the gyro-traveling-wave amplifier shown in Fig. 10.76c, the energy interaction does not take place in stationary fields, but, as in the case of the travelingwave tube, in propagating fields. An amplification in a broader frequency range is expected from this. Figure 10.76d shows the gyro-backward-wave oscillator, in which the generated high-frequency power is decoupled at the cathode-side end of the waveguide. Whereas these narrowband and broadband amplifiers are still entirely at the development stage, gyromonotrons have been developed to a higher level of maturity in the last decade in the USA and in the USSR. For example, continuous outputs of 200 kW and more are achieved with commercially available gyromonotrons at 28, 35, 53, 60, 70, and 106 GHz. An 8 GHz tube delivers 1 MW, and a 140 GHz tube 550 kW long-pulse output. In short-pulse mode, for example, 1.2 MW have been achieved at 148 GHz, and 120 kW at 375 GHz (λ = 0.8 mm) [90]. It is hoped that gyrotrons will be able to generate continuous and long-pulse outputs in the region of 1 MW at 140 GHz. Gyrotrons are used above all to heat plasmas in connection with nuclear fusion; this has also been the reason for their forced development over the years [91]. Figure 10.77 shows the currently (1996) achievable maximum output powers of different types of frequency-dependent high-power generators. W 107

Fig. 10.77 Power–frequency limits for tetrode, klystron and gyrotron (as at 1996)

Klystron

106

Gyrotron Cont. Mode

PULSE MODE

105 Tetrode Continous output

104 103 102 10 5 2 1

0.1

2

5 10

100 Frequency

1000 GHz

10 Oscillators and Frequency Synthesis

1025

10.4 Feedback Oscillators Using Two-Port Devices This Section treats feedback-based oscillators. The theory developed in this section can be applied both for discrete high-power microwave oscillators (using discrete transistors or tubes), but also for on-chip integrated circuit realizations in CMOS and SiGe HBT technologies.

10.4.1 General Considerations A four-terminal oscillator can be regarded as the result of the interconnection of an active and a passive four-terminal network (Fig. 10.78). The active four-terminal network (transistor or tube) can generally be represented by a  or T circuit, which contains controlled current or voltage sources (see Chap. 9). In general, a passive four-terminal network can similarly be described by an equivalent  or T circuit. The discussion below will be restricted to an examination of the  circuit. It is expedient to assume that the interconnection of the two four-terminal networks is a parallel-parallel connection representing the relationships between the input and output parameters by means of the four-terminal network equations in admittance form (Y matrix). If the T-equivalent circuit were used, the calculation would be based accordingly on a series-series connection of the two four-terminal networks, using the impedance matrix (Z matrix). With a parallel connection of the four-terminal networks to an input and output (parallel voltage feedback), the matrix of the four-terminal network as a whole is obtained from the sum of the admittance matrices (Y matrices). The admittance matrix is particularly suitable for the  circuit. With the series connection of both four-terminal networks at the input and output (series current feedback), the matrix of the four-terminal network as a whole is obtained from the sum of the impedance matrices (Z matrices). Then, a T equivalent circuit is particularly suitable for this purpose. With a series connection at the input and a parallel connection at the output (series voltage feedback), the matrix of the four-terminal network as a whole is obtained from the sum of the series–parallel matrices (H matrices), and with a parallel connection at the input and a series connection at the output (parallel current l2

l1 U1

y

U2

l1 U1

l2 y

y

Fig. 10.78 Block diagram of a four-terminal oscillator with conductance matrix

U2

1026

V. Issakov and U. L. Rohde

feedback), the matrix of the four-terminal network as a whole is obtained from the sum of the parallel-series matrices. The following discussion will be restricted to an examination of the  circuits, by means of admittance matrices. (Fig. 10.78) applies to the resulting four-terminal network, created by combining the two four-terminal networks, where     [Y ] = Y + Y

[Y] [Y ] [Y

]

(10.4.1)

Admittance matrix of the resulting four-terminal network Admittance matrix of the active four-terminal network Admittance matrix of the passive four-terminal network

The equation system: I1 = y11 U1 + y12 U2 I2 = y21 U1 + y22 U2

& Abbreviated [I ] = [Y ][U ]



+ y11 etc. y11 = y11

The oscillation condition is obtained from the requirement that, without external currents (I 1 = I 2 = 0), the voltages V 1 and V 2 are non-zero. With I 1 = 0 and I 2 = 0, the following oscillation condition is obtained from the first and second conductance equation V2 /V1 = −y11 /y12 and V2 /V1 = −y21 /y22 and after elimination of the voltages: y11 y22 − y12 y21 = det y = 0.

(10.4.2)

The condition in Eq. (10.4.2) that the determinant of the Y-matrix should be zero, is not particularly helpful. Rather, it is often more unwieldy than the calculation using KCL, which can be formulated on the basis of Figs. 10.79 and 10.80. Figure 10.79 shows the equivalent circuit of a transistor oscillator. It can be seen that in each case two admittances are present in parallel and can be combined to form one resulting admittance. The equivalent circuit shown in Fig. 10.80 is then obtained. The current condition imposes that the current gm V 1 must be distributed in the circuit in such a way that the voltage V 1 is again generated at the admittance y1 = y1 + y1

. A calculation based on this condition can be found in Sect. 10.4.2 (LC oscillators). If the matrix coefficients are written in Eq. (10.4.2) as rational functions of the complex frequency s = σ + jω, a polynomial is obtained in p with real coefficients ai F( p) = an p n + an−1 p n−1 + · · · + a2 p 2 + a1 p + a0 = 0.

(10.4.2a)

This equation corresponds to the characteristic or main Eq. (10.1.2) in the case of two-terminal oscillators. The coefficients ai are in turn rational functions of the

10 Oscillators and Frequency Synthesis

l1

1027

B

l2

C y´2

U1

y´1

U2

y´3 g m•U 1

E

y´2 y´1

y´3

Fig. 10.79 Equivalent circuit of a transistor or tube oscillator

l1

U1

y 2 = y´2+ y´2

l2

y´1 = y´1 +y´1

U2 y´3 = y´3 + y´3 g m.U 1

Fig. 10.80 Simplified oscillator equivalent circuit

circuit elements (e.g. L, C, R, gm ) of the oscillator, and the degree n is equal to the number of its mutually independent inductances and capacitances. The solutions of the main equation (zeroes of the polynomial) have the form ss = σ s + jωs , where the damping constant σ s and angular frequency ωs are again functions of the circuit elements of the oscillator. It was shown in Sect. 10.1 that an oscillator performs decaying oscillations for σ s < 0, increasing oscillations for σ s > 0, and undamped continuous oscillations at the angular frequency ωs for σ s = 0. This last case where s = jω is of particular interest for numerous RF, microwave and microwave applications, as e.g. radar and telecommunications. From the condition that the real component and imaginary component of the main function F(s) must simultaneously be zero, two equations are obtained for determining two parameters of the oscillator, e.g. the initial transconductance gm and the oscillation frequency ωs , if the remaining parameters of the main equation are given. According to a different approach, the four-terminal oscillator is fed back to a feedback amplifier (Fig. 10.81). v0 and k are assumed to be the complex transformation factors of the amplifier and feedback four-terminal network:

1028

V. Issakov and U. L. Rohde

l1 = 0 (1) U1

v0

U2 = v0U1

k

U2

U'1

(2) U´2 U´2 = K–U2 Fig. 10.81 Feedback amplifier

v0 =

U2 U ; k = 2. U1 U2

(10.4.2b)

The following can be derived from Fig. 10.81: U1 = U1 − kU2 .

(10.4.3)

If U1 = kU2 , then U1 = 0 applies, i.e. under this condition, the external voltage source can be disconnected and the terminals (1) and (2) can be interconnected (Fig. 10.82), so the feedback amplifier becomes the oscillator. The oscillating conditions are therefore: U1 = kU2

or

kv0 = 1.

(10.4.4)

Conversely, if a given oscillator circuit is to be calculated, the closed feedback circuit can be split open at any point and the cut voltages can be equated as the oscillating condition. The load ratios must be simulated in the process of opening Fig. 10.82 Four-terminal oscillator, resulting from Fig. 10.81 through short circuit of the input terminal pair (1) (2)

(1) U1

v0

U2

k

U2

(2) K•U1

10 Oscillators and Frequency Synthesis

1029

the loop. The loop should be open appropriately at a point where no current flows, e.g. in the line B–C in Fig. 10.79, if y2 is much smaller than y2

. If k and v0 are calculated as function of y parameters of the oscillator and feedback four-terminal network, the oscillating condition (10.4.4), can be rewritten in the form 1 − kv0 = 0. This is found to be identical to the equation y = 0 Eq. (10.4.2). An oscillator circuit calculation can therefore essentially be performed using the characteristic equation and also using the transformation factors k and v0 . The oscillator circuit determines which method is more appropriate. Each of the two methods is described individually in Sects. 10.4.2 and 10.4.4. In practice, a distinction is made between LC and RC oscillators, depending on whether the passive network contains coils or ohmic resistors as well as capacitors. LR oscillators are seldom built, given that coils, compared with capacitors, are large, heavy, and expensive and can only be integrated to a limited extent. The symbols LC and RC identify only the predominant circuit elements of the relevant oscillator type, whereby the respective third switching element is also present at least in parasitic form, such as, for example, and loss resistances in LC oscillators. In RC oscillators, the series output impedance of the amplifier is typically designed to be real and so small that the connected RC feedback four-terminal network represents no noticeable load and v0 is independent from the electrical properties of the feedback four-terminal network. Furthermore, since a current-less “interface” usually exists at the amplifier input, the analysis of RC oscillators is appropriately carried out using k and v0 . v=

gm + Z1 + Z2 U2 = =− 1 U1 Z1 + R i

Y1 =

1 Z1 1 Za

= Re(v) + jIm(v)

I1 1 1 1 1 − Re(v) 1 = + (1 − v) = + − j Im(v). U1 Z1 Z2 Z1 Z2 Z2

(10.4.5)

(10.4.6)

Conversely, in the practical LC oscillators, the input resistance of the feedback network represents a part of the input impedance of the amplifier, so that v0 is dependent on the feedback four-terminal network used. Furthermore, since all three basic transistor circuits are used in the same way and v0 is different for these three cases, LC oscillators can be analyzed using the same characteristic equation, which is independent from the basic circuit configuration and is determined by the product kv0 only. Both methods equally require that characteristics of the active components are driven within a small range only and therefore the small-signal theory is applicable to analyze the start-up conditions. It should be mentioned here in particular that the same type of differential equation applies to two-terminal and four-terminal oscillators. It will now be shown that the four-terminal oscillators can be fed back to two-terminal oscillators on the basis of a simple equivalent circuit. Figure 10.83 shows an example of a field effect transistor oscillator in which the feedback takes place via a voltage divider comprising the complex resistances Z 1 and Z 2 . The DC current supply components are not shown.

1030

V. Issakov and U. L. Rohde

Fig. 10.83 For the feedback of a four terminal oscillator onto a two-terminal oscillator

ZZ (1)

l1 Za

U1

U2

Z1

(2)

The gate current is assumed to be zero, and the transistor and capacitors are comprised by Z 1 , Z 2 and Z a . For small-signal operation, the circuit can be replaced by the fourterminal network shown in Fig. 10.84, to which, with a negligible input current I 1 , the following applies: Equation (10.4.6) can be regarded as resulting from the circuit shown in Fig. 10.85. Through suitable selection of Z 1 , Z 2 and Z a , this circuit becomes unstable and can start oscillations. If, for example, the following is chosen: Z 1 = R1 + jωL 1 ,

Z 2 = 1/jωC,

Z a = Ra + jωL a

1 < 0, and Fig. 10.86 is obtained. R1 + jωL 1 and C(1 − then Im(v) = ωC R−R ( 12 +ω2 L 21 ) Re(v)) form a damped parallel resonant circuit. 1/ωC · Im(v) is the negative resistance required to generate undamped oscillations, so that self-excitation can occur with suitable size.

I1

Z2

U1

Z1

Ri

Za

U2

g m•U1 Fig. 10.84 Equivalent circuit of a feedback amplifier according to Fig. 10.83

(1) I1 U1

Z1

(2) Fig. 10.85 Circuit for Eq. (10.4.6)

Z2

–Z 2

+jZ2

Re(v)

Im(v)

10 Oscillators and Frequency Synthesis Fig. 10.86 Circuit for Eq. (10.4.6) for the case Z 1 = R1 + jωL 1 , Z 2 = 1/jωC, Z a = Ra + jωL a

1031 (1) I1

R1 1

U1

C(1–Re(v))

C• Im(v)

L1

(2)

10.4.2 LC Oscillators For the oscillator equivalent circuit (Fig. 10.80), det y = 0 is calculated via the admittance matrix according to Eq. (10.4.2) as (Y1 + Y2 )(Y2 + Y3 ) + Y2 (gm − Y2 ) = Y1 (Y2 + Y3 ) + Y2 (gm + Y3 ) = 0. (10.4.7a) If the complex admittances Y 1 , Y 2 and Y 3 are represented in the form Y = G + jB, the following are obtained for the real and imaginary components of Eqs. (10.4.7a, 10.4.7b, 10.4.7c): G 1 G 2 + G 2 G 3 + G 3 G 1 − B1 B2 − B2 B3 − B3 B1 + G 2 gm = 0

(10.4.7b)

and B1 G 2 + B2 G 3 + B3 G 1 + G 1 B2 + G 2 B3 + G 3 B1 + B2 gm = 0.

(10.4.7c)

If the admittances Y (ω) are considered as given, two equations are available to calculate the unknowns f 0 (oscillation frequency) and gm (initial transconductance). First, a systematic view of LC oscillator circuits must be devised. For this purpose, it is sufficient to set the effective conductances G1 and G2 which originate from losses and are usually small compared with B1 and B2 , as equal to zero, and to take account of G3 = G as the sum of the internal conductance and load conductance. The following are then obtained: B1 B2 + B2 B3 + B3 B1 = 0 G(B1 + B2 ) + gm B2 = 0.

(10.4.7b and c)

The two equations are easier to evaluate if the susceptances are replaced by the reactances X = −1/B, since the following are then obtained: X1 + X2 + X3 = 0

(10.4.8a)

(G + gm )X 1 + G X 2 = 0.

(10.4.8b)

1032

V. Issakov and U. L. Rohde

I1=0

I

l

jX2

jX1

U1 I1=0

jX3 I

I3

G Ig

~

U2

gm•U1

Fig. 10.87 For the derivation of Eqs. (10.4.8a, 10.4.8b) from the “inflow condition”

Equations (10.4.8a, 10.4.8b) can also be derived in a clear manner from the “current condition” (Sect. 10.4.1). It follows from Eq. (10.4.5) and Fig. 10.87: Z1 + Z2 X1 + X2 X2 U2 = = =1+ , U1 Z1 X1 X1

(10.4.9)

that only the phase shift 0 or π can exist between V 1 and V 2 . The node equation at the output states that the current gm V 1 together with I provides the current I 3 in the reactance X 3 and the current I g in the effective conductance G: gm U1 + I = Ig + I3

(10.4.10a)

and gm U1 +

U1 U2 = −GU2 − . jX 1 jX 3

Since the real and imaginary components themselves must be equal, the following applies: I =

U1 U2 =− = I3 j X1 j X3

(10.4.10b)

The oscillation current I = I 3 flows through X 1 , X 2 and X 3 and is phase-shifted through π /2 in relation to V 1 . The current gm V 1 provides the effective current I g = −GV 2 gm U1 = −GU2 .

(10.4.10c)

X 1 V2 /V1 = −X 3 follows from Eq. (10.4.10b), and with Eq. (10.4.9). X 1 + X 2 + X 3 = 0 as in Eq. (10.4.8a). and from Eq. (10.4.10b). (gm + G)X 1 + G X 2 = 0 as in Eq. (10.4.8b). Since G and gm are positive and real, it follows from Eq. (10.4.8b) that X 1 and X 2 must be of the opposite reactance type, i.e. if X 1 is inductive, X 2 must be capacitive

10 Oscillators and Frequency Synthesis

1033

and vice versa. This presupposes that only positive inductances and capacitances are permitted. Furthermore, it follows from Eq. (10.4.8b) that the magnitude of X 2 must be greater than X 1 :|X 2 | > |X 1 |, i.e. the reactance type of X 2 must be the same as the reactance type of the series circuit of X 1 and X 2 . However, so that Eq. (10.4.8a) is simultaneously fulfilled, X 3 must be of the opposite reactance type to the series circuit of X 1 and X 2 and therefore the same type as X 1 . Four combinations of X 1 , X 2 , X 3 commonly used in practice are compiled in Fig. 10.88. Since, through Eqs. (10.4.8a–10.4.8b), only the type of the reactances is defined, further circuits exist. Thus, for example, self-excitation according to “HuthKühn” (Fig. 10.88, 3rd column) also occurs in amplifiers in which parallel resonant circuits are present instead of L 1 and L 3 . Since X 1 and X 3 must be inductive, the oscillation frequency is then below the resonance frequency of these resonant circuits. The collector–base capacitance of the transistor or the anode capacitance of the tube is often sufficient for the capacitance C 2 . The oscillator types known from Fig. 10.88 for the three basic circuits are compiled in Fig. 10.89. The oldest circuit is the transformer feedback circuit (Fig. 10.89a) according to Meissner. It can be incorporated into the diagram in Fig. 10.88 by replacing the two coupled coils with the coupling equivalent circuit of the transformer and then carrying out a Y- transformation. In this way, an inductive three-point circuit, in which X 1 is represented according to Fig. 10.88 by an inductance, is obtained for all three basic circuits of the Meissner oscillator. The representation of X 2 and X 3 differs according to the basic circuit. In the general basic circuit and basic collector circuit according to Fig. 10.89, X 3 and X 1 are represented by an inductance and X 2 by a parallel resonant circuit, the resonance frequency of which is below the oscillating frequency of the oscillator and the resistance of which is therefore capacitive at the oscillating frequency. In the case of the basic emitter circuit of the Meissner oscillator, the reactance X 2 is produced by a negative inductance (X 2 = ωL 2 ) which occurs in the equivalent circuit of the transformer in that the two windings are connected “effectively in series” [92]. Since only positive circuit elements were permitted in the discussion of Eqs. (10.4.8a–10.4.8b), the resonant circuits in Fig. 10.88 always contain inductances and capacitances simultaneously. However, if negative circuit elements are also permitted, Eqs. (10.4.8a–10.4.8b) can be fulfilled by reactances of one type only. The inductive reactance X 3 is represented by a parallel resonant circuit, the resonance frequency of which is above the oscillating frequency of the oscillator. An inductive three-point circuit can be formed in principle according to Fig. 10.88 by two separate, i.e. completely decoupled, coils. However a coil with tapping, an autotransformer, can be used to realize the “Hartley circuit”. In the calculation, it must be noted that, with an autotransformer, i.e. magnetically coupled coils, the partial voltages behave approximately in the same way as the corresponding winding numbers, or, conversely, in the case of two decoupled coils, in the same way as

1034

V. Issakov and U. L. Rohde

X1 wL1

1/wC1 X2

wL2

wL2 > 1/wC2 inductive

1/wC2

capacitive

1/wC2 > wL2

X1 + X2 inductive

capacitive

X3 wL 3

1/wC3

L2

C3

L3

E

E C2

E L2

C1

C2

C1

(“Colpitts”)

L1

L1 B

B

B C

C C2

L3

C3

E

L2

C

C

C

B

or

(“Clapp”)

or C

X3 X2

C C2

C2

E

E

E L2

X1 B (“Hartley”)

B or

resonant circuit

B or C

C C2

B

E

L3 L1

B E

(“Huth-Kühn”) Designation

capacitive three-point circuit “Colpitts” “Clapp”

(“Lampkin”)

inductive three-point circuit “Hartley” “Lampkin” “Huth-Kühn”

Fig. 10.88 Combinations of the reactances X 1 , X 2 and X 3 commonly used for LC oscillators

10 Oscillators and Frequency Synthesis Circuit type

1035

General basic circuit

Basic emitter circuit

Basic collector circuit + +

a Meissner circuit

+ +

+ +

+

b inductive three-point (“Hartley”) circuit

+

Dr Dr +

+

Dr +

+

+

+

+

c capacitive three-point (“Colpitts”) circuit

Dr Variety: “Lampkin” +

+ L2

Cr Cr

Dr Dr

C1 C3

Dr

Dr

C2

Cr +

+

+

+

Variety: “Clapp”

Fig. 10.89 LC oscillators according to Fig. 10.88 in the three basic circuits

the squares of the winding numbers. The Hartley oscillator circuit is indicated in Fig. 10.89b. If the autotransformer is replaced with two coupled coils, the Meissner circuit according to Fig. 10.89a is again obtained (if necessary following transformation of C 2 ). Fundamental differences do not therefore exist between a Meissner, a Hartley and an inductive voltage divider feedback circuit. If the divider circuit X 1 , X 3 is designed as capacitive rather than inductive, the “Colpitts circuit” is obtained as shown in Fig. 10.89c. The feedback coefficient can be set by C r . It is thereby possible to select C 1 and C 3 equal. A variant of the Colpitts oscillator in the basic collector circuit is the Clapp oscillator (Fig. 10.89c). If C 1 and C 3 are selected as much higher than the operatingpoint-dependent transistor capacitances C BE and C EC , connected in parallel, their fluctuations remain virtually without influence on the resonance frequency. However, since X 2 = −(X 1 + X 3 ) then requires a very small inductance, which, in some instances, is difficult to implement, a capacitance C 2 is connected in series, so that ωL 2 > 1/ωC 2 is fulfilled. In this way, L 2 can be selected as sufficiently large, since the effective inductance L eff according to the equation L eff = L 2 (1 − (1/ωr2 L 2 C2 )) is less than L 2 .

1036

V. Issakov and U. L. Rohde

Fig. 10.90 Franklin oscillator with NPN transistors

Cr

L

Ck

C

+UB

The advantage of the high frequency operation of the Clapp oscillator is countered by the disadvantage that the oscillating amplitude, which is strongly frequencydependent, when frequency is tuned by means of C 2 . With given reactances X 1 , X 2 and X 3 , the resonance frequency of an oscillator is determined by X 1 + X 2 + X 3 = 0 (Eq. 10.4.8a), which can therefore also be referred to as the “frequency condition”. The “load condition” (Eq. 10.4.8b) determines the maximum permissible load conductance G for the oscillation build-up. By inserting Eq. (10.4.8a) into (10.4.8b), it is calculated as G = gm X 1 /X 3 . For the Colpitts oscillator, this becomes G = gm C 3 /C 1 . In practice, the load conductance G cannot easily be determined, since it is composed of the conductances of the load and the losses of the oscillator circuit. The transconductance gm or the capacitance ratio C 3 /C 1 must therefore be modified in such a way that the oscillator begins to oscillate reliably, but the voltage delivered is not yet too strongly limited. In the state of limitation, the oscillating conditions (Eqs. 10.4.8a, 10.4.8b) no longer apply, since the small-signal operation is abandoned. In the oscillator circuits discussed so far, the 180° phase rotation required between the collector terminal and base terminal C and B (Fig. 10.88) was carried out by the network X 1 , X 2 . If a second transistor in the basic emitter circuit is used for the phase rotation, the Franklin oscillator is then obtained (Fig. 10.90). A high frequency stability of oscillation can be achieved with this oscillator, since the capacitances C k and C r can be dimensioned as very small due to the high amplification of the two-stage amplifier. As a result, the operating-point-dependent transistor conductances and capacitances exert little influence on the resonance frequency of the resonant circuit L, C. For power oscillators, preference is given to push–pull circuits as shown in Fig. 10.91, in which the necessary phase shift is similarly carried out by the second tube. Generators of this type are built above all for medical and industrial applications in the kilowatt range, rather than for telecommunications purposes. In the case of oscillators for frequencies above 1 GHz, the implementation of the necessary components (L and C) in concentrated form causes difficulties, since the lead inductances to the terminals of the active element and its inductances and capacitances play a decisive role. Coaxial line circuits or strip lines are therefore used as line resonators. Transistors in coaxial or strip line housings are used as active

10 Oscillators and Frequency Synthesis

1037

a

UB

b UB

–Ug

–Ug

–Ug

Fig. 10.91 Push–pull oscillator with triodes for industrial and medical applications

elements, or, at higher power, disk triodes, which are operated in the general basic circuit or basic grid circuit.

10.4.3 RC Oscillators (Oscillation Condition) The frequency-determining part of an oscillator does not necessarily have to consist of two different energy stores, such as coils and capacitors. At low frequencies, coils are large and heavy compared with the other components. Small, light and integrable oscillators can be constructed with networks consisting of resistors and capacitors. If controllable path resistances of transistors are used as resistors and capacitance diodes are used as capacitors, the frequency of the oscillator can be modified by means of an auxiliary voltage (VCO = voltage-controlled oscillator). In practice, four types of frequency-determining feedback networks are primarily used: the RC branch circuit, the Wien voltage divider, the bridged T-circuit and the double-T-circuit. RC oscillators with these networks have a number of common characteristics which are discussed using the example of the Wien-Robinson oscillator, before the circuit technology of the remaining oscillators is examined. Figure 10.92a shows a block diagram of an oscillator which, as a complement to the oscillator shown in Fig. 10.82, contains not only the “positive-feedback fourterminal network” with the transformation factor km = U2 /U2 , but also a “negative feedback four-terminal network” with the transformation factor kg = U2

/U2 . The transformation factor of the amplifier v = U 2 /U 1 is assumed to be frequencyindependent in the vicinity of the resonance frequency v = v0 , and its phase is assumed to be ϕ v0 = arc v0 = vπ where v = 0, 2.

1038

V. Issakov and U. L. Rohde

a

b U1

v ka

U2

ka

U2

U1

+ –

V

U2

U2

U2

U2

kg

kg

Fig. 10.92 a and b Oscillator with separate positive and negative feedback networks: a amplifier with an input; b operational amplifier

In all of the following examples, as shown in Fig. 10.92b, an operational amplifier is selected as the amplifier, which is intended to be wired in such a way that its upper limit frequency is far above the resonance frequency of the oscillator. In the oscillator circuits used in practice, one of the transformation factors is always frequencyindependent and real, and in this case is referred to as k m0 or k g0 . The other feedback four-terminal network determines the resonance frequency, its transformation factor being represented in the form k g = x g + jyg or km = xm + j ym . The oscillation condition of the oscillator circuit (Fig. 10.92a) is obtained by means of a voltage rotation of the input as U1 = U2 − U2

. With km = U2 /U2 , kg = U2

/U2 , and v = U2 /U1 , it is obtained in the form 

 km − kg v = 1

(10.4.11)

and can be split up into an amount condition # # #km − kg #|v| = 1

(10.4.12)

ϕk + ϕv0 = 2mπ, m = 1, 2, 3, . . .

(10.4.13)

and a phase condition

  where ϕk designates the phase of the difference km − kg .

10.4.3.1

RC Oscillators with Frequency-Dependent Positive Feedback

RC oscillators with frequency-dependent positive feedback are the Wien-Robinson oscillator and all oscillators with RC branch circuits. In these oscillators, km = xm + jym and kg = kg0 , and the following is obtained for ϕk :

10 Oscillators and Frequency Synthesis

  Im km − kg ym  = arctan ϕk = arctan  . x Re km − kg m − kg0

1039

(10.4.14)

Phase slope and stability factor. The dimensioning of the positive and negative feedback four-terminal networks is mainly determined in practice by v0 . The phase slope of the entire feedback circuit is calculated from Eq. (10.4.14) as:   m m xm − kg0 dy − ym dx dϕk dω dω = S(ω) = .  2 dω xm − kg0 + ym2

(10.4.15)

Due to ym (ω0 ) = 0 and with x m (ω0 ) = x m0 , the phase slope at the resonance frequency is obtained from this as S(ω)|ω0 =

# # dym ## dyk ## 1 = = S(ω0 ). dω #ω0 xm0 − kg0 dω #ω0

(10.4.16)

If ω is scaled to ω0 , oscillators with different resonance frequencies can easily be compared in terms of their phase slope: # # # dym ## dym ## 1 1 ω ## = = ω0 . S ω0 #ω0 km0 − xg0 d(ω/ω0 ) #ω0 xm0 − kg0 dω #ω0 

(10.4.17)

This equation is not changed due to a scaling of ω and ω0 to 1/RC. Here, = ωRC and 0 = ω0 RC. This scaling will also prove to be appropriate in the examples below. The following applies: # # dym ## 1 1 ## = 0 = s = v0 · s = S0 . S 0 # 0 xm0 − kg0 d # 0 xm0 − kg0 

(10.4.18)

The phase slope, which is intended to be as large as possible at the resonance frequency, is therefore determined from the product from the amplification v0 and the factor s. # # dym ## dym ## = (10.4.19) s = ω0 0 dω #ω0 d # 0 The factor is given only by the frequency-determining positive-feedback fourterminal network and can be referred to as the “stability factor” according to [93]. The stability factor of a network can be maximized through suitable dimensioning of the switching elements thereof. Wien-Robinson oscillator. Figure 10.93 shows as an example the circuit of the Wien-Robinson oscillator, in which the positive-feedback network R1 , C 1 , R2 , C 2

1040

V. Issakov and U. L. Rohde

C1=C•w R1=R/u

R3 + U1

C2=C/w

R2=R•u

v −

R4

U´2 U´´ 2

U2

Fig. 10.93 Wien-Robinson oscillator. The positive-feedback network C 1 R1 , C 2 R2 (Wien voltage divider) determines the resonance frequency, and the resistances R3 and R4 form the negativefeedback network

determines the resonance frequency, while the negative feedback network is formed by the ohmic voltage divider R3 , R4 . The calculation of the transformation factors k m and k g0 is simplified if the input resistances of the operational amplifier (measured between the terminals (+) or (−) on the one hand and the ground connection on the other hand) are very high compared with the internal resistances on the output side of both feedback networks. The feedback networks can then be regarded as open-circuited on the output side. This will apply to all following examples also. The influences of finite input resistances of the amplifier on the characteristics of the oscillator are discussed in [94]. It is furthermore assumed that the internal resistance of the operational amplifier on the output side is low compared with the input resistance of the feedback networks, so that v is independent from it. For the Wien-Robinson oscillator (Fig. 10.93), the transformation factor of the negative-feedback four-terminal network (unloaded ohmic voltage divider) is calculated as k g0 = R4 /(R3 + R4 ) and that of the positive-feedback four-terminal network (“Wien voltage divider”) as    R1 C2 1 . + + j ωC2 R1 − km = 1/ 1 + R2 C1 ωC1 R2

(10.4.20)

The resonance angular frequency ω0 is obtained from the condition that, according to Eq. (10.4.11), the imaginary part of k m must disappear, since v = v0 and k g = k g0 are real: ω02 = 1/(R1 C1 R2 C2 )

(10.4.21)

10 Oscillators and Frequency Synthesis

1041

If R1 , R2 , and C 1 , C 2 are referred using two parameters u and w in each case to a reference parameter R and C in the form R1 = R/u, and

R2 = Ru where R =

$

R1 R2

$ C1 = Cw, C2 = C/w where C = C1 C2 ,

(10.4.22)

following insertion into Eq. (10.4.20) and transformation with R1 C2 1 1 1 =1+ + =1+ 2 + 2 km0 R2 C1 u w the transformation factor k m is obtained as km =

1 km0 1 2 km0



+

j  uw

1 u 2 w2







1



 1 2

.

(10.4.23)

The scaling of ω to 1/RC will prove to be appropriate in all following examples also. Following insertion into Eq. (10.4.21), the following is obtained for the resonance frequency: 0 = ω0 RC = 1. According to Eq. (10.4.11b), the relationship km0 − kg0 = 1/v0 exists between k m0 and kg0 = 1/(1 + R3 /R4 ). The higher the amplification v0 , the more k g0 is to be approximated to the value k m0 . The following therefore applies to v0  1: R3 R1 C2 ≈ + . R4 R2 C1

(10.4.24)

If the resonance frequency is varied using a two-gang capacitor, an equally great frequency variation can be achieved with a √ capacitance variation of 10 due to ω0 ~ 1/C, whereas only a frequency variation of 10 is possible for the LC oscillator. For the variable capacitor, a design with two equal plate packages (w = 1) is appropriately selected, as this provides good “synchronism”. Accordingly, u = 1 is selected if the frequency is varied with a double potentiometer. √ Figure 10.94 shows loci of k m for three different parameter values u = w = 1, 2 and 2. The phase ϕk (ω0 ) determines the phase ϕ v0 . In the Wien-Robinson oscillator, ϕk (ω0 ) = 0, and therefore, according to Eq. (10.4.11c), the phase ϕ v0 must be an integral multiple of 2π : ϕv0 = 2mπ, m = 1, 2, 3 . . .. For this reason, the output of the Wien voltage divider is connected to the plus input of the operational amplifier. For the Wien voltage divider, Eq. (10.4.23) with Eq. (10.4.19) supplies the stability factor

1042

V. Issakov and U. L. Rohde Im(km) =ym 0.3 0.2 W

W

W

0.5 0.6

0.7 Re(km)=xm

W = 0.8

0.1

W =1.0 0

0.1

0.2

–0.1 (1)

0.3

0.4 W =1.25 (2)

(3)

–0.2 –0.3

Fig. 10.94 Loci of the open-circuit transformation factor k m of the Wien voltage divider from Fig. 10.93. The following applies: curve (1) u = w = 1, xmo = 1/3, s = −2/9; (2) u opt = √ wopt = 2, xm0 = 1/2, smax = −1/4; (3) u = w = 2, xm0 = 2/3s = −2/9

# dy ## −2 s = 0 =  # d 0 uw 1 + u12 +

 1 2 w2

.

(10.4.25)

√ Optimization produces u opt = wopt = 2 and therefore a stability factor smax = −1/4 [93–95]. For above-mentioned dimensioning (R1 = R2 , C 1 = C 2 ), u = w = 1. The stability factor is −2/9 and therefore only insignificantly different from smax = −1/4. At a constant resonance frequency 0 , the amount of s is proportional to the distance between the frequency markers on the locus of k m in the vicinity of the resonance frequency. On the three loci √ (Fig. 10.94), the frequency markers = 0.8 and = 1.25 for u opt = wopt = 2 [curve 2] corresponding to s = −1/4 are therefore at a greater distance from the real axis than for u = w = 1 and u = w = 2 corresponding to s = −2/9 [curves (1) and (3)]. In the first factor 1/(x m0 − k g0 ) of Eq. (10.4.18), x m0 is defined by the dimensioning of the frequency-determining positive-feedback four-terminal network. For a given amplifier, v0 is similarly defined, and the transformation factor of the negative-feedback branch k g0 = R4/(R3 + R4) is to be set to k g0 = 1/v0 − x m0 . With conventional operational amplifiers, an amplification of v0 = 103  60dB is achieved at f 0 = 100 kHz. With x m0 = 1/3, k g0 = (1/3) − 10−3 ≈ 1/3 is determined, and with s = −2/9 a phase slope of S 0 = sv0 = 103 (−2/9) ≈ −222. With increasing open-circuit amplification v0 , the phase slope rises according to Eq. (10.4.18). However, it must not be inferred from this that frequency stability also

10 Oscillators and Frequency Synthesis

1043

increases (to the same extent). If several amplifiers with different values for v0 can be selected, a statement regarding frequency stability can be made only if they are brought experimentally by means of a frequency-independent negative feedback to the same amplification v1 (e.g. v1 = 1/x m0 ) and, under these experimental conditions, the phase distortions of their transformation factor are recorded and compared using statistical methods (see Sect. 10.4.3.2). In other words, a second amplifier with an open-circuit amplification higher by the factor k would produce a more stable oscillator only if its phase distortions ϕ v were greater by less than k. Concerning the influence of the negative feedback, Fig. 10.95 again shows as curve (1) the locus of k m according to Eq. (10.4.23). The selection of u = w = 1 determines the position of the frequency markers (e.g. 1 , 2 ) and therefore s = − 2/9 and x m0 = 1/3. Curve (2) is associated with the transformation factor (k m − k g0 ) for k g0 = 1/5 and is therefore shifted in relation to curve (1) by the path k g0 toward the point of origin. The stability factor is the same for both curves, since the position of the frequency markers on the locus is unchanged, unlike the phase slope. According to Fig. 10.95, although ϕ1 = ϕ2 = ϕ, 1 = | 0 − 1 | > 2 = | 0 − 2 | and therefore |S1 | = ϕ| 1 < ϕ| 2 = |S2 |.

(10.4.26)

Im(km–kg ) 0.2 (2)

(1)

0.1 0.2

0.1

0

0.1

0.2

0.3

0.4 Re(km–kg)

0.1

0.2

1/v0

Kg0 Xm0

Fig. 10.95 Influence of the negative feedback on the phase slope. Locus (1) is taken over from Fig. 10.94, locus (2) is associated with the transformation factor (k m − k g ) and is shifted in relation to (1) by k g0 toward the origin

1044

V. Issakov and U. L. Rohde 180° kg0 = 1/3 = xm0 1/ 5 0 90°

jk

0

–90°

–180° 10–2

kg0 =0 1/5 1/3 =xm0 10–1

1 W = wRC

101

102

Fig. 10.96 Phase angle ϕ k of the transformation factor (k m = k g0 ) in the Wien-Robinson oscillator via with k g0 as the parameter. For x m0 = k g0 , a phase shift occurs, since the locus of (k m = k g ) runs through the origin

Similarly for u = w = 1, Fig. 10.96 shows the course ϕ k ( ). For k g0 = 0, the phase slope is S 01 = s/x m0 = (−2/9) · 3 = −2/3; for k g0 = 1/5, S 02 = −5/3 is obtained, so that |S 02 | > |S 01 | applies. In the transition from = 0 = 1 to = 2 or 0 = 1/2, the phase change at k g0 = 0 is ϕ 1 = 26.6°, whereas for k g0 = 1/5, it is ϕ 2 = 63.4°, as Eq. (10.4.14) confirms. If k g0 is increased to the value k g0 = x m0 , the phase slope according to Eq. (10.4.15) assumes the Infinite limit value. The locus of (k m − k g ) runs through the point of origin, and, in the course of ϕ k ( ), a 180° phase shift occurs (Fig. 10.96). The necessary open-circuit amplification v=

1 xm0 − kg0

(10.4.27)

would have to be infinite for k g0 = x m0 , so that the case of an infinitely high phase slope cannot be implemented. If a low distortion factor of the output voltage is regarded as important, U2 must be decoupled rather than U 2 (Fig. 10.93), given that harmonics are attenuated due to the bandpass character of the Wien voltage divider. For the triple resonance frequency ( = 3), a transformation factor k m3 = k m ( = 3) is calculated as k m3 = 0.19 − j0.17 and |k m3 | ≈ 1/4 < k m0 = 1/3 from Eq. (10.4.23) where u = w = 1. The problems of amplitude stabilization are discussed. For circuits used in practice, see [96–98]. Oscillators with RC branch circuits. RC branch circuits are used above all in conjunction with individual transistors, since an RC coupling which is already present

10 Oscillators and Frequency Synthesis

1045

can be incorporated into the feedback network. Three-membered RC chains are normally used in high-pass and low-pass circuits with a transformation factor of x m0 = −1/29 [99, 100]. Two-membered chains in a high/low-pass circuit are also used [101, 102]. For optimization, the number of members can be increased beyond three or a geometric progression of the resistance level can be introduced [29, 94, 103– 108]. The calculations required for this purpose are carried out in the 2nd, 3rd and 4th editions of this book, and the results are indicated in tabular form, along with further bibliographical references. A complete system can be found in [109].

10.4.3.2

RC Oscillators with Frequency-Dependent Negative Feedback

Whereas the positive-feedback four-terminal network was frequency-determining in the circuits previously considered, oscillators will now be examined in which the transformation factor of the positive-feedback four-terminal network is frequencyindependent k m = k m0 and the negative-feedback four-terminal network with the transformation factor k g = x g + jyg determines the frequency. For the scaled phase slope of an oscillator, the relationship  S

# # dyg ## 1 1 ## = = s = −v0 · s = S0 0 0 # 0 xg0 − km0 d # 0 xg0 − km0

(10.4.28)

is calculated, where x g0 = x g ( 0 ), which corresponds to Eq. (10.4.18). Frequently used negative feedback networks are the bridged T-circuit and (with specific dimensioning) the double-T-circuit, which Fig. 10.97 shows in an oscillator circuit. RC oscillators with bridged T-circuits. The open-circuit voltage transformation factor of the two bridged T-circuits1 in Fig. 10.97 is calculated with = ω RC in the four-terminal network a as kg(a) =

U2

1 − K 2 + j (1 + K )/a   = U2 1 − K 2 + j 1 + K + a 2 /a

(10.4.29a)

or in the four-terminal network b as k g(b) =

U2

K − 2 + j (1 + K )/a  .  = U2 K − 2 + j 1 + K + a 2 /a

(10.4.29b)

For K = 1, kg(b) = kg(a) = kg applies. Figure 10.98a shows the locus of k g , where a = 1 has been set. The resonance frequency 0 follows from the condition yg ( 0 ) = 0 for the fourterminal network a and b as 1 Both four-terminal networks are equivalent for K = 1 and a = 2, i.e. the corresponding fourterminal matrices of both four-terminal networks are identical.

1046

V. Issakov and U. L. Rohde

a Km0=

R1

R2 R1+R2

+ U1 C/a

R

KR

U2



U´2=

R2

kg•U2

aC

b

c

C

C/K

C

aR

U2

U2

U´2=Km•U2

C/K R/a

U˝2= Kg•U2

U2

R bC

KR aR

U˝2= Kg•U2

Fig. 10.97 a–c RC oscillators with frequency-dependent negative feedback. Negative-feedback four-terminal networks are bridged T-circuits in (a) and (b), and the double-T circuit in (c) (a) (a) 0 = ω0 RC =

$ √ (b) 1/K and (b) K 0 = ω0 RC =

(10.4.30)

and therefore determines the transformation factor x g0 from Eqs. (10.4.29a– 10.4.29b) for both four-terminal networks as   (a) (b) = xg0 = (1 + K )/ 1 + K + a 2 xg0 = xg0

(10.4.31)

 # and the stability factor s = 0 dyg /d # 0 as $  2 s = s (a) = s (b) = 2a 3 K / 1 + K + a 2 .

(10.4.32)

The fundamental difference between Fig. 10.98a and the locus of the Wien voltage divider (Fig. 10.113) lies in the position of 0 . Whereas in the Wien voltage divider the real part of the transformation factor is the maximum for 0 , it is minimal in the bridged T-circuits. This characteristic requires both bridged T-circuits to be placed in the negative-feedback branch. As a result, the locus (Fig. 10.98a) is transformed by mirroring at the point of origin into the (−k g ) plane (Fig. 10.98b).

10 Oscillators and Frequency Synthesis

1047

a Im(Kg) 1/3 W W=

W0 = 1

W=0

0 1/3

3/3 W

2/3

b

Re(kg)

Im(–kg) 1/3 W W0 = 1

W= 0

0

W= –3/3

–2/3

Re(–Kg)

–1/3

W

c

Im(Km–Kg) 1/3 W W0 = 1

W= 0 W= –2/3

1/9 2/9

–2/9 xg0 = 2/3 km0 = 7/3

Re(km–kg)

W 1/v0 = 1/9

Fig. 10.98 a–c For the oscillator with frequency-dependent negative feedback: a locus of kB = U2

/U2 , of the two bridged T-circuits from Fig. 10.97a, b. b Transformation of the curve from ‘a’ into the (−k g ) plane through mirroring at the point of origin; c shift of the curve from b by the path k m0 . Cf. curve (2) from Fig. 10.95

The introduction of a frequency-independent positive feedback causes a shift in the locus by the path k m0 to the right, and, with Fig. 10.98c, the locus of (k m − k g ) is obtained, the real part of which becomes the maximum for 0 as in the Wien voltage divider. The amplification required for oscillation operation is obtained from Eq. (10.4.28) as v0 = 1/(k m0 − x g0 ). It is minimal for k m0 = 1, since the entire output voltage of the amplifier is then positively fed back to the input. By selecting k m0 in the limits 1 >

1048

V. Issakov and U. L. Rohde

k m0 > x g0 , the phase slope can be modified according to Eq. (10.4.28) in the s/(x g0 − 1) < S 0 < ∞ range. In Fig. 10.98, k m0 = 7/9, x g0 = 6/9, v0 = 9, s = 2/9 and S 0 = − 9(2/9) = −2. The relationship between a and K for the maximum stability factor is obtained from the condition ds/da = 0 as a2 = 3(1 + K) and, following insertion of this relationship into Eq. (10.4.32), the maximum stability factor $ $ √ (10.4.33) smax = (3 3/8) K /(1 + K ) ≈ 0.65 K /(1 + K ) with a transformation factor x g0 = 1/4 (Eq. 10.4.31). The influences of finite terminal resistances and capacitor losses on 0 , x g0 and s are investigated in [94]. RC oscillators with a double-T-circuit. The transformation factor of the doubleT-circuit (Fig. 10.97c) can become zero at a finite frequency. Depending on the selection of the balancing conditions required for this purpose, it can be disposed in the positive- or negative-feedback branch [94, 109–112]. The calculations required for this purpose can be found in the 2nd, 3rd and 4th editions of this book.

10.4.4 Frequency Stability The output voltage of an ideal oscillator is assumed to be given by u(t) = U0 × cos ω0 t.

(10.4.34)

The amplitude U 0 and angular frequency ω0 are constant. Conversely, a real oscillator strictly speaking generates no harmonic oscillation. Its output signal is assumed to be described by the function u(t) = U (t) cos ϕ(t) = U (t) cos(ω0 t + (t))

(10.4.35)

The time-dependent parameter U(t) can also be referred to here in the extended sense as “amplitude”, since the deviations from a pure cosine oscillation are small in a good oscillator. An “interfering phase” Φ(t) is superposed onto the time-proportional phase ω0 t and the instantaneous angular frequency ω(t) is calculated from Eq. (10.4.35) as ˙ = ω0 + d(t)/dt, ω(t) = ω0 + (t)

(10.4.36)

which can be described as a superposition of the constant angular frequency ω0 with an “interfering frequency” Φ(t).

10 Oscillators and Frequency Synthesis

1049

The spectrum of an oscillation affected by interference according to Eq. (10.4.35) reveals not only the line at ω0 , but also further components depending on the interferences affecting the oscillator. Since unwanted spectral components of this type can influence the function of telecommunications equipment, the methods for calculating them and measures for reducing them form the basic tasks of equipment development.

10.4.4.1

Causes of Frequency Fluctuations

The causes of frequency fluctuations are, on the one hand, the actual interferences specified in Chap. 8, and, on the other hand, physical and chemical changes in the materials of the oscillator circuit, also referred to as aging [113]. The interferences in classes 1 to 3 (“man-made noise”) specified in Chap. 8 can be prevented through adequate filtering and shielding of an oscillator circuit to the extent that they no longer exert any substantial influence on frequency stability. In an oscillator circuit, the same also applies to atmospheric interferences (class 4) and to intelligible crosstalk (class 6). Conversely, class 5 interferences due to random noise cannot in principle be avoided in any oscillator circuit, although they can be influenced through suitable selection of components and materials. They are the primary cause of the shortterm fluctuations in the oscillator frequency, insofar as the aforementioned filter and shielding measures are carried out with sufficient care. The arithmetical recording of noise interferences of this type requires statistical methods which will be discussed in the following section. A distinction must be made between random and undirected noise interferences and persistent, directed changes in the oscillator frequency which are caused by aging events and are characterized in that the frequency rises or falls uniformly during large time segments.

10.4.4.2

Short-Term and Long-Term Stability

The term “standard deviation” defined in Chap. 8, or “scattering”, or its square, “variance”, is used above all as a measure of the frequency stability of an oscillator. All methods for frequency measurement in the time domain are essentially based on a counting of cycles during a time unit (“gate time”) or time units during one or more cycles [114, 115]. Variance is determined from a number N of measurement values obtained at a constant time interval T (“dead time”) from one another. It is therefore essentially a function of the gate time τ, the dead time T and the number N of measurement values. If the measurement values for the instantaneous frequency are designated as f k and the arithmetic mean value obtained from N measurements as f M , the variance can be calculated from this by analogy according to [116] as follows:

1050

V. Issakov and U. L. Rohde

1 ' ( f k − f M )2 N − 1 k=1 N

var(N , T, τ ) =

(10.4.37)

where fM =

N 1 ' fk . N k=1

The variance calculated in this way records both the statistical fluctuations of the instantaneous frequency and the aging-related frequency drift. The time required to determine a sufficiently large number N of measurement values can be so great that the aging-related drift of the instantaneous frequency exerts a noticeable influence on the measurement values f k . An influence of this type can be recognized in that the variance converges only slowly or does not converge at all as N increases. If only the short-term frequency fluctuations caused by noise are to be recorded, a different evaluation method is required, which is indicated in [117]. To do this, a specific variance var2 is formed from two temporally immediately consecutive measurement values f 1 and f 2 according to Eq. (10.4.37) for N = 2 as follows: var(2, T, τ ) =

2 '

)

k=1

1' fk fk − 2 k=1 2

*2 =

1 ( f 1 − f 2 )2 , 2

(10.4.38)

the value of which is virtually independent from a long-term drift. If the arithmetic mean value of K of such variances is referred to the center frequency f M , the “Allan variance” is obtained as follows: σ22 =

K 1 1 ' · ( f 2k − f 2k−1 )2 f M 2K k=1

(10.4.39)

as a measure of the short-term stability of an oscillator. The root from this expression is also often referred to as the “Allan variance”. The number of required measurement values depends on the nature of the noise and can be found in [117]. For very long gate times τ, the short-term fluctuations increasingly average out and the variance according to Eq. (10.4.37) is essentially determined by the longterm drift. It is measurable for high-frequency oscillators with gate times as from around one second. To indicate long-term stability, the instantaneous frequency is written in the form of a truncated Taylor series f (t) = f 0 (1 + αt)

(10.4.40)

10 Oscillators and Frequency Synthesis

1051

and the aging rate is designated as α. In the case of precision oscillators, it is determined through repeated measurements of the center frequency over lengthy time periods and is indicated for different time intervals. The aging rate is greatest following the initial commissioning of an oscillator and achieves a virtually constant final value after a lengthy operating time. Frequency stability can also be measured in the frequency domain. To do this, the spectral power density of the oscillator signal is measured, the autocorrelation function is calculated from this and the variance can be determined with knowledge thereof [114, 118]. The following section examines the relationship between the circuit technology of oscillators and their frequency stability.

10.4.4.3

Phase Slope

If the oscillator circuit is divided into a broadband, active part and a frequencydetermining, passive part, a measure of the frequency stability is provided by the “phase slope” of the passive circuit part, which can be defined as S = |dϕ k /dω|ω=ωr .2 Here, ωr is the angular frequency of the generated oscillation and ϕ k is the phase angle between the input and output parameter of the passive frequency-determining circuit part. If a small change ϕ v in the phase ϕ v is caused between the input and output parameters in the active part due to any interference, the passive part must compensate for this phase change in that its phase ϕ k changes by ϕ k = − ϕ v , since kv0 = 1 (Eq. 10.4.4) must always be fulfilled. However, this is only possible through a frequency change ω = − ϕ v /S. With a view to high frequency stability, the phase changes ϕ v must therefore be small and the phase slope S must be great. If a negative feedback is additionally provided, as is customary in RC oscillators, the phase slope is no longer necessarily a measure of frequency stability. The problems involved here are discussed in Sect. 10.4.6. ϕ v , a phase change in the active part of the oscillator, can be kept small by using stabilized network devices which prevent unwanted voltage fluctuations, by means of special circuit measures, e.g. negative feedback, and by using special tubes and transistors. All considerations presuppose that no phase changes occur in the frequencydetermining passive part. They may occur, for example, due to the fact that the electrical characteristics of the individual components change. To prevent this, temperature fluctuations must be avoided or compensated, by using thermostats or components with small temperature coefficients which are also tuned to one another for this purpose. Through the simultaneous use of ceramic capacitors with positive and negative temperature coefficients, the resonance frequency of the resonant circuit can be 2

In [119] the phase slope is defined as S ∗ = |dϕk /d f | f = fr . S ∗ = 2π S applies.

1052

V. Issakov and U. L. Rohde

Fig. 10.99 Generalized oscillator equivalent circuit for calculating the phase slope of the passive circuit part

y2 U1

U2

y3

y1

U´2

gmU1

rendered largely temperature-independent. The influence of a change in the transistor capacitances can be eliminated by using larger resonant circuit capacitors connected in parallel with the transistor capacitors (see Clapp oscillator). A significant cause of frequency changes is the dependence of the frequency on the load, as becomes clear from Eq. (10.4.8b). It can only be eliminated by forfeiting high efficiency through the use of very loose coupling. To consider the phase slope, the phase changes ϕ v are taken into account by assuming in the equivalent circuit (Fig. 10.80) that the input voltage of the active four-terminal network and the voltage controlling the power generator as shown in Fig. 10.99 are different from one another. The transformation factor k p = U2 /U1 of the passive part of the oscillator circuit is obtained from Fig. 10.99 as   y1 y3 = x + jy kp = −gm / y1 + y3 + y2

(10.4.41)

  Im kp y ϕk = arctan   = arctan . x Re kp

(10.4.42)

and its phase as

If the transistor conductances y1 , y2 and y3 (Fig. 10.79) are ignored as opposed to the conductances y1

, y2

and y3

respectively, which can always be achieved through loose coupling of the transistor to the resonant circuit, and if the loss factors of the capacitors are ignored as opposed to those of the coils, the following must be introduced for the example of the Colpitts oscillator in Eq. (10.4.41): y1 = jωC1 ,

y2 = 1/(R2 + jωL 2 ) and y3 = jωC3

where R2 is the series loss resistance of the coil. The following transformation factor is then obtained:   ω2 C1 C3 R2 + jω C1 + C3 − ω2 L 2 C1 C3 (10.4.43) kp = x + jy = gm  2  2 . ω 2 C 1 C 3 R2 + ω 2 C 1 + C 3 − ω 2 L 2 C 1 C 3 The oscillation angular frequency ωr is obtained from the condition

10 Oscillators and Frequency Synthesis Fig. 10.100 Block diagram of a simple PLL oscillator

1053

Reference fref oscillator (quartz)

Phase comparator

Low-pass “loop filter”

fvco N

Voltagecontrolled oscillator “VCO” fvco

Divider N N definable

y(ωr ) = 0 as ωr2 = (C1 + C3 )/L 2 C1 C3

fvco

(10.4.44)

and the phase slope at the oscillating frequency is calculated using Eqs. (10.4.42– 10.4.44) as S(ωr ) = |dϕk /dω|ω=ωr = 2L 2 /R2 = 2Q L /ωr .

(10.4.45)

Under the initially specified conditions, the phase slope of the Colpitts oscillator therefore rises proportionally with the coil quality. Since the quality of a coil decreases when approaching the natural resonance and unshielded coils have increasing radiation losses with increasing frequency, a changeover is made at frequencies above 100 MHz to shielded line resonators and at frequencies above 1 GHz to cavity resonators, which achieve quality values up to 105 . At frequencies up to around a maximum of 250 MHz, steep phase slopes and frequency constancy are preferably achieved through the use of quartz oscillators, which similarly have qualities of around 105 in the region of their series resonance frequency. A number of circuits for quartz oscillators are described in Sect. 10.4.4. They are becoming increasingly important through the use of phase-locked loops (PLL) for frequency synthesis, modulation and demodulation. With this technology, a voltage-controlled oscillator (VCO) is pulled to its assigned frequency. The control voltage required for this purpose is supplied by a phase comparator, which compares the VCO frequency with a reference frequency. This reference frequency is normally generated with a quartz oscillator. Figure 10.100 shows the block diagram of a PLL oscillator. This oscillator type is discussed in detail in Sects. 10.5 and 10.6.

10.4.5 Quartz Oscillators 10.4.5.1

Quartz as Resonator. Axes and Cuts

The mode of operation of quartz as an electromechanical transducer and resonator is based on the piezo-electric effect. If a mechanical pressure or traction is exerted on a plate cut out in a suitable manner from a quartz crystal, electric charges occur (“direct piezo-electric effect”). Conversely, charges applied to the plate cause a mechanical expansion or contraction (“reciprocal piezo-electric effect”) [120, 121].

1054

V. Issakov and U. L. Rohde Z

a

Z

b

R

R

Z

Z S

S x

x

+

m

m



m

m

X

X

m

m I

Y

Y

Z S

S x

Z

+ X

X

Z



Z

S

R

Z

R

Z

x

S x Y

Y

Fig. 10.101 a and b Quartz crystal with its axes: a left quartz. Faces RXSZ form a left screw around the Y-axis; b right quartz. Faces RXSZ form a right screw around the Y-axis

10 Oscillators and Frequency Synthesis

1055

Z

51°

CT

GT

AT

52° 3

0'

38° 35°

49°

DT BT

X+5° Y X–18° X

18°



Fig. 10.102 Typical quartz cuts for disks and rods; cut angle  = angle of rotation around the X-axis

Figure 10.101 shows a quartz crystal with the 3 axes, the X-axis3 (“electrical axis”), the Y-axis (“mechanical axis”) and the Z-axis (“optical axis”). Wafers in the form of square or round disks, lenses or rods or rings are cut out of a crystal of this type. Specific orientations in relation to the crystal axes and specific dimensions are maintained in order to achieve special temperature properties and oscillation forms. Designations as shown in Fig. 10.102 are normally used for the conventional cuts (AT cut, CT cut, X+5° cut, etc.). The high accuracy with which some cuts must be carried out is clearly shown by the example in Fig. 10.103. The dependence of the relative frequency change f /f on temperature for different cut angles (angle of rotation around the X-axis) is given there for the AT cut.

10.4.5.2

Oscillation Forms of Quartz Oscillators

The quartzes used in the transmitter and receiver technology as control and filter quartzes can be divided up according to their oscillation form into 3

All of the following considerations apply to the right quartz (Fig. 10.101b). They also apply to left quartzes if, in contrast to Fig. 10.101a, a left system is introduced for the axes, i.e. the axial direction X is inverted.

1056

V. Issakov and U. L. Rohde 15 •10-5

10

35°

Δf/f

5

12´

18´ 35°

0

35°26´ –5

35° 36´

–10 –15 –100 –80

–60 –40

–20

0

20

40

60

80 °C 100

Fig. 10.103 Frequency-temperature relationships of some AT cuts according to [122]

1. 2. 3. 4.

Bending vibrators (X+5° cut, NT cut [additionally rotated around the Y-axis]), Longitudinal vibrators (X+5° cut; X-18.5° cut; GT cut [additionally rotated through 45° around the Y-axis]), Face shear vibrators (CT cut, DT cut), width shear vibrators (CT cut), Thickness shear vibrators (AT, BT and SC cut).

The frequency ranges used for the individual vibrators are indicated in Fig. 10.104. Figure 10.104 shows the movement form and electrode arrangement of a thickness shear vibrator. To generate frequencies above around 25 MHz, the thickness shear vibrator is operated “in the overtone”, i.e. a suitable oscillator circuit is used to ensure that the quartz is excited in a mechanical harmonic. However, only the odd harmonics can be excited here (Fig. 10.106), since the electrodes would have the same polarity for the even harmonics. The harmonics that form are “anharmonic”, i.e. not exactly an integral multiple of the fundamental mode. Compared with a fundamental tone quartz with the same frequency, overtone quartzes have the advantage in the nth overtone of an around n times greater thickness of the wafer and therefore greater mechanical stability. The thickness decreases reciprocally to the frequency and, in

Face shear vibrator Longitudinal vibrator Bending vibrator

10 3

10 4

Thickness shear vibrator 10 5

f

10 6

10 7

10 8

Hz10 9

Fig. 10.104 Allocation of the oscillation forms of the quartzes to individual frequency ranges: thickness shear vibrators in the fundamental mode up to ≈25 MHz, in 3rd harmonic up to ≈60 MHz, in 5th harmonic up to ≈100 MHz, in 7th harmonic up to ≈150 MHz, in 9th harmonic up to ≈200 MHz

10 Oscillators and Frequency Synthesis

1057 X Z

Fig. 10.105 Thickness shear vibrator. Movement form and electrode arrangement

X Nodal plane

b

Y

Z

Movement

Nodal plane

b

+

Nodal planes

d

a

h



c +

Nodal planes



Fig. 10.106 a–c AT harmonic quartz: a fundamental mode f r (excitable); b oscillation 2f r (nonexcitable); c oscillation 3f r (excitable)

the case of an AT fundamental tone quartz around 30 MHz, is only around 55 μm. Higher quality factors than in the fundamental tone can also be achieved if the optimum diameter-thickness ratio is selected (Fig. 10.105). The problem of coupling oscillations, which occur with only one excitation in every quartz vibrator due to the different movement forms, will be discussed for the example of the SC quartzes. It is fundamentally examined, inter alia, in the literature [123–125].

10.4.5.3

Temperature Variation of the Frequency of Quartzes

The advantage of the quartz as a frequency-determining element lies in the fact that, along with a high quality (Q = 10,000 to 500,000) over a wide frequency range, it has only a very small temperature coefficient of the frequency. Here, the individual cuts behave very differently, as shown in Figs. 10.103 and 10.107 as an example of a number of cuts. In the bending, longitudinal and face shear vibrators, often referred to as “LF quartzes”, the relative frequency deviation of the frequency of the inversion

1058

V. Issakov and U. L. Rohde

Fig. 10.107 Frequency change dependent on temperature for a number of cuts

20 •10 –6

GT

GT

0 BT Df/f

DT

CT

DT

BT

–20

–40

0

20

40 J

60

80

C 100

point (Fig. 10.107) is f / f = −K ( − 0 )2 .

(10.4.46)

Here, ϑ is the working temperature, ϑ 0 is the temperature at the inversion point dependent on the cut angle and mechanical dimensions, and K is a factor of the unit K −2 . For rectangular rods and plates the following applies: BT cut: K = 4 × 10−8 K−2 , for  = −49° 15 , CT cut: K = 5 × 10–8 K−2 , for  = +38°, DT cut: K = 2 × 10–8 K−2 , for  = −52° 30 .  is the cut angle defined in Fig. 10.102. The relative frequency change f /f per temperature change is referred to as the “temperature coefficient of the frequency TK f ”. For the temperature ϑ, the temperature coefficient TK f from Eq. (10.4.46) is calculated through differentiation according to ϑ as:   d f = −2K ( − 0 ) T Kf = (10.4.47) d f Details of the temperature coefficient of the X+5° vibrators are provided in [122]. The dependencies of the temperature associated with the inversion point on the cut angle are also presented there. The GT cut (Fig. 10.107) is largely temperature-independent, but is not suitable for normal-frequency oscillators due to its poor long-term constancy. For the AT cut, the dependence of the relative frequency change on temperature can be closely approximated to a cubic parabola (Fig. 10.103), which is described with sufficient accuracy by the function f / f = −K 1 ( − INV ) + K 3 ( − INV )3

(10.4.48)

10 Oscillators and Frequency Synthesis

1059

20 ·10-5

=0

10 0 f/f

upper inversion point

–10 = 6´ –20 –60

1

lNV

–40

–20

0

20

40

60

80 C 100

J

Fig. 10.108 Relative frequency deviation of AT quartzes, calculated according to Eq. (10.4.51) where ϑ INV = 30 °C for the zero angle (  = 0) and for  = 6 . Thermostat operation customary in the emboldened curve piece around the upper inversion point at temperature ϑ 1

The temperature ϑINV at the turning point, referred to as the inversion temperature, for AT quartzes, depending on the frequency and cut, is in the region of 25 °C < ϑ < 36 °C. (In simple terms, the inversion temperature and the associated frequency simultaneously serve as reference parameters.) The following applies to the coefficients of Eq. (10.4.48) according to [126]: K 1 ≈ 84 × 10−9

 K −1 and K 3 ≈ 10−10 · K −3 . Angle minutes

(10.4.49)

 is the deviation from the zero angle, i.e. the cut angle  for which the inflectional tangent runs horizontally. The rise of the cubic parabola at the turning point and therefore the inversion points can be “set” (Fig. 10.108) through the selection of . The following is calculated from Eq. (10.4.48) as the temperature coefficient of AT quartzes:   d f = −K 1 + 3K 3 ( − INV )2 , TKf = d f

(10.4.50)

which assumes the value zero at the inversion points ϑ 1 of the cubic parabola (Fig. 10.108). If demanding requirements are imposed on the frequency constancy, AT overtone quartzes are used and are built in ovens which have an operating temperature at the temperature ϑ 1 of the upper inversion point (TKf = 0) and which are held by thermostats at a few thousandths of a degree. Normal frequencies with instabilities of less than f /f = 5 × 10−11 have been achieved within one day (the imprecision of the Earth’s rotation is around 5 × 10−8 within one day). To summarize, Fig. 10.109 shows the dependence of the temperature coefficient on the cut angle for thin face and thickness shear vibrators. Further questions are discussed in the literature [127, 128].

1060

V. Issakov and U. L. Rohde 80

•10−6

a

C 40

f/(f •DJ)

0

BT

AT

DT

–40

CT

b

–80 –80

–60

–40

– 20

q

0

20

40

60

80

Fig. 10.109 a and b Temperature coefficient of thin disks as a function of the cut angle according to [122]: a thickness shear vibrator; b face shear vibrator (The left intersection point of the curves a and b lies below the axis, the intersection point BT of a with the axis therefore to the right of DT )

In the case of all previously discussed quartz cuts, the specified relationships between the resonance frequency and ambient temperature apply only to the static case, i.e. where the entire quartz has completely assumed the new temperature following a change in the ambient temperature. This static case occurs in practice only if the quartz oscillator is constantly in operation, the electrical load of the quartz does not change and changes in the ambient temperature are kept away from the quartz by thermostats. In all other cases, fast temperature changes, e.g. through activation of the oscillator, can cause a temperature drop to occur within the quartz crystal. In such a case, the aforementioned quartz cuts respond with substantially greater frequency changes than the static frequency response characteristics indicate [129]. Quartzes respond similarly in the event of mechanical impact stresses which may be exerted via the bracket. Quartz cuts, the resonance frequency of which remains stable not only in the static case but also in the case of the aforementioned thermal and mechanical impact stresses, were predicted in [130, 131], see also [132, 133]. If the cut plane of an AT quartz ( ≈ 35°), as shown in Fig. 10.110, is additionally rotated around the new axis Z , the frequency stability in relation to thermal and mechanical impact stresses rises as the angle ϕ increases, until the frequency changes reach a minimum. By systematically changing  and ϕ, an optimum cut has been determined [129], which, according to [131], is referred to as the “SC” (stresscompensated) cut. It has a smaller temperature range than the AT cut in the static case also, and for this reason it is preferred despite its high price in the case of transportable precision oscillators. (Compared with the AT cut, two angles must be very precisely maintained.) Figure 10.111 shows, according to [134], the temperature range of two SC cuts compared with that of three AT cuts. Due to the high inversion temperature of the SC cut (approx. 100 °C), the lower inversion point (approx. 70 °C) is selected for thermostat operation, in contrast to the AT cut.

10 Oscillators and Frequency Synthesis

1061 Z

Z´ = Z˝ q Y˝ SC

AT

Y´ 0

Y

j

X = X´ X˝

Fig. 10.110 Creation of an SC cut through double rotation in the coordinate system OXYZ of the quartz crystal: AT cut ( ≈ 35°, ϕ = 0), axes X, Y , Z and SC cut ( ≈ 35°, ϕ = 22.5°), axes X

, Y

, Z

60 •

10-6 AT 40 +14´ 20

lower inversion point

+8´ f/f

0

–20

+3´

0

0 –40

–60 –40

–20

0

SC

20

40

60

80

100 120 140 160 C

Fig. 10.111 Frequency response of three AT and two SC quartzes (- - -) according to [134] with  as parameters

In Fig. 10.112, the AT, BT and SC cuts are compared with reference to the same inversion temperature [135]. With the same frequency deviation, the permissible temperature fluctuation around the inversion point is greater by a factor of around 10 than in the AT cut.

1062

V. Issakov and U. L. Rohde

3 •

10–6 2

AT

1 inversion point 0 f/f

SC –1

–2 –3 –0.8

BT –0.6

–0.4

–0.2

0

0.2

0.4

0.6 K 0.8

Fig. 10.112 Relative frequency deviation of AT, BT and SC quartzes depending on the temperature difference (ϑ − ϑ 0 ) according to [135]

C1

L1

C0

R1

Fig. 10.113 Electrical equivalent circuit of the quartz with the terminals 1 and 2. According to DIN 45100 and DIN 45102, the following relationships are recommended: Dynamic inductance L 1 , Dynamic capacitance C 1 , Dynamic loss resistance R1 , Static parallel capacitance C 0

10 Oscillators and Frequency Synthesis

1063

Conversely, the SC cut has the disadvantage that unwanted thickness shear vibrations are very easily excited in the OZ direction (Fig. 10.110), the suppression of which requires additional reactances in the oscillator circuit. For a comprehensive and up-to-date presentation of the characteristics of quartz crystals for resonator applications, see [136].

10.4.5.4

Quartz Equivalent Circuit, Series and Parallel Resonance

In order to visualize the electrical mode of operation of the quartz, it can be represented for the environment of a resonant point approximately by the equivalent circuit shown in Fig. 10.113. R1 , L 1 and C 1 are the electrical equivalent parameters of the quartz oscillator, and C 0 is the static capacitance formed by the excitation electrodes and the bracket parts. The dynamic equivalent parameters can be calculated from the mechanical, geometrical and piezo-electric parameters of the respective quartz resonator [122, 136]. Equivalent inductances of 100 μH to 1000 H and capacitance ratios of C 0 /C 1 in the region of 100 to 1000 are produced here. Qualities of 104 to 106 occur due to the very small damping resistance. In practice, the parameters of the equivalent circuit are determined using a measurement method scaled according to [137] or DIN 45105. The IEC publications [38, 41, 42] should also be noted. The conductance between the terminals 1 and 2 must be considered in order to calculate the resonant frequencies: 1 = G + jB R1 + j(ωL 1 − 1/ωC1 ) ) * R1 ωL 1 − 1/ωC1 = 2 + j ωC0 −  2 . (10.4.51) R1 + (ωL 1 − 1/ωC1 )2 R1 + (ωL 1 − 1/ωC1 )2

Y12 = jωC0 +

The resonance condition is given by the disappearance of the imaginary part: ωr C0 −

ωr L 1 − 1/ωr C1 = 0. R1 + (ωr L 1 − 1/ωr C1 )2

(10.4.52)

From this, the resonance angular frequencies are calculated as follows: 1 1 R2 1 ωr2 = + − 12 ± L 1 C1 2L 1 C0 2L 1 C0 2L 1

1+

R14 C02 4R12 C02 2R12 C0 − − . L 1 C1 L1 L 21 (10.4.53)

For a small R1 and C 0 and a large L 1 , the following approximations are introduced: 2R12 C0 4R12 C02 < 1 and < 1. L1 L 1 C1

(10.4.54)

1064

V. Issakov and U. L. Rohde

The root is developed into a series and the term is ignored with R14 : ωr2

  2R12 C02 1 1 R12 1 R12 C0 1− . ≈ + − ± − L 1 C1 2L 1 C0 2L 1 C0 L 1 C1 L1 2L 21

(10.4.55)

The parallel resonance is calculated as ωp2

  R12 C0 1 1 R12 R12 C0 C1 + C0 1− . ≈ + − 2 − ≈ L 1 C1 L 1 C0 L 1 C1 C0 L1 L1 C1 L 21

(10.4.56)

For the special case R1 = 0, all ignored terms disappear and the following applies: 2 ω2p = ωp0 =

C1 + C0 . L 1 C1 C0

(10.4.57)

Equation (10.4.56) can therefore be written as   R 2 C0 2 1− 1 ωp2 ≈ ωp0 L1   R12 C0 ωp ≈ ωp0 1 − 2L 1

(10.4.58)

(10.4.59)

where ωp0 =

C1 + C0 1 C1 =√ 1+ . L 1 C1 C0 C0 L 1 C1

(10.4.60)

The series resonance is then ωs2

    R12 C0 R12 C0 1 R12 C0 1 2 1+ = ωs0 1 + , ≈ + 2 = L 1 C1 L 1 C1 L1 L1 L 1 C1

(10.4.61)

where ωs0 represents the resonance angular frequency for the special case R1 = 0. The following therefore applies:  ωs ≈ ωs0

R 2 C0 1+ 1 2L 1

 (10.4.62)

where ωs0 = √

1 , L 1 C1

(10.4.63)

10 Oscillators and Frequency Synthesis

1065

since all ignored terms again disappear for the special case R1 = 0. The relative frequency interval between ωp and ωs is obtained with the ignored terms: R12 C0 C1  1 and 1 2L 1 C0 as ωp − ωs ≈ ωs

+ 1+

C1 C0

−1

1



1 C1 . 2 C0

(10.4.64)

Figure 10.114 shows an example of the changes in the susceptance and effective conductance of the quartz equivalent circuit. To understand the mode of operation of many oscillator circuits, it is essential that the susceptance of the quartz between the series and parallel resonance is negative; in this frequency range, the quartz impedance therefore has an inductive character. 20

R1

mS

C1

L1

L1 = 1.5H

1

2

C1 = 0.016pF C0 = 60pF

C0

15

Y12 = R12 +

10 BR 0

G,B

5

R1 = 60

L1–

R1 L1– 1 C1

+j 2

C0 – R 2+ 1

1 C1

L1– 1 C1

= G + jB 2

B G

0

fs fP

–5

BR 0 –10 1.02730

1.02735

1.02740

1.02745

MHz

f

Fig. 10.114 Frequency changes in the susceptance B and the effective conductance G for an example of the quartz equivalent circuit: L 1 = 1.5 H; R1 = 60 ; C 1 = 0.016 pF; C 0 = 60 pF. f s is often referred to as the resonance frequency, f p as the anti-resonance frequency

1066

V. Issakov and U. L. Rohde

The impedance for parallel resonance is calculated by inserting Eq. (10.4.57) into Eq. (10.4.51) approximately as  1  1 ω p0 C0 R1 + j ; ω p0 C0 R1  1 jω p0 C0 R1 ω p0 C0 # # C1 L 1 # Z 12 p # = R p ≈  1  = . (10.4.65) 2 R C (C1 + C0 ) 1 0 R1 ω p0 C0 Z 12 p =

An approximation for the admittance in the case of series resonance is obtained by inserting Eq. (10.4.63) into Eq. (10.4.51) as Y12s ≈

1 1 1 + jωs0 C0 = ; ωs0 C0 R1  1 (1 + jωs0 C0 R1 ) ≈ R1 R1 R1 1 = Rs ≈ R1 |Y12s |

(10.4.66) (10.4.67)

The loss factors of the quartz are obtained according to the relationship tan δ =

Effective power = 1/Q Reactive power

for the parallel resonance according to Eq. (10.4.59) for I 2 R1 R1 R1 tan δp = 1/Q p = 2 = ≈ ≈ R1 I ωp L 1 ωp L 1 ωp0 L 1

(10.4.68)

R12 C0 2L 1

 1 as

C1 (10.4.69) L 1 (1 + C1 /C0 )

and for the series resonance according to Eq. (10.4.62) for

R12 C0 2L 1

 1 as

C1 I 2 R1 R1 R1 = ≈ = R1 . tan δs = 1/Q s = 2 I ωs L 1 ωs L 1 ωs0 L 1 L1

(10.4.70)

In overtone mode, the dynamic capacitance C 1 is very much smaller than in the fundamental tone. The following table specifies guideline values for the capacitance ratio r = C 0 /C 1 for the overtone quartzes in the pth overtone:

r

p 3 5 7 9 2500 10,000 20,000 35,000

(10.4.71)

Despite a higher loss resistance, the quality values Q of quartzes operated in the overtone are therefore often higher than in the fundamental tone. Normal-frequency quartzes are usually operated in the third overtone.

10 Oscillators and Frequency Synthesis

1067

Table 10.1 Guideline values for the elements R1 and C 1 of the equivalent circuit shown in Fig. 10.113 [126] Frequency range in kHz

Vibrator type

R1 (k )

C 1 (fF)

0.8 … 4

Duplex bending vibrator

750 … 250

250 … 50

4 … 15

X–Y bending vibrator

200 … 80

50 … 15

15 … 50

H bending vibrator

20 … 8

35 … 20

50 … 200

X longitudinal shear vibrator

4

60 … 30

200 … 800

Face shear vibrator

1…5

30 … 7

800 … 30,000

AT thickness shear vibrator (fundamental tone)

0.1 … 0.5

8 … 20

10.4.5.5

Frequency Range and Vibrator Type

The elements in the equivalent circuit of the bending, longitudinal and face shear vibrators (“LF quartzes”) differ by one to several powers of ten from those of the thickness shear vibrators. Table 10.1 sets out guideline values for the elements R1 and C 1 . Different circuits have therefore proven effective for the different quartz types. Due to their predominant importance, circuits for AT quartzes will be discussed first.

10.4.5.6

Oscillators with AT Fundamental Tone Quartzes

If the coil of the Colpitts oscillator is replaced with a quartz, the Pierce oscillator is obtained, as shown in Fig. 10.115. Since Eq. (10.4.8a) requires an inductive resistance at this point, the resonance frequency f r of the oscillator is above the series resonance frequency f s , since the quartz has an inductive reactance in the f s < f r < f p frequency range. The same applies to the two circuits (not shown) which are obtained if the Colpitts oscillator shown in Fig. 10.89 is based on the basic circuit or collector circuit rather than the emitter circuit. Fig. 10.115 Pierce circuit C3 C1 Dr

+

+

1068

V. Issakov and U. L. Rohde

The question concerning the precise resonance frequency of the Pierce oscillator will now be answered. If the transistor capacitances and switching capacitances are ignored, the capacitances and inductances (C 1 , C 3 in Fig. 10.115) present in the three-point circuit determine the inductive reactance X 2 which the quartz must assume in oscillation operation via the oscillating condition (Eq. 10.4.8a). If the frequency changes in the susceptance B(ω) of the quartz (Fig. 10.114) are known, the oscillator frequency at the intersection point B = −1/X 2 is obtained. If the quartz is regarded as approximately lossless, its susceptance B(ω) is calculated from Eq. (10.4.51) with R1 0 as approximately B(ω) = ωC0 −

1 . ωL 1Q − 1/ωC1Q

$ With = ω/ωs0 , ωs0 − 1/ L 1Q · C1Q , this gives   C0 2 − 1 − C1Q . B(ω) = ω 2 − 1

(10.4.72)

Here, the dynamic equivalent parameters of the quartz equivalent circuit have been given the additional index Q to avoid confusion with elements of the three-point reactance X 1 . The reactance X 2 required for the oscillation is calculated from Eq. (10.4.8a) as X 2 = −(X 1 + X 3 ) =

1 1 C1 + C3 1 1 + = = . ωC1 ωC3 ω C1 C3 ωCL

C3 The capacitance C L = CC11+C is referred to as the “load capacitance” or “burden 3 capacitance”. If Bω = −1/ X 2 = −ωCL is introduced, the resonance angular frequency of the Pierce oscillator is obtained through resolution following ω approximately as

ωr = ωs0 1 +

  1 ClQ ClQ . ≈ ωs0 1 + C0 + CL 2 C0 + CL

(10.4.73)

The resonance frequency is therefore also dependent on the load capacitance. For the limit case C L ⇒ 0, the oscillator is assumed to vibrate at the parallel resonance frequency ωp0 of the lossless quartz (see Eq. 10.4.60), and for the limit case CL ⇒ ∞ at its series resonance frequency ωs0 . However, in both limit cases, no oscillation operation is possible, since the three-point circuit degenerates. For the Pierce circuit, see also [138, 139]. On the other hand, the dependence of the resonance frequency on the load capacitance C L according to Eq. (10.4.73) already requires the specification of the load

10 Oscillators and Frequency Synthesis Fig. 10.116 Pierce-Miller circuit

1069

C2 Ck C3

+

L3

+

capacitance when the quartz is cut, if it is not to be “pulled” through modification of C 1, C 3 or additional reactances. The “pulling” of the quartz is discussed. Equation (10.4.73) for the resonance angular frequency applies via the Pierce oscillator to all quartz oscillators in which the quartz represents one of the three reactances of the three-point circuit. Since, in Eq. (10.4.73), the load capacitance C L can be formally combined with the bracket capacitance C 0 to form a resulting parallel capacitance and the oscillators vibrate at the “pulled” parallel resonance thereby formed, they are also referred to as “parallel resonance oscillators”. In all three circuits derived from the Colpitts oscillator, the quartz may begin to oscillate on an overtone rather than in its fundamental tone, since the quality of the overtone is often higher than that of the fundamental tone. In order to prevent unwanted overtone oscillations, the Pierce-Miller circuit is used (Fig. 10.116), which is obtained if the inductance L 1 of the inductive three-point circuit shown in Fig. 10.88 is replaced with a quartz. The small coupling capacitance C k reduces the damping of the quartz by the base resistances. The inductive reactance X 3 which the oscillation condition requires can also be represented√by a parallel resonance circuit, of which the resonance angular frequency ω3 = 1/ L 3 C3 lies above the required resonance frequency. Its effective inductance has the value   L eff = L 3 / 1 − ωr2 L 3 C3

(10.4.74)

and is greater than L 3 . The excitation of overtones is avoided by selecting ω3 as higher than the resonance angular frequency in the fundamental tone ωr but lower than that of the third overtone. In the third overtone, the susceptance of the circuit L 3 C 3 is then capacitive, so that no oscillation build-up is possible. Measures to prevent the build-up of unwanted subordinate resonances are discussed in the circuits for SC quartzes.

10.4.5.7

Circuits for AT Overtone Quartzes

An overtone oscillator is obtained if the capacitance C 3 in Fig. 10.115 is replaced by a resonant circuit whose resonance frequency is lower than the required overtone frequency.

1070

V. Issakov and U. L. Rohde

In this case, the impedance of the circuit is capacitive for the required overtone, as the oscillation condition requires. However, to ensure that an unwanted overtone of the next lowest ordinal number is not excited, the resonance frequency must be above it. If, for example, the fifth overtone is to be excited, the resonance frequency of the circuit must be between the third and fifth overtones. A circuit which is particularly suitable for overtone operation is shown in Fig. 10.117. In contrast to the previous circuits, the quartz is not located in the three-point circuit itself, but in its emitter feed line. When the oscillation condition was derived in Sect. 10.4.2, all switching elements outside the three-point circuit were designated as effective resistances. The quartz therefore oscillates at the series resonance frequency indicated by Eq. (10.4.62), at which its resistance is real and approximately minimal. This operating mode has the advantage that the quartz can be cut very precisely to the planned oscillator frequency during manufacture and the specification of a load capacitance is not (always) necessary. In practice, overtone quartzes are always specified by their series resonance frequency, whereby a circuit as shown in Fig. 10.117 is implicitly required. Circuits as shown in Fig. 10.117 are referred to as “series resonance oscillators”. The inductance L p indicated by the broken line is intended to reduce the unwanted shunt via the static capacitance C 0 . For the value L p = 1/ωs2 · C0 it forms, with the static capacitance C 0 , a parallel resonant circuit at the resonance angular frequency ωs , so that C 0 is “compensated”. ωs = ωs0 therefore also becomes independent from C 0 . In practice, Lp is required at all frequencies from around 70 MHz onwards [126]. A systematic study of oscillator circuits with overtone quartzes can be found in [140], and of practically tested circuits in [141, 142]. A design example for a normal-frequency oscillator is described in [143].

C3 L2 LP

C1

+

Fig. 10.117 Oscillator circuit for AT overtone quartzes. The quartz vibrates at its series resonance frequency. The inductance L p indicated by the broken line compensates for the static quartz capacitance C 0

10 Oscillators and Frequency Synthesis

10.4.5.8

1071

Frequency Changes Through Pulling of the Quartz Frequency

The dependence of the resonance angular frequency ωr of a quartz oscillator on the load capacitance C L according to Eq. (10.4.73) offers the possibility of setting the oscillator frequency by changing at least one reactance to a required frequency. However, the reactances of the three-point circuit are only rarely used for such a “pulling” of the quartz; instead, additional reactances are provided in series or in parallel with the quartz for this purpose. A capacitance parallel to the quartz can be added to C 0 and, according to Eq. (10.4.73), lowers the parallel resonance frequency of the entire circuit. Since the series resonance frequency remains unchanged, the resonance frequency can be influenced in this way only in the case of parallel resonance oscillators. Conversely, a capacitance in series with the quartz increases the series resonance frequency of the entire circuit and can therefore be used to set the resonance frequency of a series resonance oscillator (Fig. 10.117). The impedance of a series circuit comprising a quartz assumed to be lossless (R1 = 0) and a series capacitance C s is calculated from Eq. (10.4.51) as ∗ = Z 12

2 − 1 1   + , jωCs jωC0 2 − 1 − jωC10

(10.4.75)

and becomes zero for the “pulled series resonance angular frequency” ωs∗

= ωs0

  1 ClQ C1Q > ωs0 , 1+ ≈ ωs0 1 + C0 + Cs 2 C0 + Cs

(10.4.76)

i.e. formally the same relationship as in the “pulled parallel resonance”, if C s is replaced with C L . For C s ⇒ ∞, the quartz vibrates at its natural series resonance frequency, and for the limit case C s = 0 at its parallel resonance. In practice, however, C s should not exceed a limit value, since the lower limit of the quartz load is otherwise understepped. A pulling inductance increases the level of the function of the two-terminal network and generates an additional series or parallel resonance frequency. The circuit must therefore be appropriately dimensioned in the case of inductively pulled quartzes to prevent the oscillator from shifting to an additional resonance frequency of this type. This applies in particular in the case of higher-grade pulling circuits. Pulling circuits essentially reduce the phase slope of a quartz oscillator, and all the more so as the quartz is pulled further away from its natural resonances. The reason for this is the high loss factor of the pulling elements compared with the quartz, which reduces the quality of the connected quartz. The electrical characteristics of the oscillator circuit are therefore already taken into account during the manufacture of the quartz by specifying the “load capacitance” in order to minimize the pulling range.

1072

V. Issakov and U. L. Rohde

A systematic summary of pulling circuits used in practice and their characteristics can be found in [126]. The pulling of overtone quartzes is also discussed there.

10.4.5.9

Circuits for Oscillators with Quartzes of Different Cuts

The circuits described are suitable primarily for the most widely used AT quartzes. To operate SC quartzes, the circuit must be dimensioned accordingly to ensure that no unwanted subordinate resonances are excited [134, 144, 145]. The basic considerations for this purpose are summarized in Sect. 10.4.4.9 of the 3rd and 4th editions of this book. Special circuits are similarly required to operate bending, longitudinal and face shear vibrators, since the dynamic loss resistance R1 of these “LF quartzes” according to Table 10.1 is greater by orders of magnitude than the value for AT quartzes. A resistance transformation must therefore be carried out in the three-point circuit [126, 146].

10.4.5.10

Influence of the Quartz Load

Short-term and long-term stability of quartz oscillator circuits depend decisively on the correct quartz load, i.e. on the power P = I12 · R1 consumed in the loss resistance R1 , which is determined from the voltage U measured over the quartz as ) P=

I12

· R1 = U /R1 2

  * 1 2 1 1 + 2 ωL 1 − , ωC1 R1

(10.4.77)

where the resonance frequency is to be inserted for ω. The quartz current I 1 should be so great that the quartz reliably begins to oscillate and short-term stability is not adversely affected by noise. A guideline value for the lower limit of the quartz load is Pmin = 1 μW. As the power increases, the quartz wafer is increasingly heated and the elastic properties of the material change. For this reason, a rated load must be specified for the fine-tuning of narrowly tolerated quartzes. Normal values for the rated load are in the 10 μW ≤ Prated ≤ 1 mW range. Since the aging rate α (Eq. 10.4.15) also increases with increasing load, the quartzes of long-term-stable oscillators are operated at around 10 μW. Specifications of aging rates of AT and SC quartzes dependent on load can be found in [134]. An excessively high quartz load results in permanent changes in the resonance frequency and, in extreme cases, destruction of the quartz as a result of excessively high tensile stresses. For further, including historic, literature, see [95, 147–150].

10 Oscillators and Frequency Synthesis

1073

10.4.6 Stabilization of the Oscillation Amplitude It was presupposed that the oscillators supply a continuous sinusoidal oscillation: ps = jωs , σ s = 0. In practice, an oscillator circuit is designed in such a way that the roots of the main equation have a small positive real part (σ s > 0), e.g. by selecting the transformation factor of the positive-feedback four-terminal network at the resonance frequency as greater in terms of amount than the complex calculation (σ s = 0) produces. The oscillator vibration is then self-excited due to “minor interferences” (e.g. noise, transient current pulses), but, on the other hand, would then increase without restriction. Every oscillator must therefore have at least one non-linear component, with the characteristic of which the amplitude is limited to the required value. The non-linear element may be the active part of the oscillator circuit itself (transistor, tube) or an additional circuit outside the actual oscillator. In the first case, the oscillation amplitude is set through an appropriate selection of the AC current load line of the transistor, whereby low distortion is achieved by disposing the operating point mid-way between the saturation region and the cut-off region of the transistor [19–21, 126, 151]. In the second case, a DC voltage signal proportional to the oscillation amplitude is obtained from the latter and a variable resistance (e.g. a field effect transistor) is thereby controlled in the negative-feedback branch of the oscillator circuit [96, 109, 112, 152–156]. In the Wien-Robinson oscillator shown in Fig. 10.93, the resistors R3 or R4 come into consideration for this purpose. Both methods are explained in detail in the 3rd and 4th editions of this book.

10.5 Integrated-Circuit Oscillator Realizations Using GaAs-FET GaAs-MESFET oscillators offer a number of advantages compared with the hitherto most commonly used avalanche transit-time diodes and Gunn elements above around 6 GHz, in particular a higher conversion efficiency and fewer reliability problems. In addition, as components with three independent electrodes, they provide improved control facilities in terms of modulation, compensation and stabilization of the oscillator. In addition, with the development of high-quality dielectric ceramic resonators, circuits for compact, highly stable local oscillators have become possible and are used as part of more complex receiver circuits. The partially monolithic integration of oscillator circuits of this type has become possible.

1074

V. Issakov and U. L. Rohde

10.5.1 Oscillator Circuits 10.5.1.1

Oscillator Circuit Design

A transistor oscillator requires a feedback network in order to maintain the oscillation. The actual feedback element which supplies the required negative resistance to one or both gates in the required frequency range is normally reactive and can be designed with concentrated or distributed elements. Figure 10.118 shows the reflection factors S 11 , S 22 in the Smith diagram for the general case of a MESFET in a gate circuit with series negative feedback (a) or in a source circuit with parallel negative feedback (b) applied to a special transistor at a predefined frequency f = 10 GHz [157]. The course of the resistive part of the impedances of the feedback transistor is shown from 0 to ∞ and of the reactive part from ±j0 to ±j∞. Figure 10.118, shows that The MESFET considered here with series negative feedback has both |S 11 | > 1 and |S 22 | > 1, i.e. the input and output resistances are negative and the circuit oscillates. Conversely, the case with parallel negative feedback reveals only a negative resistance at the output gate, i.e. |S 22 | > 1. If the output gate is then terminated with a suitable impedance containing the matching network and the load, the following general oscillator conditions and stability criteria apply [157], as shown in Fig. 10.119. R(ω) = −RD (ω) + RL (ω) ≤ 0

(10.5.1)

X (ω) = X D (ω) + X L (ω) = 0

(10.5.2)

∂ X (ω) ∂ R(ω) > 0 and > 0. ∂ω ∂ω

(10.5.3)

There are six variants of the oscillator circuit, depending on the type of feedback and load coupling [158], which are shown in Fig. 10.120. A system can be found in Sects. 10.4.1 and 10.4.2. Identification of the most advantageous of these variants depends on the required frequency, output power, noise characteristics, integration capability, etc. The calculation of the circuit elements can be performed by means of the measured S parameters, but non-linear effects under large-signal conditions must be taken into account. The oscillator circuit is generally designed for the control Pin, out , at which the maximum oscillator power can be achieved (Fig. 10.121). In order to derive an equivalent circuit model of the MESFET containing non-linear switching elements, extensive small-signal S-parameter measurements are necessary at different operating points, frequencies and input powers [158, 159].

10 Oscillators and Frequency Synthesis

1075

a

S11 = f(jX) for R = 0

j0.5 S11max = 1.7

S11 = f(R)

1

1

for X = 0

5

0

2

0 G

5

−j0.5

R+jX

−j

5j −5j

−j5 5



2´ f = 10GHz

−0.5j 1 0.5

j

S22 = f(R) for X = 0 0

S22max = 1.7

S22 = f(jX) for R = 0 0.5j

b S22 = f(jX) for R = 0 S22max = 2.16 −0.5j S22 = f(R) for X = 0

S11 = f(jX) for R = 0 R+jX

2

j0.5 0.5

j 1

0

G

D

1

−j5 −0.5j −j5

S

5

S11 = f(R) for X = 0





j5 −5j

5j

f = 10GHz

Fig. 10.118 S parameters of a MESFET a in a gate circuit with series negative feedback and b in a source circuit with parallel negative feedback [157]

1076

V. Issakov and U. L. Rohde

Fig. 10.119 Schematic representation of a MESFET oscillator with feedback network and output network

D

G

S

Output circuit

Load

Active circuit with feedback ZD = −RD(w) + jXD(w )

ZL = −RL(w ) + jXL(w)

RL y3

y3

y3 y2

y2 y1

RL

y1

RL

y2 y1

y2

y2

y2 y1

y1

y1

y3

y3

RL

RL

y3 RL

Fig. 10.120 Oscillator circuits with different feedback and load coupling

Since the change in the S parameters takes place largely resistively via the control (Sect. 7.4.3), the output network must be designed taking into account the largesignal behavior, while the feedback network remains unaffected thereby, since it is determined by the reactive part of the impedance. However, the use of S parameters alone is not enough to enable predictions to be made regarding the output power and conversion efficiency of the oscillator. Close correspondence with experimental results produces an empirical expression for the output power Pout and maximum power Posc, max of the oscillator, which is based on experimental data of the small-signal amplification G and saturation power [158]: Pout

  −G · Pin = Psat 1 − exp Psat

(10.5.4)

10 Oscillators and Frequency Synthesis FET Matching network

Pin

D

G

Matching network

Pout

S Output power

Pout

Posc max

Pout−Pin

Psat

Fig. 10.121 Schematic representation of the FET output power over the input power

1077

Input power

Posc, max

  1 ln G = Psat 1 − − . G G

(10.5.5)

The oscillator efficiency is then represented in the normal manner: η=

Pout − Pin . P

(10.5.6)

The optimum load conductance is then determined with knowledge of the smallsignal amplification G and the large-signal output resistance R ds according to   1 ln G · . gL ≈ 1 − G R ds

(10.5.7)

The coupling network is calculated with knowledge of the y parameters of the MESFET, the load conductance and the resonance condition from the following matrix:

y11 + y1 + y3 y12 − y3 yik = . (10.5.8) y21 − y3 y22 + y2 + y3 + gL The calculation of a 10 GHz oscillator can be taken as an example [160]. The equivalent circuit with a feedback element between the source and ground is shown in Fig. 10.122a. With knowledge of the S parameters and transformation into resistance parameters, the reactances (X 1 = −20 , X 3 = 44 ) and the output impedance have been determined as Z D = (−34 − j67) . With the approximation derived for this case, Re(Z L ) = 1/3|Re(Z D )|, and with the oscillator condition, Z L = (11 + j67) follows for the load impedance optimized to maximum output power. The circuit therefore assumes the values indicated in Fig. 10.122b. Finally, Fig. 10.123 shows that only an oscillation at 10 GHz is possible with this circuit.

1078

V. Issakov and U. L. Rohde

a

Zout

b

Zout

ZL

Z1,q1

Z2,q2

FET

FET

1

2

1

2

jX1

C

3

3

R0

ZL

Z3,q3

jX3

Fig. 10.122 Example of a 10 GHz FET oscillator with a equivalent circuit; b practical circuit with strip line matching [160]: Z 1 = 50 , θ1 = 68◦ ; Z 2 = 50 , θ2 = 128◦ ; Z 3 = 35 , θ3 = 52◦ ; R0 = 50 , C = 0.1 pF

Fig. 10.123 Frequency response of Z t of a 10 GHz FET oscillator where Z t = Z D + Z L [160]

250 W 200

12 GHz

150 100 11

Im(Zt )

50 0 10

8 7

−50 6 −100

5 9

−150 4 −200 3GHz −250 −50

10.5.1.2

0

50 100 Re (Z t)

150 W 200

Oscillators with a Dielectric Resonator

Dielectric resonators (DR) can advantageously be used to improve the frequency stability of MESFET oscillator ICs, provided that they meet the following requirements: high relative permittivity εr to limit the resonator size, high quality Qr to minimize the oscillator power loss and, finally, a low temperature coefficient to

10 Oscillators and Frequency Synthesis

1079

improve frequency stability. DRs based on barium oxide-titanium oxide or based on zirconates best achieve the objective with εr = (35…40), Qr = (7000…10,000) into the X-band and a TC from 1 to 3 ppm/K [161]. The low TCs are a consequence of the temperature compensation of expansion effects and changes in permittivity [162]. The coupling of the DR with the active part of the oscillator circuit is implemented electromagnetically via a microstrip line and can generally be implemented on an electrode or between two terminals. A theoretical analysis of the resonator mode TE01ϑ which becomes active here was published by Pospieszalski [163]. It can be shown that the DR can be regarded as a high-quality parallel resonance circuit and that the change in the impedance in the vicinity of the resonance frequency has the following appearance [162]:

Z = Z0 1 +

β . 1 + j2Q r f r

(10.5.9)

Here, Z 0 is the characteristic impedance, Qr the unloaded quality of the DR, f r = (f − f r )/f r with the resonance frequency f r and β is the coupling factor. In a practical design, the DR resonance circuit represents a triple structure comprising the carrier material (e.g. aluminum oxide), the DR and the air gap between the resonator and strip line. The resonance frequency of the DR is essentially a function of the permittivity and size of the ceramic; a fine-tuning can be carried out mechanically by changing the air gap to the microstrip line.

10.5.1.3

Characteristics and Outlook

The summarizing presentation of the oscillator characteristics in Table 10.2 indicates that low-power (gate width w ≤ 500 μm) and high-power (w up to 2500 μm) MESFET oscillators have been manufactured for the 6–25 GHz frequency range with a maximum output power up to 500 mW and an efficiency up to 45%. If the operating point dependence of the characteristics is analyzed, the following general behavior applies: the oscillation normally begins at a supply voltage of 2–3 V. The change in the oscillator frequency with the drain voltage is small, whereas it rises more or less linearly as the negative gate voltage increases as a result of the decrease in the gate-source capacitance. The maximum oscillator output power, which is primarily set via the operating current and therefore the gate width of the MESFET, shows an optimum at around half of the pinch-off voltage. It is advantageous that the temperature dependence of the oscillator frequency also decreases as the negative gate voltage increases. In terms of the noise characteristics, the FET oscillator lies between those from Gunn elements and IMPATT diodes, but in most cases has also not been optimized in this respect. The dominating FM noise contains low-frequency components and components from the non-linearity of the carrier signal. A more detailed description of the effects specific to the components and circuits is provided in [169].

1080

V. Issakov and U. L. Rohde

Table 10.2 Integrated circuits with GaAs-MESFET oscillators fosc (GHz) Pout (mW) η (%)

Gate Gate fosc (ppm/K) Circuit length width (μm) (μm)

8.2

132

36

2

9.0

500

10.0 25.2

Technology

Source

600

Source Hybrid IC circuit with parallel microstrips C-feedback

[164]

26.8 1

2400

Gate Hybrid IC circuit with series microstrips L-feedback

[164]

50 6.5

45 4.7

0.5 0.5

300 300

Gate Hybrid IC circuit with series microstrips L-feedback

[164]

6.0

100

17

1.5

2500

Source circuit parallel C-feedback

Hybrid IC with microstrips DR on the drain

[162]

11.0

22

18

Source circuit parallel C-feedback

Hybrid IC with microstrips Drain-gate DR

[165]

12.7

8.0

4.0

0.8

300

Gate circuit series L-feedback

Monolithic [166] IC with concentrated elements

17.0

12

4.0

?

300

Gate circuit series L-feedback

Monolithic [167] IC with concentrated elements

10.8

30

20

0.6

300

2.3

1.0

Source Monolithic circuit IC with DR series on the gate C-feedback

[168]

Field effect transistors have been implemented in a source and gate circuit, and also capacitive parallel feedback and inductive or capacitive series feedback. The majority of the circuits have been developed using hybrid technology with strip line matching. One noteworthy aspect is the achieved stability of the oscillator frequency f osc with 1–2 ppm/K when dielectric resonators are used. Monolithic oscillators with concentrated elements on a 1–2 mm2 surface have recently been provided with a view to more complex, compact receiver circuits. This becomes possible since the quality requirements for the network are low and an oscillator power from 8 to 10 mW

10 Oscillators and Frequency Synthesis

1081

DR G

D

Output

S VD

Fig. 10.124 Monolithic X-band FET oscillator with matching, comprising concentrated elements and dielectric resonator [168]

is already sufficient to operate the diode or transistor mixer. Finally, a monolithic X-band oscillator with a 30 mW output power is shown in Fig. 10.124 [168].

10.6 Oscillators with Surface Acoustic Wave Resonators (SAW Oscillators) The distinctive resonance phenomena of the surface-wave filters is described in volume 1, Sect. 4.15, and suggests their use also as resonators for oscillators in the VHF, UHF and SHF ranges. They thus close a part of the frequency gap between the conventional quartz oscillators, the usability of which ends in overtone mode in the VHF range, and the dielectric resonators, the use of which begins at several GHz. SAW oscillators are built with both one-port resonators and two-port resonators. Oneport resonators are essentially derived from the two-port filters (volume 1, Sect. 4.15) by omitting the output transducer. In practice, however, one-port resonators are not derived from two-port resonators, since better resonance characteristics are achieved if both resonator types are optimized independently from one another. The upper part of Fig. 10.125 shows the substrate structure and small-signal equivalent circuit for a one-port resonator and a suitable oscillator circuit next to it. The lower part of the figure shows the corresponding elements for a two-port resonator. Table 10.3 contains typical values for the dynamic elements of the equivalent circuits of two resonators [170]. They simultaneously serve as guideline values for similar structural forms. Using the approximation Q0 ≈ ωL Res /RRes , an open-circuit quality of more than 12,000 is calculated for the resonator R 707 at 434 MHz. SAW resonators therefore achieve quality values in the UHF range similar to those of quartzes in the HF range.

1082

V. Issakov and U. L. Rohde UB SAWR

R2 Ck CS

RRes

LRes

CRes

L2 R1

RE

C1

CP

C0

UB

Ck

SAWR RRes

LRes

L2 Ck

CRes

1

3 CP

2

R2

CP

R1

RE

C1

4 UB

C0

UB

Fig. 10.125 Structures and equivalent circuits of SAW one-port and two-port resonators and practically tested oscillator circuits (from [170])

Table 10.3 Guideline values for the dynamic equivalent elements and the aging rate of the resonators in Fig. 10.125 for the ISM band at 433.920 MHz [170] Resonator model

One-port

Two-port

Resonator type

R 707

R 2701

Dynamic equivalent capacitance

C Res

2.03 fF

0.14 fF

Dynamic inductance

L Res

66.4 μH

954 μH

Dynamic loss resistance

RRes

15

230

Parallel and ground capacitance

Cp

3.3 pF

2.3 pF

Aging rate

5 ppm/year

ISM Industrial, Scientific, Medical; ppm parts per million = 10–6

10.6.1 Colpitts Oscillator Stabilized by SAW One-Port Resonator A comparison of Fig. 10.125, with Fig. 10.89c reveals the similarities between the one-port resonator circuit and the capacitive three-point oscillator (“Colpitts”) in the general basic circuit. In the SAW oscillator, however, the base of the transistor is connected only indirectly via the SAW resonator to the reference potential and not

10 Oscillators and Frequency Synthesis

1083

directly via a high-capacitance capacitor as in the LC Colpitts oscillator in the general basic circuit. The SAW resonator does not therefore also form part of the three-point circuit as shown in Fig. 10.88, but is a component independent from it. For this reason, this is also referred to more precisely as a Colpitts oscillator which is stabilized by a SAW resonator. If the oscillator equivalent circuit shown in Fig. 10.79 is supplemented with the impedance Z of the SAW resonator between the input and the ground node M, the small-signal equivalent circuit of the SAW-Colpitts oscillator is thus obtained with Fig. 10.126. The additionally created node M can be eliminated by means of a stardelta transformation [171], so that, as in Sect. 10.4.1, an equivalent circuit in the form of Fig. 10.126 is obtained, of which the elements y1 , y2 and y3 are rational functions of the angular frequency ω. The ground node M can be eliminated by means of a star-delta transformation. The conductances of the new feedback four-terminal network are then rational functions of the angular frequency ω and the dynamic loss resistance RRes . An equivalent circuit as shown in Fig. 10.126 is again obtained through addition of equal conductances. The oscillation condition can therefore be formulated once more at least in principle according to Eqs. (10.4.7a, 10.4.7b, 10.4.7c); however, this method is not suitable for circuit synthesis. In the star-delta transformation, it can be seen that the dynamic internal resistance RRes of the SAW resonator is mapped in the form of resistances parallel to the capacitors and the coil of the LC feedback network in Fig. 10.88. Thus, on the one hand, the current source is additionally loaded, requiring a higher transconductance for the SAW operation than for the operation of an LC oscillator without a resonator. On the other hand, (slightly) different values are also produced for the three-point elements C 1 , L 2 and C 3 . I1

B

C

I2

y2 U1

gm •U1

E

SAWR

U2

y3

y1

M y2

Z y1

y3

Fig. 10.126 Equivalent circuit for an oscillator with an SAW resonator as shown in Fig. 10.125

1084

V. Issakov and U. L. Rohde

It is clear without any calculation that an oscillation operation—apart from parasitic oscillations—is possible only in the vicinity of the series resonance frequency of the SAW resonator at which its impedance is approximately minimal, real and given by RRes . Aside from the series resonance frequency, the high impedance of the resonator increasingly limits the control current of the transistor, so that the oscillations cease. However, as in the case of quartz overtone resonators, the tendency essentially exists here also for oscillations to be excited via the parallel capacitance C p , whereby the circuit degenerates into the LC Colpitts oscillator. The capacitor C k (in the 40 to 100 pF range) improves the long-term stability of the oscillator by preventing migration effects of the metal on the substrate. The practical dimensioning relies on a program system for analyzing electronic circuits, e.g. [172], whereby the characteristics of both the SAW resonator and the layout must be taken into account. The resonator manufacturers also provide instructions.

10.6.2 Pierce Oscillator with SAW Two-Port Resonator The circuit for an oscillator with a SAW two-port resonator shown in the lower part of Fig. 10.125 is derived from the Pierce circuit shown in Fig. 10.115 by replacing the quartz with an SAW resonator. One or both capacitors of the three-point circuit are replaced with one or two reactance filters in a π-circuit (Collins filters). They are used to match the output impedance of the transistor circuit to the input impedance of the SAW resonator and, conversely, its output impedance to the input impedance of the transistor circuit. Although two-stage impedance transformers would in principle also suffice for this purpose, the Collins filters simultaneously enable the transformation phase of the feedback chain to be set to the value which the complex S parameters of the transistor require. The setting of the resonance frequency is performed by fine-tuning suitable elements of the Collins filters. However, as in the case of quartz oscillators, this “pulling” can only be performed within certain limits, since the phase slope and therefore the frequency stability are otherwise reduced. The operational quality of the resonator can also be influenced using the transformation ratios of the Collins filters (under-matching and over-matching). Examples of SAW applications can be found in [173].

10.7 Voltage-Controlled Oscillators in CMOS Technologies This section focusses on integrated realization of oscillators on CMOS technologies. These realizations are more common nowadays and present in numerous applications. High-frequency integrated oscillators, realized on-chip in silicon-based technologies (CMOS, SiGe HBT or BiCMOS) are usually implemented either as (a) RC-ring

10 Oscillators and Frequency Synthesis

1085

oscillators or (b) LC-oscillators. There are also possibilities to realize distributed oscillators in CMOS at mm-wave frequencies, e.g. rotary travelling wave. However, these circuits are complex to design and consume a much larger chip area than a single LC-VCO. Distributed VCOs may achieve excellent performance. Nevertheless, the main emphasis in the article will be on the LC-VCO, since it is the most common solution.

10.7.1 Ring Oscillators The ring oscillators are composed of number of delay cells, arranged in a chain with a feedback. The delay cells can be realized as CMOS buffers (inverting on noninverting) or as differential pairs, loaded by resistive loads. To support oscillations, the Barkhausen criteria must be fulfilled [1, 2]. In terms of phase, this means that the overall phase shift for the signal, as it travels along the ring, must be an integer multiple N of 360◦ , i.e. the signal phase must coincide constructively, ϕtotal = N · 360◦ .

(10.7.1)

Note that each buffer stage adds a phase shift and may be inverting as well (additional phase shift of 180◦ per stage). Therefore, the overall phase shift along the ring is the sum of these two contributions. For example, in Fig. 10.128, there are four differential stages, each adds a phase shift of ϕ. Due to the crossing of the positive and negative terminals, we add additional 180◦ . Therefore, the overall phase shift due to the four stages should be 180◦ and contribution of each stage should be ϕ = 180◦ /4 = 45◦ . This sums up to ϕtotal = 4·45◦ +180◦ = 360◦ , which fulfills the Barkhausen requirement in Eq. (10.7.1). Another example with three inverting buffers, as shown below in Fig. 10.127. In this case, each stage has a phase shift ϕ and it adds 180◦ per stage. This remaining 180◦ to a multiple of 360◦ is contributed by the three stages, each adding ϕ = 180◦ /3 = 60◦ . Therefore, the total phase shift is ϕtotal = 3 · 60◦ + 3 · 180◦ = 2 · 360◦ . The oscillation frequency is defined by the time delay it takes the signal to pass thru the chain of the delay cells. The loop oscillates with a period equal to Tosc = 2 · n · τ , where n is the number of stages and τ is the delay of each stage, as shown in Figs. 8 Δj

Δj 180°

τ

Δj 180°

τ

Fig. 10.127 Ring oscillator with three inverting stages

180° τ

1086

V. Issakov and U. L. Rohde Δϕ

τ

Δϕ

Δϕ

Δϕ

τ

τ

τ

180°

Fig. 10.128 Ring oscillator with four differential stages

and 9. Hence, the oscillation frequency is given by [174] f0 =

1 1 = Tosc 2·n·τ

(10.7.2)

The delay is determined by the resistive load of each stage and the overall parasitic capacitance seen at the output node of each stage. Frequency can be thus tuned by controlling the delay of each cell. Due to delay limitation, the highest frequency is limited. To authors’ knowledge, the fastest operation frequency of a ring oscillator reported so far is 32-GHz in [175]. Most of the reported ring oscillators in CMOS operate in sub-6 GHz frequency region, e.g. 2.4 GHz as in [5, 8] and are likely to be used in applications with relaxed phase-noise requirements and need for high re-configurability. The main advantage of this type of oscillators is the lack of large passive inductive components (spiral coils or transformers). Therefore, they are very compact, i.e. consume small chip area, and offer a wide tunable frequency range. However, ring oscillators have a significantly higher phase noise compared to the LC-oscillator counterpart. Therefore, despite their advantages, this VCO type is not applicable at higher RF and mm-wave frequencies or in case phase noise performance is critical. We will not further address this type of oscillators in this article, but rather would like to point an interested reader’s attention to the following references for further reading [9, 174].

10.7.2 LC Oscillators The LC-oscillator is a more common choice for VCO in CMOS, when phase noise is important and/or high millimeter-wave operation frequency is required. However, the tuning is range is limited, as opposed to ring oscillators. The name is derived from the resonant tank circuit, which must contain at least one inductive component (L) and one capacitive (C). The resonant circuit acts as frequency selective component, setting the oscillation frequency. Assuming a parallel resonant tank, one can model

10 Oscillators and Frequency Synthesis

1087

the losses by a parallel tank resistance R p . The losses must be compensated by an active component, i.e. amplifier, which generates an equivalent negative resistance to compensate for the positive losses. To understand the operation principle of an LC oscillator, we shall consider a simple LC-tuned narrowband single-transistor amplifier, shown in Fig. 10.129a. The transistor is loaded by the parallel RLC tank. The impedance looking into the tank is given by Z ( jω) =

1 Rp

1  + j ωC −

1 ωL



(10.7.3)

The imaginary part becomes zero at resonance and the impedance is purely real and equal to Z ( jω0 ) = R p . The resonance occurs at the frequency ω0 C −

1 1 1 = 0 ⇒ ω0 = √ ⇒ f0 = √ ω0 L LC 2π LC

(10.7.4)

The gain of the amplifier is given by −gm Z ( jω), where gm is the transconductance dependence is shown in Fig. 10.129b. One can see of transistor M1 , and the frequency   that the gain becomes A jω0 = −gm R p at the resonance frequency. This means, we have gain and have phase shift of 180◦ from input to output.

b

a

Vdd

v out v in gm R p

C

L

Rp

v out v in

M1 ∠

LC-resonant loaded tuned amplifier

v out v in

f0

f

f0 f

–90° –180° –270° Frequency response of the amplifier

Fig. 10.129 Single-stage common-source amplifier loaded by a parallel resonant LC-tank

1088

V. Issakov and U. L. Rohde

To fulfill Barkhausen criteria on phase shift, we need to add additional 180◦ and provide feedback from output of the second stage to the input of the first one. Therefore, we arrive at the following schematic, as shown in Fig. 10.130. We have now two stages, loaded by parallel LC tank. Feedback from output of M2 to input of M1 ensures that the loop is closed and at the resonance frequency we have 360◦ phase shift along the loop. To fulfill Barkhausen condition on amplitude the open loop gain of the two stages at the resonance frequency should be greater than unity 

gm R p

2

≥ 1 ⇒ gm R p ≥ 1.

(10.7.5)

This is a very important result, as this gives us an indication how much current to we need to spend to make sure that the oscillator starts up. The transconductance gm can be increased by providing more current. R p can be increased by having a higher quality factor of the tank, which can be increased by a better quality factor of inductors and varactors. Thus, operating VCO at a lower frequency, at which higher quality factors are achievable, is a possible solution. To sum up the LC-oscillator until now, we can set the oscillation frequency by the choice of inductance and capacitance of the resonant tank, according to Eq. (10.7.4). For the oscillations to start-up, Eq. (10.7.5) must be fulfilled. In reality, we need to make sure that the condition is over fulfilled at start-up, i.e. gm R p > 1 and once the oscillations have started, one can reduce the bias current to maintain gm R p = 1. In fact, there is an amplitude feedback mechanism, making sure that the oscillator amplitude does not exceed the voltage breakdown limits of the transistors. Consider that the oscillation starts-up from a very small signal, which might be noise. In the beginning, under small signal conditions, the amplitude of oscillation increases with 2  every cycle, since there is a small-signal gain along the loop gm R p > 1. Similarly to the amplitude self-regulation mechanism, which is already explained in Fig. 10.10, at small input amplitudes at the gates of the transconductor (transistors M1 , M2 ), the output current follows linearly and the value of effective transconductance G m remains constant [10]. Then, for larger amplitudes, the amplifiers go into compression due to nonlinearity of the transistors. Hence, the output current deviates from the Vdd

C

Vdd

L

Rp

C

v out 1 v in1

L

Rp

v out 2 v in2

M1

Stage1

180°

M2

180°

Stage2 Stage1

Fig. 10.130 Two-stage LC-tank amplifier in feedback connection

Stage2

10 Oscillators and Frequency Synthesis

1089

ideal linear curve for large oscillation amplitudes. Lower current results in lower transconductance and the effective loop gain at the fundamental frequency selfregulates to the value of gm R p = 1. Thereby, the oscillator autonomously regulates its amplitude and despite the increasing amplitude, the swing never exceeds the levels that might damage the transistors. By varying the capacitance, one can tune the center frequency of an LC oscillator. However, varactor has a limited quality factor at high frequencies towards mm-wave. This deteriorates the quality factor of the resonator and degrades the phase noise. Hence, there is an inherent trade-off between the wide FTR and low phase noise. Nevertheless, the LC-VCO is the most popular type of oscillator in CMOS at RF and mm-wave frequencies due to the low power dissipation and the low achievable phase noise. The main disadvantage is that LC-VCO requires at least one tank inductor [13].

10.7.3 Cross-Coupled Pair The circuit shown in Fig. 10.130 can be redrawn as shown in Fig. 10.131. This circuit is called “cross-coupled oscillator” [9]. The circuit, as it is drawn, suffers from poorly defined biasing. Hence, we can add a well-defined tail current source to define the current. Additionally, we can combine the load LC-tank loads into one differential implementation. The resulting circuit is shown in Fig. 10.132. This is a more practical realization of an LC-tank cross-coupled oscillator. This oscillator is very popular in CMOS due to its simple topology and possibility to achieve very good phase noise performance. Additionally, it offers inherent differential implementation. The cross-coupled oscillator can be intuitively analyzed using the one-port model. The losses of the physical components are described by a effective tank resistance Rtank , which is related to Rp in Fig. 10.131 as Rtank = 2R p , since this is effectively a Vdd

Fig. 10.131 Cross-coupled oscillator without tail-current source C

Vdd

L

M1

Rp

C

L

M2

Rp

1090

V. Issakov and U. L. Rohde Vdd

Fig. 10.132 Cross-coupled LC-tank oscillator with a tail current source. Source © IEEE

L R tank

Passive LC-Tank

C

R psv Vo+

R act

Vo− Active Circuit

series connection of two LC-tanks. The active circuit generates an effective negative resistance Ract , which compensates for the losses of the tank Rpsv . The negative resistance seen looking into the drains is given by [9] Ract = −

2 gm

(10.7.6)

where gm is the transconductance. According to one-port model, the negative resistance must compensate for the loss of the tank and hence Ract < Rpsv . We can translate this into gm R p > 1. The waveforms of current and voltage inside a classical LC oscillator, can be seen in Fig. 10.133. The current is fully steered periodically into the left or into the right branch. For the first half of the period the entire tail current flows either via transistor M1 (and no current thru M2 ). For the second half of the period, the entire tail current flow via transistor M2 (and no current thru M1 ). This results in a duty cycle of about 50% or conduction angle of 180◦ . Thus, this corresponds to operation in class-B mode. If we perform a Fourier transform of the current waveform in Fig. 10.133, we will find that the fundamental harmonic component, i.e. the current at the oscillation frequency, has an amplitude of π2 I B , where I B is the bias current at the tail. The current flows thru the differential tank resistanceR p , generating voltage at the fundamental harmonic. Therefore, the differential voltage oscillation amplitude is given by Aosc = VO+ − VO− ≈

2 I B · R pg π

(10.7.7)

10 Oscillators and Frequency Synthesis

1091

Vdd VOut Vo+

Ltank

Vdd C var

Vo− Vo−

Vo+

t ID

IB

ID1 ID1

ID2 ID2

t

IB

Fig. 10.133 Cross-coupled LC-tank oscillator and class-B operation waveforms [13]. Source © IEEE

This implies that the voltage oscillation amplitude increases with increasing bias current (this operation region is known as current-limited). This is valid only until the voltage swing reaches value close to the rails, i.e. voltage limit of 2·Vdd , known as the voltage-limited operation region. In case that the amplitude is very large, the drainsource voltage of the transistors might be small enough to leave the saturation into triode region. This must be avoided at any time and hence the maximum amplitude is somewhat below Vdd . According to the Leeson’s expression [14], increasing signal power Psig reduces the phase noise. For a given resonant-tank equivalent parallel resistance Rtank in Fig. 10.132, increasing voltage swing increases the power and 2 /(2Rtank ). reduces the phase noise Psig ∝ Vosc Thus, the phase noise improvement in a single-core cross-coupled VCO is limited by supply voltage. For low noise operation, it is recommended to bias the VCO in the “sweet-spot” right on the transition between the current-limited to voltage-limited regions, as shown in Fig. 10.134. Fig. 10.134 Current and voltage limited operation regime of VCO [13]. Source © IEEE

Vosc 2Vdd

curr ent limited

voltage limited IB

1092

V. Issakov and U. L. Rohde

Increasing the current further beyond this point makes no sense, since the oscillation has reached the voltage boundaries imposed by the supply voltage. Higher current would not improve phase noise any further, but would cause additional losses and wasted DC current. However, if phase noise is not the main optimization parameters, but rather the low current consumption, then it is recommended to operate in the current limited region.

10.7.4 Three-Point Oscillators The three-point oscillators are based on the negative resistance, which is seen between gate and drain of a MOS transistor, when there are two capacitances attached gatesource and drain-source, as shown in Fig. 10.135. The impedance looking differentially into the gate-drain terminals of the transistor in Fig. 10.135 are given by [9] Z in ( jω) = −

gm 2 ω C1 C2

+

1

(10.7.8)

C2 jω CC11+C 2

We can see that the circuit generates a negative real part. Following the one-port theory, this part can be used to compensate for the positive resistance, representing the losses of the tank. Additionally, the imaginary part is purely capacitive and corresponds to a series connection of C1 and C2 . Hence, we can attach an inductor L between the drain-gate terminals to make an LC oscillator, as shown in Fig. 10.135b, which will oscillate at f0 =

+

1

(10.7.9)

C2 2π L CC11+C 2

a

b

Zin

L

C2

C1 Impedance calculation circuit

C2

C1 Basic structure of a three-point oscillator

Fig. 10.135 Principle of three-point oscillators. Source © IEEE

10 Oscillators and Frequency Synthesis

1093

The oscillation condition is obtained by converting the series to parallel circuit and using Eq. (10.7.9) as follows [9] gm R p =

C1 C2 (C1 + C2 )2 =2+ + C1 C2 C2 C1

(10.7.10)

The minimum gm is obtained for C1 = C2 and the start-up conditions in this type of oscillators is [9] gm R p > 4

(10.7.11)

If we compare this to Eq. 10.7.5, we note that it is much more difficult to make sure that a three-point oscillator starts up. Compared to the cross-coupled oscillator, one needs four times higher transconductance gm to get a three-point oscillator oscillating. Alternatively, one requires a much higher quality factor of the tank, while spending the same current. Hence, the more robust oscillation start-up is one of the reasons why cross-coupled oscillators are preferred over the 3-point oscillators in CMOS. In the previous derivation, we did not assume to this point which node is grounded and at which node we apply biasing. Depending on which of the terminals are attached to ground, we obtain different circuit topologies. The most common three-point oscillators are Clapp and Colpitts. Operating frequency and start-up condition is equal for these circuits. Colpitts is obtained, when AC ground is assumed at gate, as shown in Fig. 10.135a. For easier analysis, we split the node and assume that all of the terminals are at AC ground, as shown in Fig. 10.135b. Then, the terminal of the inductor at AC ground can be used to provide DC supply. The AC ground at the gate can be used to attach bias potential to the transistor M1 . The resulting circuit is known as Colpitts oscillator and shown in Fig. 10.136c. Similarly, one can derive Clapp topology by creating an AC ground at the drain terminal of M1 , as shown in Fig. 10.136a. Again, the AC ground terminals can be split

c

Vdd

L

a

b L

L d

d

Vb

M1

C2

g

g M1 AC

C2

M1 AC

AC

C2 C1

S

S C1 3-point oscillator, ground at gate

C1 AC ground split

Fig. 10.136 Derivation of a single-ended Colpitts oscillator. Source © IEEE

Colpitts oscillator

1094

V. Issakov and U. L. Rohde Vdd

a L tank Vo +

Ltank

LD Vo

Vbias C1

Vdd

b

C2

LS

Vo−

Ltank C 1 C2

Vtune C2

Differential Colpitts oscillator

Vbias C1

C1

C3

LD

− Vo +

C2 LS

Differential Clapp

Fig. 10.137 Differential Colpitts and Clapp realizations in CMOS [13] © 2019 IEEE

to provide gate biasing via inductor L and supply voltage at the drain of the transistor M1 . The resulting circuit is known as Clapp oscillator and shown in Fig. 10.137c. The presented circuit topologies are single-ended. However, at higher RF and mm-wave frequencies it is strongly advisable to use differential signaling and hence choose differential circuit topologies. This means, if one decides to use three-point oscillator (Clapp, Colpitts, Hartley) [13], it is highly recommended to use differential variant of such. We can convert the single-ended topologies in Figs. 10.135 and 10.136 to differential circuits by a symmetrical mirroring of the circuit. The resulting differential topologies of Colpitts and Clapp oscillators are shown in Fig. 10.137. Unfortunately, Clapp and Colpitts topologies are often confused in the literature. The frequency tuning is realized by means of making one of the capacitors tunable, by using a varactor. To make schematic readability easier, the passive resonant tank is marked blue for both topologies. The active part, which generates the negative equivalent resistance that should compensate for the losses of the resonant tank are marked in red color. The actual inductance, which defines the oscillation frequency in Eq. 10.7.9 is denoted as L tank . Next, we consider the waveform behavior of three-point oscillators in large-signal regime based on example of Colpitts oscillator. For large signal the circuit is very non-linear, it operates in class C, as seen on current waveform in Fig. 10.139. In Fig. 10.139a we consider the parallel tank resistance R p . We can consider the transistor current I DS , which appears as bursts and decompose it using Fourier transform. The component at fundamental frequency is roughly Iω0 ≈ 2I B . Therefore, this current develops over the parallel tank resistance R p an output voltage swing of

10 Oscillators and Frequency Synthesis

Aosc = Iω0 R p

C2 C2 ≈ 2I B R p C1 + C2 C1 + C2

1095

(10.7.12)

We learn from this expression that also here we can increase the voltage swing by higher current, in current-limited regime. Furthermore, we can increase the amplitude by having a higher R p , which means higher quality factor of the resonant tank. A systematic comparison between differential Colpitts and differential crosscoupled LC VCO in class-B operation is presented in [15]. First, it shows that under conditions of fair comparison (same oscillation frequency, same power consumption, same tank inductors and same losses) both topologies achieve the same voltage amplitude. Also the thermal noise contribution from the lossy tank to the overall phase noise NL,Rt is equal for both topologies. Finally, the ratio of phase noise contributions from transistors NL,I ds is given by [15] γColpitts 1 − n NL,I ds, Colpitts , = NL,I ds, Cross-coupled γCross-coupled n

(10.7.13)

1 where n ≡ C1C+C for Colpitts topology, and n opt is equal to 1/3 for γColpitts = 1. 2 Thus, under assumption that MOS transistors have the same excess noise γColpitts ≈ γCross-coupled = 1, Colpitts has a twice higher phase noise contribution in the thermal region, resulting in ~2 dB worse phase noise. To sum up, Colpitts needs a higher current (or higher quality factor of the tank) to get a robust oscillation started and it generates a higher phase noise than the crosscoupled equivalent. Therefore, we will focus in the next sections on the cross-coupled topology, which is the most popular VCO topology at RF and mm-wave frequencies in CMOS.

10.7.5 VCO Classes Next, we would like to give a brief overview of the VCO classes. The operation class of the VCO is defined, similarly as in power amplifiers, by conduction angle—the time that devices conducts related to time of one cycle of the periodic signal. For example, based on the waveforms in Fig. 10.139, the crosscoupled oscillator operates in class-B, having a conduction angle π (180◦ angle), since transistor conducts for one half of the signal cycle. In Fig. 10.138 we see that Colpitts and Clapp oscillators operate in class-C, with a small conduction angle. The class-B and class-C circuits are shown in Fig. 10.140. We can also turn a cross-coupled oscillator to operate instead of class-B into class-C. For this, we can add a large capacitor at the tail node of the cross-coupled pair. The capacitor CT in Fig. 10.140b turns Class-B oscillator into class-C, as it causes the drain current to be shaped as tall and narrow pulses. It is optimal to merge two topologies: the classical cross-coupled LC oscillator with properties of a differential Colpitts oscillator. Additionally, we have to take care that the transistors shall not enter the linear region.

1096

V. Issakov and U. L. Rohde

a

b AC

L

c

AC

Vdd

L

d

AC

L d

Vb

M1

g

g M1

M1

C2

C2 C1

S

S

C2

C1

C1 3-point oscillator, ground at drain

AC ground split

Clapp os cillator

Fig. 10.138 Derivation of a single-ended Clapp oscillator. Source © IEEE

b Vout

a

Vdd

VDD

L

c

Rp

I IDS

Vout Itank

Vb

M1

t C2

Itank Iw 0

≈ 2IB

C1

IB

t t

Colpitts oscillator

Waveforms in oscillator

Current decomposition

Fig. 10.139 Waveforms in Colpitts oscillator. Source © IEEE

We can shift the DC voltage at the gates of the transistors and set the bias voltage to a desired value. In class-C operation, the bias voltage VB in Fig. 10.140b, is typically set quite low to ≈ VDD /3 [16]. For this purpose, we attach AC coupling capacitors at the gates and provide a DC bias voltage. The RC bias should not load the tank. The comparison of idealized current and voltage waveforms is shown in Fig. 10.142. The drain voltages in both topologies swing about the common-mode level of Vdd . Taking Fourier transform of the drain current, the component at the fundamental oscillation frequency of class-B is Iω0 ≈ π2 I B . Class-C cross-coupled oscillator results in higher oscillation amplitude of Iω0 ≈ I B . This results in better phase noise, ideally 3.9 dB, due to higher oscillation amplitude of class-C [17]. Next, for a more thorough comparison of different VCO topologies, we need to introduce several definitions.

10 Oscillators and Frequency Synthesis

a

1097

b

Vdd

Vdd

Ltank

L tank

C var

C var

Vb Vo+

Vo−

ID1

ID2

Vo+

Vo−

ID1

IB

ID2

IB

Class-B cross-coupled oscillator

CT

Class-C cross-coupled oscillator

Fig. 10.140 Class-B and class-C resonant-tank-based LC-VCOs [13]. Source © IEEE

First, we shall consider the definition of the power efficiency of a VCO, i.e. the ratio between the RF power and the DC power in VCO, which is composed of voltage and current efficiencies. ηP =

PR F I R F VR F = · = η I ηV PDC I DC VDC

(10.7.14)

where η I and ηV are current and voltage efficiencies, respectively. Further, for a comparison of VCO topologies, we consider the Figure of Merit (FoM) and FOMT (FoM including FTR) 

  f 2 FoM = −10 log L( f ) · PDC (mW) · , I ω0 ≈ I B f0     f FT R 2 FoMT = −10 log L( f ) · PDC (mW) · · f0 10 

(10.7.15)

Finally, we consider the excess noise factor (ENF), which describes by how far is the VCO below the maximum theoretically achievable figure of merit (FOM). Obviously the lower ENF, the better and more efficient is the VCO. Under several simplifying conditions it was shown in [18] that FoM and ENF are given as

1098

V. Issakov and U. L. Rohde

2η P Q 2 , FoM = 173.8 + 10 log 1 + γM O S



1 + γM O S E N F = 10 log . (10.7.16) ηP

where γ M O S is the MOS transistor noise excess factor (typically in the range from 2/3 to 1.5), which is roughly equal to F in the Leeson’s phase noise model (as derived in [19]). This means that the only way to reduce the E N F is to increase the power efficiency. Similarly, the only way to improve the FoM is to increase the power efficiency and the quality factor of the resonator tank. The only way to improve the power efficiency η P is to use a higher class of operation. Higher classes are defined for VCOs similarly as for power amplifiers by conduction angle (higher class—smaller the conduction angle). Since for class-B the fundamental component I f 0 ≈ 2/π ·I B , the current efficiency I RF = IfB0 = π2 is not high. η I = IIDC As mentioned in Fig. 10.139b, the current at the fundamental oscillation frequency f 0 for class-C has the amplitude of I B [17]. Therefore, the current efficiency of classC η I reaches 100%. However, class-C has the disadvantage, that at large voltage oscillation amplitudes the transistors may enter the triode region and thus deteriorate the phase noise. According to [18], the best ENF is achieved by the class-B VCO using an AC coupling and LC filter at the tail node. Class-B can be driven into almost 100% power efficiency when the amplitude is increased. However, the peak amplitude is limited to twice supply voltage 2VDD due to device reliability. Another option is to use NMOS/PMOS complementary VCO topology to achieve the 100% power efficiency [18]. But this approach is limited at mm-wave frequencies, since PMOS is usually much slower that NMOS in most Nano-scale CMOS technologies. Alternatively, excellent FoM values can be achieved by switched-classes, like class-D, class-E or class-F oscillators. For example, class-F topology is realized by means of waveform shaping, as shown Fig. 10.141. This way the voltage waveform becomes more square-like and the voltage efficiency increases [20]. However, this is not easily applicable at mm-wave frequencies, since in addition to the LC-tank

a

b

VOut

VOu t Vo+

Vo+ Vdd

Vdd

Vo−

Vo− t

ID ID1 Iw

0

ID2

t ID

IB 2 I — B

ID2

ID1

t Class-B cross-coupled oscillator

3IB Iw

0

IB t

Class-C oscillator

Fig. 10.141 Current and voltage waveforms in class-B and class-C VCOs [13]. Source © IEEE

10 Oscillators and Frequency Synthesis

1099

resonator at the fundamental frequency f 0 we need to realize a resonator at 3 f 0 . Even more challenging is the condition that to reduce the phase noise, the resonance tank at 3 f 0 should have a higher quality factor than the tank at fundamental frequency f 0 [20]. So far there are several class-F reported in CMOS, but most are at low gigahertz RF frequencies [21–23]. To authors’ knowledge, only one VCO was reported at mm-wave frequency range [26] (Fig. 10.142). Vdd

Ltank f0 C tank

3f0

3f0

Vo+

Vo −

ID1

ID2

IB

Fig. 10.142 Class-F cross-coupled VCO [13]. Source © IEEE

1100

V. Issakov and U. L. Rohde

10.7.6 Phase-Noise Optimization Techniques 10.7.6.1

Phase-Noise Optimization in the Thermal Region

First, we shall revisit the Leeson’s Eq. (10.2.10) by considering only the thermal-noise frequency region for  a class-B oscillator with an ideal current source and substituting  2 / 2R p and rewrite (3) in simplified form as follows Psig = Vosc %

  & f0 2 kT F R p L( f ) = 10 · log 2 Q2 Vosc tank f

(10.7.17)

There is not much we can do about F, it is given for a given technology. In case we need to optimize for the lowest achievable phase noise (as needed for radar applications), we try to achieve the maximum possible voltage swing of 2V dd . However, supply voltage Vdd of advanced nano-scale CMOS technologies continuously reduces with lower gate sizes, due to the constant-field scaling. Supply voltage scaling therefore leads directly to phase-noise degradation. Typical value of Vdd for a 28 nm CMOS technology is 0.9 V and it keeps on decreasing for lower  nodes. Additionally, we need to minimize the expression R p · f 02 /Q 2tank . If we realize an oscillator at a mm-wave frequency (e.g. f 0 = 60 GHz), the quality factor of the tank will be low at this frequency. Therefore, it might be by far not optimal to realize a VCO at the fundamental frequency of f 0 = 60 GHz. It makes rather sense to use lower frequency, at which we can minimize the expression R p /Q 2tank and assuming a noiseless frequency multiplication, we pay the penalty of 20 · log N , where N is the ratio of the output frequency (in this example 60 GHz) and the fundamental oscillation frequency of the VCO (e.g. 15 GHz, if we use a multiplier by four). We need to minimize R p and maximizeQ tank . Maximizing Q tank is necessary also for increasing the FoM as seen in Eq. (10.7.16). Both R p and Q tank are technology related and depend on the choice of metal stack, quality factor of varactors, capacitors etc. We make another interesting observation on the choice of the tank inductance L value. We can rewrite the parallel resistance of the tank as follows  R p = Lω0 Q tank = Q tank

L C

(10.7.18)

This means, if we need a lower R p and high Q tank , the inductance must be minimized, without degrading the quality factor of the inductor, since it affects the total tank quality factor given by  Q tank =

1 1 + QL QC

−1 (10.7.19)

10 Oscillators and Frequency Synthesis

1101

where Q L and Q C are the qualify factors of the inductor and the overall capacitance (including fixed and variable part). Therefore, we must find a “sweet-spot” of inductor geometry that gives a maximum quality factor and minimal inductance. One can start with a high-Q inductor and start reducing its dimensions until reaching the optimal point, as shown in Fig. 10.143 [21]. Another observation: if we reduce R p by reducing L, we would need to increase the tank capacitance C. Higher capacitance results in large devices and due to parasitic capacitance, this will limit the FTR. Finally, if we reduce R p , but need to keep the oscillation amplitude, we would need to increase the bias current to compensate for lower R p , since as we mentioned previously Vosc ≈ π2 · I B · R p (Figs. 10.144 and 10.145). As discussed previously, an interesting question what is the optimal frequency for phase noise minimization. A thorough comparison of two scenarios for frequency generation and analysis of the optimal oscillation frequency has been carried out in [22]. The authors show that the optimal frequency for minimization of the expression R p /Q 2tank lies for an ultra-scaled CMOS technology around 5 GHz. Authors use ratio of inductors’ self-resonance frequency (SRF) to the operation frequency for the analysis δ = f SRF / f osc . High value of δ indicates small inductor value (and vice versa). As one can see in Fig. 9a, the “sweet-spot” for lowest phase noise is achieved

40μm

100μm

35

500 400

Q

25 300

Rp

20 15

200

10 5

100 100

200

30 0 Inductance (pH)

400

Fig. 10.143 Tank inductor optimization [21]. Source © IEEE

500

Parallel Resistance (Ohm)

30 Quality Factor

180μm Our desi gn choice

1102

V. Issakov and U. L. Rohde

a

b

fOSC

fLO

fLO mult-N

Oscillator at lower frequency and frequency multipier

Fundamental oscil lator at f LO

Fig. 10.144 Scenarios for generating a mm-wave frequency analyzed in [22]. Source © IEEE

at 5 GHz and for small inductor values (large δ). On the other hand, FoM in Eq. (8) depends only on Q tank (power efficiency η P is fixed by the choice of topology). And highest Q tank is achieved for large inductors. This means, that optimizing for lowest phase noise and for highest FoM results in different inductance values and cannot be satisfied simultaneously.

10.7.6.2

Phase-Noise Optimization in the Thermal Region

Flicker noise is modulated onto the oscillation as sidebands and contributes to the phase noise close to the carrier. Particularly, in radar systems operating with very low intermediate frequencies (IF) the absolute value of phase noise in the flicker noise region is critical. Unfortunately, MOS transistor has a very high flicker noise due to interface states and thus exhibits very high corner frequencies of several megahertz as opposed to few kilohertz exhibited by a bipolar transistor [23]. Therefore, it is very difficult to achieve phase-noise values in CMOS comparable to SiGe realizations. 12

20

10 8

16

d =5

d = 10

6 d = 10

4

Q tank

R p /Q 2tank (dB )

d =5

d =3

12

2 0 –2 –4

d = 15

8

d =3

d = 15 0

10

20

30

fOSC (GHz)

R p / Q tank 2 for different values of d

40

4

0

10

20

30

40

fOSC (GHz) Quality factor for different values of

d

Fig. 10.145 Analysis of the optimal frequency for phase-noise minimization [22]. Source © IEEE

10 Oscillators and Frequency Synthesis

1103

Rael and Abidi [26] describe two mechanisms how flicker noise of the tail current source (MT in Fig. 10.146) at low frequency f m up-converts to the oscillation frequency f 0 and results in phase-noise sidebands f 0 ± f m . First mechanism—the switching action of the differential pair commutates noise of the tail current source like a single-balanced mixer. It enters the resonator as amplitude modulation (AM) noise. Then it is converted to frequency modulation (FM) thru nonlinear parasitic capacitances of the transistors, varactors and capacitors [24, 25]. One solution to this would be to use a small varactor and a large bank of switched capacitors as the tank capacitance. Another solution is to omit a tail-current source completely and use a resistor instead, making the VCO operate in voltage-biased regime [27]. This is reason why in most of our VCO realization we omit the tail-current source [6, 28, 30–32]. Second mechanism, which can be the dominant effect if the counter-measures are already taken on the first one, is related to the incremental Groszkowski effect [34]. The steady-state oscillation frequency of a VCO is shifted √ by f from the expected resonance frequency set by the tank f 0 = 1/(2π LC). The reason is that the differential pair generates a current with a rich harmonic content. Higher harmonics flow into the lower-impedance capacitor and upset the reactive power balance. However, the harmonic content of the current in the tank is a function of the bias current IB . The shift in frequency as function of current fluctuations ∂ f 0 /∂ I B results in an indirect VCO frequency modulation by the flicker noise of the tail current source [26]. A very thorough and systematic analysis of the 1/f noise up-conversion effect by the incremental Groszkowski effect is provided by Bevilacqua and Andreani in [35]. As a solution authors suggest that more saturated active devices are less prone to flicker-noise up-conversion. This can be achieved either by increasing the bias Vdd Ltank

C var Vo+

Vo− M1

M2

MT

Fig. 10.146 Tail-current source flicker-noise up-conversion due to AM-FM non-ideality of varactor. Source © IEEE

1104

V. Issakov and U. L. Rohde

current or using larger devices. Another solution is proposed in [36] by introducing an auxiliary resonance in the tank at 2 f 0 in addition to the fundamental resonance at f 0 . Another effect that contributes to the low-frequency phase noise is that the white noise at 2 f 0 of the tail-current source is directly down-converted to phase-noise around f 0 . A solution to this is to filter the noise at 2nd harmonic by a large tail capacitance C tail . Additionally, a series inductance L tail is used to resonate with tailcapacitance and parasitic capacitance to form a high-impedance at 2nd harmonic, as shown in Fig. 10.147 [37]. Finally, we consider the flicker noise contribution of the differential pair transistorsM1 , M2 . The noise of differential pair is injected into the resonant tank at zero crossings, i.e. flicker noise is sampled by a pulse train at 2 f 0 [26]. This results in current components at f m and 2 f 0 ± f m , which are strongly attenuated by the resonator at f 0 . Thus, this effect is negligible, as also shown in [35]. However, another Groszkoswski effect takes place due to parasitic device capacitances, summed as C par in Fig. 10.147. This capacitance is seen as negative capacitance at the differential outputs. Thus, Eq. (10.7.6) needs to be corrected as Ract = − g2m − jωαCpar [26]. Flicker noise of the core transistors is modulated onto the current thru C par at 2nd harmonic at zero crossings. After the commutation through M1 , M2 it is down Vdd

Ltank

C var R act

Vo +

Vo−

M1

Vn2

M2 2f0 C par Ltail

MT

C tail

Fig. 10.147 1/ f 3 phase-noise contribution by tail-current source at 2 f 0 and 1/f noise of differential pair. Source © IEEE

10 Oscillators and Frequency Synthesis

1105

converted to the oscillation frequency and presents an effective fluctuating capacitance. Modulation of the capacitance due to the flicker noise results in frequency shifts and phase-noise in 1/ f 3 region [41]. A solution to this is as before to suppress the current at 2 f 0 by a tail-filter at 2nd harmonic, as shown in Fig. 10.147. Additionally, we can reduce the flicker noise of the transistors M1 , M2 by increasing the transistor area, as easily seen by looking at the for the 1/f noise voltage of  expression  K 1 V2 2 MOS transistor given by Vn ( f ) = W ·L · f c H z [175]. However, this creates additional parasitic capacitance, reduces the tuning range and degrades the maximum oscillation frequency f max . Another solution is to add a differential source capacitor and thereby keep the amplitude of the 2nd harmonic constant [41].

10.7.7 Advanced Circuit Techniques 10.7.7.1

Phase-Noise Reduction by Multiple Core Coupling

As we have discussed in previous sections, there is an ultimate limit on achievable phase-noise by a single VCO-core due to low supply and limited quality factor of the tank. Thus, the only viable way to further lower the phase noise is by coupling of several identical cores bilaterally. This results ideally in improvement of phasenoise by 10 · log(N ) [21, 38, 42], where N is the number of coupled cores. The coupling between the VCO cores can be done resistively [21, 38, 42] or inductively [32]. However, to realize the phase-noise advantage, the coupling network must be designed carefully. Also this technique is bounded practically by power consumption and chip area.

10.7.7.2

Techniques to Extend the Frequency Tuning Range

As discussed previously, there is an inherent trade-off between the phase-noise and FTR. Typically, to achieve a lower phase-noise, varactors are minimized and thus continuously tunable FTR (required for radar applications) is reduced. To overcome this limitation, one can consider using an SOI CMOS technology. It seems that SOI offers an advantage of varactors with lower parasitics, as e.g. in [32] we have achieved an FTR of 36%. On circuit level one can apply several techniques. Varactor can be “removed” from the resonant tank by adding a transformer and tuning the transformer on the secondary side, as e.g. demonstrated in [38] and analyzed in [43]. Additionally, Nakamura [44] has proposed using a loop-ground transmission line to achieve a wide tuning range. Another very promising technique is to use inductive tuning, as shown in [45].

1106

V. Issakov and U. L. Rohde

10.7.7.3

Techniques to Extract the 2nd Harmonic

As discussed previously, it makes more sense to realize a VCO at lower frequency instead of fundamental at mm-wave. However, instead of using a frequency multiplier by two, we can get the second harmonic “for free”, by realizing a push-push VCO, since the second harmonic is present in common-mode in several nodes in the circuit (see Fig. 10.147). In reality, it is not really “for free”, because if we attach a buffer at this node, we add a parasitic capacitance and might degrade the phase noise of the VCO. Therefore, we have suggested to use a transformer to couple out the second harmonic at the source node [6], as shown in Fig. 10.149. Alternatively, one can distinguish the fundamental from 2nd harmonic by mode of signaling. Fundamental harmonic at f0 is present in differential mode, while the 2nd harmonic 2f0 is present in common-mode, and can be coupled out capacitively (Figs. 10.148, 10.150 and 10.151). Fig. 10.148 Quad-core resistively coupled VCO topology for phase-noise reduction. Source © IEEE

a

b

Vdd

P in (dBm)

P out. ZDM (dBm)

Vtune Coupling Device

C1

M1

f1

f2 Freq (Hz) Inp

M2

Inn

T1 Vbias

Coupling inductively at tail-node [6]

Rs @2f 0

Vin1 0° at f 1 Vin2 0° at f 2

Rs

ld Fie

H-

L2

P out. ZCM (dBm)

L1

E-F ield

f1 Freq (Hz) P out at f 1 is nonzero P out at f 2 is negligible

ZDM

L3 ZCM

Vin1 180° at f 1 Vin2 0° at f 2

f2 Freq (Hz) P out at f 1 is negligible P out at f 2 is nonzero

Coupling from the tank by mode separation [43]

Fig. 10.149 Circuit techniques to couple out 2nd harmonic for push-push VCO realization. Source © IEEE

10 Oscillators and Frequency Synthesis

1107

Legend: Symbol size represents the technology node CMOS > 180 nm CMOS 180 – 130 nm CMOS 90 – 65 nm (SOI ) (SOI ) CMOS < 65 nm SiGe HBT > 130 nm SiGe HBT 130 nm SiGe HBT 55 nm solid symbols: continuous frequency tuning hollow symbols: frequency tuning with switched elements VCOs presented in this thesis Transformer-coupled multi-core VCOs in 45 nm PD SOI CMOS Adaptive gate biased / self-biased VCOs in 28 nm Bulk CMOS Super-harmonic tail-node coupled VCOs in 22 nm FD SOI CMOS

−80 Single-Core Adaptive Gate-Bi ased Dual-Core

Quad-Core

Self-Biased

Phase Noise @ 1 MHz (dBc/Hz) normalized to a 60 GHz carrier

−90 Ultra-Wideband Dual-Core

−100 Very-Low Phase Noise Dual-Core Very-Low Phase Noise Quad-Core

−110

−120

0

10

20

30

40

50

60

70

FTR (%)

Fig. 10.150 Plot of the VCO performance survey including VCOs showing the normalized phase noise at 1 MHz offset to a 60 GHz carrier frequency versus FTR; symbol size represents the technology node; data points listed in the Appendix. Source © IEEE

1108

V. Issakov and U. L. Rohde Legend: Symbol size represents to technology node CMOS > 180 nm CMOS 180 – 130 nm CMOS 90 – 65 nm (SOI ) (SOI ) CMOS < 65 nm SiGe HBT > 130 nm SiGe HBT 130 nm SiGe HBT 55 nm solid symbols: > 10 % continuous frequency tuning hollow symbols: < 10 % continuous frequency tuning of fully switched VCOs presented in this thesis Transformer-coupled multi-core VCOs in 45 nm PD SOI CMOS Adaptive gate biased / self-biased VCOs in 28 nm Bulk CMOS Super-harmonic tail-node coupled VCOs in 22 nm FD SOI CMOS

+6 dB/octave

−70

Single-Core −80

Dual-Core Quad-Core

Phase Noise @ 1 MHz offset (dBc/Hz)

−90 Self-Biased

Adaptive Gate-Biased

Ultra-Wideband Dual-Core −100

+6 dB/octave

−110

Very-Low Phase Noise Dual-Core −120

Very-Low Phase Noise Quad-Core

−130

−140 1

10 fosc (GHz)

100

Fig. 10.151 Plot of the VCO performance survey including VCOs showing the phase noise at 1 MHz offset from carrier versus measured carrier frequency f osc ; symbol size represents the year of publication; data points listed in Appendix

10 Oscillators and Frequency Synthesis

1109

The literature survey represents a set of data from selected publications from the IEEE Xplore library of integrated VCOs fabricated in CMOS, SOI CMOS and SiGe HBT technologies. The survey contains publications from the beginning of integrated VCO until 2019. The data is listed in Table 10.4 with emphasis on the year of publication, the technology, the technology node, the frequency of oscillation f osc , the measured phase noise at 1 MHz offset from carrier at f osc , the FTR and the frequency tuning technique. The category frequency tuning technique distinguishes between continuous and switched frequency tuning in the resonant tank. Table 10.4 VCO performance survey: list of publications including VCO data Refs.

Year

Technology node (nm)

f osc (GHz)

PN @ 1 MHz @ f osc (dBc/Hz)

FTR (%)

Frequency tuning technique

[110]

2014

180 CMOS

13.2

−101.4

25.6

Continuous in 2 switched modes

[153]

2013

90 CMOS

55.6

−91.6

12.5

Continuous in switched modes

[111]

2013

130 CMOS

35

−100.1

26

Continuous in 128 switched modes

[7]

2012

350 SiGe HBT

73

−97a

33

14% continuous and 33% including switched modes

[154]

2012

180 CMOS

23.1

−105.1

16.5

Continuous

[155]

2012

130 CMOS

27

−95

40

Continuous in 128 switched modes

[176]

2011

180 CMOS

24

−101

22.4

Continuous

[177]

2011

180 CMOS

11.1

−110.4

10.5

Continuous

[178]

2011

65 CMOS

67.1

−89.5

27

Continuous in 2 switched modes

[179]

2009

65 CMOS

56

−99.4

17

Continuous in 8 switched modes

[180]

2012

250 SiGe HBT

9.3

−126.2

5.7

Continuous

[33]

2009

180 CMOS

10.2

−106.2

16.1

Continuous

[39]

2008

130 CMOS

59

−95

10

Continuous

[40]

2007

180 CMOS

40

−100.2

20

Continuous in 6 switched modes

[48]

2004

250 SiGe HBT

19.4

−101.2

24.4

Continuous

[11]

2011

180 CMOS

19

−110.8

3.6

Continuous

[12]

2009

130 CMOS

23

−100

2

Continuous

[181]

2010

180 CMOS

24.3

−100

2.2

Continuous

[49]

2015

180 CMOS

5.3

−121.1

49.8

Continuous in 4 switched modes (continued)

1110

V. Issakov and U. L. Rohde

Table 10.4 (continued) Refs.

Year

Technology node (nm)

f osc (GHz)

PN @ 1 MHz @ f osc (dBc/Hz)

FTR (%)

Frequency tuning technique

[50]

2014

65 CMOS

4.2

−123.1

41.1

Continuous in 64 switched modes

[31]

2016

130 CMOS

57.7

−100.6a

16.8

Continuous

[62]

2016

40 CMOS

58

−100

25.4

Switched capacitors

[63]

2011

90 CMOS

64

−95

8.7

Continuous

[64]

2014

65 CMOS

66.1

−88.4

27.9

Continuous in 2 switched modes

[65]

2008

65 CMOS

60.5

−95

8.2

Continuous in 2 switched modes

[66]

2016

65 CMOS

54

−95

9.1

Continuous in 16 switched modes

[67]

2013

65 CMOS

73.8

−86a

41.1

Continuous in 6 switched modes

[68]

2009

90 CMOS

61.7

−90

4.8

Continuous

[69]

2007

65 CMOS

70.2

−86.1a

10

Continuous

[70]

2013

65 CMOS

67.9

−88.9a

22.3

Continuous in 2 switched modes

[76]

2015

65 CMOS

59.3

−90.3a

39

Continuous in 2 switched modes

[77]

2013

90 CMOS

34.4

−100.8

62.1

Continuous in mult. switched modes

[98]

2015

32 SOI CMOS

30

−108

7.6

Continuous

[78]

2012

65 CMOS

55.6

−94

24

Continuous in 4 switched modes

[111]

2013

130 CMOS

35

−100.1

26

Continuous in 128 switched modes

[79]

2011

180 CMOS

61.5

−91.5

8.3

Continuous

[82]

2010

130 CMOS

60

−94

7.2

Continuous

[81]

2010

130 CMOS

55

−89

11

Continuous

[66]

2016

65 CMOS

75.9

−85.3

7.4

Continuous in 16 switched modes

[83]

2009

32 SOI CMOS

102

−74a

4.1

Continuous

[84]

2008

65 CMOS

89.8

−95

3.3

Continuous

[86]

2007

90 CMOS

91.3

−87.1a

2.7

Continuous

[87]

2012

65 CMOS

101

−85.1

4.3

Continuous

[88]

2014

350 SiGe HBT

90

−108

20

Continuous

131

−102

20

Continuous

120

−100

7.3

Continuous with switched modes

[89]

2012

130 SiGe HBT

(continued)

10 Oscillators and Frequency Synthesis

1111

Table 10.4 (continued) Refs.

Year

Technology node (nm)

f osc (GHz)

PN @ 1 MHz @ f osc (dBc/Hz)

FTR (%)

Frequency tuning technique

[90]

2004

350 SiGe HBT

77

−97

8.7

Continuous

[30]

2016

350 SiGe HBT

60

−105

11.5

Continuous

[91]

2013

32 CMOS

40

−96.6

31.6

19% continuous in switched modes

[175]

2011

65 CMOS

77

−88

14.5

Continuous

38

−94

15.5

Continuous

38

−98a

24

Continuous

26

Continuous

25.8

Continuous in 6 switched modes

38

−98a

[113]

2012

65 CMOS

59.6

−80

[114]

2007

90 CMOS

90

−87.1a

7

Continuous

[115]

2014

65 CMOS

78.2

−90.8

5a

Continuous with switched modes

[116]

2013

350 SiGe HBT

60

−106.5a

8a

Continuous

[32]

2017

65 CMOS

77.5a

−100

10.2

Continuous

[117]

2011

65 CMOS

79

−87

12.7

Continuous

[118]

2017

40 CMOS

59.5

−91.8

25

Continuous

[182]

2008

90 CMOS

72

−92.2a

2.8

Continuous

14.3

Continuous with 6 bit switched modes

[120]

2008

90 CMOS

57

−98.8a

[15]

2003

250 CMOS

1.25

−131

28

Continuous with 16 switched modes

[121]

2013

65 CMOS

3.7

−132.7

25

Continuous with switched modes

[122]

2014

65 CMOS

3.9

−135.1

10.2

Switched

[123]

2016

65 CMOS

103

−86.9

14

Switched

[124]

2012

55 CMOS

3.95

−131

31

Continuous with 13 bit switched mode

[125]

2006

130 CMOS

59

−89

10

Continuous

[126]

2004

90 SOI CMOS

57

−90

16

Continuous

60

−94

14

Continuous

[127]

2004

250 CMOS

63

−85

4

Continuous with 3 switched modes

[128]

2005

130 CMOS

90

−87

2

Continuous

[129]

2005

90 CMOS

131

−88.4a

2

Continuous

[130]

2017

28 CMOS

27.3

−106

14

Switched

[25]

2013

65 CMOS

4.1

−136.7

18.6

Switched

[131]

2009

350 SiGe HBT

80

−97

30

Continuous

[28]

2018

130 SiGe HBT

16

−124

16

Continuous (continued)

1112

V. Issakov and U. L. Rohde

Table 10.4 (continued) Refs.

Year

Technology node (nm)

f osc (GHz)

PN @ 1 MHz @ f osc (dBc/Hz)

FTR (%)

Frequency tuning technique

[132]

2017

55 SiGe HBT

20

−119

19

Continuous

[13]

1995

180 CMOS

1.8

−125a

7

Continuous

20

Continuous

14

Continuous

[16]

1997

400 CMOS

1.8

−128a

[14]

1997

700 CMOS

1.8

−119a

[17]

1998

500 CMOS

1.4

−127a

17

Continuous

[133]

2013

65 CMOS

3.7

−131

25

Switched

[134]

1992

Bipolar

1.8

−108a

10

Continuous

[34]

2018

28 CMOS

18.7

−113.8

11.6

Continuous

[35]

2018

28 CMOS

29.3

−106

13.6

Continuous

[135]

2017

28 CMOS

41.1

−99.5

8.8

Continuous

14.6

Continuous with switched modes

14.8

Continuous with switched modes

[136]

2010

45 CMOS

10

−116a

[183]

2015

40 CMOS

58.1

−99.5

a

Values estimated from figures or extrapolated from data

References 1. Ellinger, F.: Radio Frequency Integrated Circuits and Technologies, pp. 381–382 (2007) 2. Tiebout, M.: Low Power VCO Design in CMOS. Springer, Berlin (2006) 3. Issakov, V.: A highly integrated D-band multi-channel transceiver chip for radar applications. In: IEEE BCICTS 2019, pp. 1–4 4. Strecker, F.: Die elektrische Selbsterregung: Hirzel, pp. 17–26 (1947) 5. Magnus, K.: Schwingungen, pp. 24–25. Teubner, Stuttgart (1961) 6. Niknejad, A.: EECS 142, Lecture Notes, Berkeley 7. Perrott, M.H.: Lecture Notes. Accessed on www.cppsim.com 8. Nguyen, N.M., Meyer, R.G.: Start-up and frequency stability in high-frequency oscillators. IEEE J. Solid-State Circ. 27(5), 810–820 (1992) 9. Issakov, V.: Microwave Circuits for 24 GHz Automotive Radar in Silicon-Based Technologies. Springer, Berlin (2011) 10. Trotta, S., et al.: An RCP packaged transceiver chipset for automotive LRR and SRR systems in SiGe BiCMOS technology. IEEE Trans. Microw. Theor. Tech. 60(3), 778–794 (2012) 11. Gilmour, Jr., A.S.: Microwave Tubes. Artech House, Norwood (1986) 12. van Iperen, B.B.: Reflexklystrons für 4 und 2,5 mm Wellenlänge. Philips Tech. Rdsch. 21, 217–225 (1959/60) 13. Rael, J., Abidi, A.: Physical processes of phase noise in differential LC-oscillators. In: IEEE Custom Integrated Circuits Conference (CICC), Orlando, May 2000 14. Hajimiri, A., Lee, T.H.: Low Noise Oscillators. Kluwer, Boston (1999) 15. Rohde, U.L., Poddar, A.K., Böck, G.: The Design of Modern Microwave Oscillators for Wireless Applications: Theory and Optimization. Wiley, Hoboken (2005) 16. Rohde, U.L., Rubiola, E., Whitaker, J.C.: Microwave and Wireless Synthesizers: Theory and Design, 2nd ed. Wiley, Hoboken (2021)

10 Oscillators and Frequency Synthesis

1113

17. Steimel, K.: Ein zweiter Beitrag zur Lösung des „Rukopschen Problems”. Telefunkenztg 26, 73–76 (1953) 18. Lukes, J.H.: Halbleiterdiodenschaltungen, pp. 42–61. Oldenbourg, Munich, Vienna (1968) 19. Rothe, H., Kleen, W.: Elektronenröhren als Schwingungserzeuger und Gleichrichter, p. 9. Becker and Erler, Leipzig (1941) 20. Taschenbuch der Hochfrequenztechnik, 2nd ed., pp. 1175–1177. Springer, Berlin (1962) 21. Dosse, J.: Der Transistor, 4th ed., pp. 244–247. Oldenbourg, Munich (1962) 22. Müller, J.: Experimentelle Untersuchungen über Elektronenschwingungen. Z. Hochfrequenztechn 44, 195–199 (1934) 23. Llewellyn, F.B., Bowen, A.E.: The production of ultra-high-frequency oscillations by means of diodes. Bell Syst. Tech. J. 18, 280–291 (1939) 24. Burrus, C.A.: Millimeter wave Esaki diode oscillators. Proc. IRE 48, 2024 (1960). Hilsum, C.: Transferred electron amplifiers and oscillators. Proc. IRE 50, 185–189 (1962) 25. Hines, M.E.: High-frequency negative-resistance circuit principles for Esaki diode applications. Bell Syst. Tech. J. 39, 477–513 (1960). Kroemer, H.: Theory of the Gunn effect. Proc. IEEF 52, 1736 (1964). Negative conductance in semiconductors. Spectrum IEEE 5, 47–56 (1968) 26. Esaki, L.: New phenomenon in narrow Germanium p-n-junctions. Phys. Rev. 109, 603–604 (1958). Ridley, B.K., Watkins, T.B.: The possibility of negative resistance in semiconductors. Proc. Phys. Soc. 78, 293–304 (1961) 27. Sterzer, F., Nelson, D.E.: Tunnel diode microwave oscillators. Proc. IRE 49, 744–753 (1961). Shurmer, H.V.: Microwave Semiconductors Devices, pp. 164–183. Oldenbourg, Munich, Vienna (1971) 28. Strauss, L.: Wave Generation and Shaping, Ch. 15. Mc-Graw-Hill, New York (1960). Unger, H.G., Harth, W.: Hochfrequenz-Halbleiterelektronik. Hirzel, Stuttgart (1972) 29. Edson, W.A.: Tapered distributed RC-lines for phase-shift oscillators. Proc. IRE 49, 1021– 1024 (1961) 30. Klein, E.: Die Tunneldiode als Schwingungserzeuger. NTZ 15, 135–142 (1962). Bott, I.B., Fawcett, W.: The Gunn effect in gallium arsenide. In: Young, L. (ed.) Advances in Microwaves, vol. 3, pp. 223–300. Academic Press, New York (1968) 31. Urtel, R.: Erregung von Schwingungen mit wesentlich nichtlinearen negativen Widerständen. Nachrichtentechn. Fachber. 13, 1–38 (1958). Gunn, J.B.: Effect of domain and circuit properties on oscillations in GaAs. IBM J. Res. Dev. 10, 310–320 (1966) 32. Dermit, G.: High-frequency power in tunnel-diodes. Proc. IRE 49, 1033–1042 (1961). Mc Cumber, D.B., Chenoweth, A.G.: Theory of negative-conductance amplification and of Gunninstabilities in “two-valley” semiconductors. IEEE Trans. Electron Dev. ED-13, 4–21 (1966) 33. Blievernicht, U.: Integrierte Schaltkreise für Mikrowellen. Elektronik-Industrie 7(8), 46–49 (1984) 34. De Loach, Jr., B.C.: Advances in solid state microwave generators. In: Advances in Microwaves, vol. 2, pp. 43–88. Academic Press, New York (1967). Copeland, J.A.: LSA oscillator diode theory. J. Appl. Phys. 38, 3096–3101 (1967) 35. Landvogt, G.F.: Näherungen für die periodische Lösung der van-der-Polschen Differentialgleichung und ihre Bedeutung für Oszillatorschaltungen. NTZ 20, 601–609 (1967). Read, W.T.: A proposed high-frequency negative resistance diode. Bell Syst. Tech. J. 37, 401–446 (1958) 36. Landvogt, G.F.: Eine Verallgemeinerung des van-der-Polschen Oszillatormodells. NTZ 22, 390–394 (1969). Johnston, R.L., De Loach, B.C., Cohen, B.G.: A silicon diode microwave oscillator. Bell Syst. Tech. J. 44, 369–372 (1965) 37. Landvogt, G.F.: Das elektrische Verhalten eines verallgemeinerten van-der-Polschen Oszillatormodells. NTZ 22, 491–495 (1969). Lee, C.A., et al.: The READ-diode—an avalanching transit-time, negative-resistance oscillator. Appl. Phys. Lett. 6, 89 (1965) 38. Mouthaan, K.: Lawinen-Laufzeitoszillatoren. Philips Techn. Rdsch. 32, 368–384 (1971/72) 39. Hieslmair, et al.: State of the art of solid-state and tube transmitters. Microwave J. 46–48 (1983)

1114

V. Issakov and U. L. Rohde

40. Thoren, G.: IMPATT diode progress promises smaller, lightweight mm-wave systems. Microwave System News, pp. 96A–98 (1984) 41. van der Pol, B.: On „relaxation—oscillations”. Phil. Mag. 2, 978–992 (1926). Prager, H.J., Chang, K.K.N., Weisbrod, P.: High-power high-efficiency silicon avalanche diodes at ultrahigh frequencies. Proc. IEEE 55, 586–587 (1967) 42. Gunn, J.B.: Microwave oscillations of current in III-V semiconductors. Solid State Commun. 1, 88–91 (1963). Chang, K.K.N.: Avalanche diodes as UHF and L-band sources. RCA Rev. 30, 3–14 (1969) 43. Hines, M.E.: Noise theory for the READ-type avalanche diode. Trans. IEEE ED-13, 158–163 (1966) 44. Ruegg, H.W.: A proposed punch-through, microwave negative resistance diode. IEEE Trans. ED-15, 577–585 (1968) 45. Coleman, D.J., Jr., Sze, S.M.: A low-noise metal-semiconductor-metal (MSM) microwave oscillator. Bell. Syst. Tech. J. 50, 1695–1699 (1971) 46. Spangenberg, K.R.: Vacuum Tubes, pp. 527–620. McGraw-Hill, New York (1948) 47. Hamilton, J.J.: Reflex klystrons, pp. 65–130. Chapman and Hall, London (1958) 48. Pierce, J.R., Shepherd, W.G.: Reflex oscillators. Bell Syst. Tech. J. 26, 460–681 (1947) 49. Hechtel, R.: Das Vielschlitzklystron, ein Generator für kurze elektromagnetische Wellen. Telefunken Röhre 35, 5–30 (1958) 50. Varian Ass. Canada. Prospekt 10: Introduction to extended interaction oscillators (EIO) (1978) 51. Epsztein, B.: Franz. Pat. No. 10135379 of 13.4.1951 52. Kleen, W.: Verzögerungsleitungen mit periodischer Struktur in Wanderfeldröhren. NTZ 7, 547–553 (1954) 53. Guénard, P., Döhler, O., Warnecke, R.: Sur les propriétés des lignes à structure périodique. C. R. Acad. Sci. Paris 235, 32–34 (1952) 54. Kleen, W.: Mikrowellen-Elektronik I. Hirzel, Stuttgart (1952) 55. Warnecke, R., Guénard, P.: Some recent works in France on new types of valves for the highest radio frequencies. J. Inst. Electr. Eng. 100(Part III), 351–362 (1953) 56. Kompfner, R.: Backward-wave oscillator. Bell Labor. Rec. 31, 281–285 (1953) 57. Kompfner, R., Williams, N.T.: Backward-wave tubes. Proc. IRE 41, 1602–1611 (1953) 58. Veith, W.: Das Carcinotron, ein elektrisch durchstimmbarer Generator. NTZ 7, 554–558 (1954) 59. Pöschl, K.: Zur Theorie des Carcinotrons. NTZ 7, 558–561 (1954) 60. Goldberger, A.K., Palluel, P.: The “0”-type carcinotron type. Proc. IRE 44, 333–345 (1956) 61. Doehler, O., Epsztein, B., Guénard, P., Warnecke, W.: The “M”-type carcinotron type. Proc. IRE 43, 413–424 (1955) 62. Grow, R.W., Watkins, D.A.: Backward-wave oscillator efficiency. Proc. IRE 848–856 (1955) 63. Heffner, H.: Analysis of the backward-wave tube. Proc. IRE 930–937 (1954) 64. Walker, L.R.: Starting currents in the backward-wave oscillator. J. Appl. Phys. 24, 854–859 (1953) 65. Barnett, L.R., et al.: Backward-wave oscillators for frequencies above 600 GHz. In: Tenth International Conference on Infrared and Millimeter Waves, Florida (1985) 66. Grant, T.J., et al.: An ultrahigh precision electrongun-tube alignment technique for mm-wave applications. In: IEDM Meeting Washington (1985) 67. Bava, E., et al.: Phase-lock of a submillimetric carcinotron. Infrared Phys. 23, 157–160 (1983) 68. Epsztein, B., Teyssier, L.: Advances in submillimeter carcinotrons. In: IEEE Conference Washington (1981) 69. Epsztein, B.: Recent progress and future performances of millimeter-wave BWO’s. In: AGARD Conference Proceedings No 245 (1978) 70. Glass, E.: Ein Rückwärtswellenoszillator von 110–170 GHz. NTG-Fachber. 71, 29–33 (1980) 71. Henney, K.: Radio Engineering Handbook, pp. 8–18. McGraw-Hill, New York (1959) 72. Hull, A.W.: The effect of a uniform magnetic field on the motion of electrons between coaxial cylinders. Phys. Rev. 18, 31–57 (1921). Furthermore: the paths of electrons in the magnetron. Phys. Rev. 23, 112 (1924)

10 Oscillators and Frequency Synthesis

1115

73. Brillouin, L.: Theory of the magnetrons, Part 1. Phys. Rev. 60, 385–396 (1941); likewise Part 2. Phys. Rev. 62, 166–177 (1942) 74. Collins, G.B.: Microwave Magnetrons. McGraw-Hill, New York (1948) 75. Habann, E.: Eine neue Generatorröhre. Z. Hochfrequenztechn. 24, 115–120, 135 to 141 (1924) 76. Herriger, F., Hülster, F.: Die Schwingungen der Magnetfeldröhren. Z. Hochfrequenztech. 49, 123–132 (1937) 77. Chodorow, M., Susskind, Ch.: Fundamentals of Microwave Electronics. McGraw-Hill, New York (1964) 78. Okress, E. (ed.): Crassed-Field Microwave Devices, Part I and II. Academic Press, New York (1961) 79. Hinkel, K.: Magnetrons. Philips Tech. Bibl. 40 (1961) 80. See [64], p. 118 81. Schmitt, H.: Coaxial magnetrons. Tech. Mitt. AEG-Telefunken 64, 222–226 (1974) 82. Paul, H.: Die Leistungsabgabe des selbsterregten Mikrowellengenerators an eine komplexe Last. Elektron. Rdsch. 10, 29–33; 146–149; 167–170 (1956) 83. Hirshfield, J.L., Granatstein, V.L.: The electron cyclotron maser—a historical survey. IEEE Trans. MTT-25, 522–527 (1977) 84. Flyagin, V.A., Gaponov, A.V., et al.: The gyrotron. IEEE Trans. MTT-25, 514–521 (1977) 85. Hirshfield, J.L., Wachtel, J.M.: Electron cyclotron maser. Phys. Rev. Lett. 12, 533–536 (1964) 86. Mourier, G.: Gyrotron tubes—a theoretical study. AEÜ 34, 473–484 (1980) 87. Granatstein, V.L., Alexeff, I. (ed.): High-Power Microwave Sources. Artech House, Boston (1987) 88. Kim, K.J., et al.: Design considerations for a megawatt CW gyrotron. Int. J. Electron. 51, 427–445 (1981) 89. Sprangle, P., Vomvoridis, J.L., Manheimer, W.M.: A classical electron cyclotron quasioptical maser. Appl. Phys. Lett. 5, 310–313 (1981) 90. Flyagin, V.A., Luchinin, A.G., Nusinovich, G.S.: Submillimeter-wave gyrotrons theory and experiment. Int. J. Infrared Millimeter Waves 4, 629–637 (1983) 91. Thumm, M.: Present developments and status of electron sources for high power Gyrotron tubes and free electron masers. Appl. Surf. Sci. 111, 106–120 (1997) 92. Küpfmüller, K.: Einführung in die theoretische Elektrotechnik, 10th ed., p. 332. Springer, Berlin (1973) 93. Mehta, V.B.: Comparison of RC-networks for frequency stability in oscillators. Electron. Rec. 112, 296–300 (1965) 94. Härder, T., Motz, T., Waldinger, P.: Rückkopplungsnetzwerke für RC-Oszillatoren maximaler Frequenzstabilität. Frequenz 26(242–248), 281–287 (1972) 95. Haller, H.: Zur Beurteilung der Güte von Oszillatorschaltungen. Funk und Ton 11, 565–575 (1954) 96. Tietze, U., Schenk, Ch.: Halbleiter-Schaltungstechnik, 5th ed., p. 433. Springer, Berlin (1980) 97. Schaltbeispiele mit diskreten Halbleiterbauelementen. Handbuch der Firma Intermetall, Freiburg (1972/1) 98. Halbleiter-Schaltbeispiele mit integrierten Schaltungen. Handbuch der Firma Siemens, Bereich Halbleiter, Munich (1971/72) 99. Hinton, W.R.: The design of RC-oscillator phase shifting networks. Electron. Eng. 22, 13–17 (1950) 100. Vaughan, W.C.: Phase-shift oscillators. Wirel. Eng. 26, 391–395 (1949) 101. Johnson, R.W.: Extending the frequency range of the phase-shift oscillator. Proc. IRE 33, 597–603 (1945) 102. Prabhavathi, G., Ramachandran, V.: High Q resistance-capacitance ladder phase-shift networks. IEEE Trans. CT-14, 148–153 (1967) 103. Hollmann, H.E.: Phasenschieber-oder RC-Generatoren. Elektrotechnik 1, 129–138 (1947) 104. Wunsch, G.: Theorie und Anwendung linearer Netzwerke, Part I, pp. 337–345. Akad. Verlagsges, Leipzig (1961) 105. Brown, D.A.H.: The equivalent Q of RC-networks. Electron. Eng. 25, 294–298 (1953)

1116

V. Issakov and U. L. Rohde

106. Sidorowicz, R.S.: Some novel RC-oscillators for radio frequencies. Electron. Eng. 39(498– 502), 560–564 (1967) 107. Sulzer, P.G.: The tapered phase-shift oscillator. Proc. IRE 36, 1302–1305 (1948) 108. Dutta, R.: Theory of the exponentially tapered RC-transmission lines for phase-shift oscillators. Proc. IEEE 51, 1764–1770 (1963) 109. Lutz, R.: Zur Dimensionierung linearer Verstärker-Oszillatoren. Diss. Hochschule der Bundeswehr Munich (1983) 110. Bolle, A.P.: Theory of twin-T RC-networks and their application to oscillators. Br. Inst. Radio Eng. 13, 571–587 (1953) 111. Smith, D.H.: The characteristics of parallel-T RC-networks. Electron. Eng. 29, 71–77 (1957) 112. Lutz, R., Gottwald, A.: Ein umfassendes Qualitätsmaß für lineare Verstärker-Oszillatoren. Frequenz 39, 55–59 (1985) 113. Gerber, E.A., Sykes, R.A.: Quartz crystal units and oscillators. Proc. IEEE 103–116 (1966) 114. Cutler, L.S., Searle, C.L.: Some aspects of the theory and measurement of frequency fluctuations in frequency standards. Proc. IEEE 136–154 (1966) 115. Vollrath, E.: Die Kurzzeitkonstanz der Frequenz von Sinusgeneratoren. NTZ 21, 6–8 (1968) 116. Sachs, L.: Statistische Auswertungsmethoden, 3rd edn., p. 57. Springer, Berlin (1971) 117. Allan, D.: Statistics or atomic frequency standards. Proc. IEEE 221–230 (1966) 118. Martin, D.: Frequency stability measurements by computing counter system. Hewlett-Packard J. 9–14 (1971) 119. Herzog, W.: Oszillatoren mit Schwingkristallen, pp. 97–101. Springer, Berlin (1958) 120. Cady, W.G.: Piezoelectricity. McGraw-Hill, New York (1946) 121. Bergmann, L.: Der Ultraschall, p. 42. Hirzel, Stuttgart (1949) 122. Awender, H., Sann, K.: Der Quarz in der Hochfrequenztechnik. In: Handbuch für Hochfrequenz- und Elektrotechniker, vol. II, pp. 160–226. Verlag für Radio-FotoKinotechnik, Berlin-Borsigwalde (1953) 123. Bechmann, R.: Piezoelektrisch erregte Eigenschwingungen von Platten und Stäben und dynamische Bestimmung der elastischen und piezoelektrischen Konstanten. AEÜ 8, 481–490 (1954); Schwingkristalle für Siebschaltungen. AEÜ 18, 129–136 (1964) 124. Eckstein, H.: High frequency vibrations of thin crystals plates. Phys. Rev. 68, 11–23 (1945) 125. Mindlin, R.O.: Thickness shear and flexual vibrations of crystal plates. J. Appl. Phys. 22, 316–323 (1951) 126. Neubig, B., Briese, W.: Das große Quarzkochbuch. Franzis-Verlag, Feldkirchen (1997). ISBN 3-7723-5853-5 127. Morse, P.M.: Vibration and Sound, p. 154. McGraw-Hill, New York (1948) 128. Stark, I.R.: Die Kopplung zwischen Dickenscher- und Biegeschwingungen runder ATgeschnittener Quarzscheiben. Telefunken Ztg. 31, 179–187 (1958) 129. Kusters, J.A.: Transient thermal compensation for quartz resonators. IEEE Trans. Sonics Ultrason. SU-23(4) (1976) 130. Holland, R.: Nonuniformly heated anisotropic plates: I. Mechanical distortion and relaxation. IEEE Trans. Sonics Ultrason. SU-21(3) (1974) 131. Eer Nisse, E.P.: Quartz resonator frequency shifts arising from electrode stress. In: Proceedings of 29th Annual Symposium on Frequency Control, pp. 1–4 (1975) 132. Kusters, J.A., Leach, J.G.: Further experimental data on stress and thermal gradient compensated crystals. Proc. IEEE 282–284 (1977) 133. Ward, R.: The SC-Cut Crystal: A Review. Colorado Crystal Corporation (1980) 134. Pegeot, Cl.: Etude comparative entre des oscillateurs a quartz en coupe AT et en SC (coupes a simple et double rotation). L’onde electrique 59, 65–69 (1979) 135. Adams, Ch.A., Kusters, J.A.: The SC-Cut, A Brief Summary. Hewlett-Packard (1981) 136. Brice, J.C.: Crystals for quartz resonators. Rev. Mod. Phys. 57 (1985) 137. IEC Publication 444: Basic method for the measurement of resonance frequency and equivalent series resonance of quartz crystal units 138. Scheibe, A.: Konstruktion und Leistung neuer Quarzuhren der Phyakalisch-Technischen Bundesanstalt. Z. angewandte Physik 8, 175–183 (1956)

10 Oscillators and Frequency Synthesis

1117

139. Rockstuhl, F.: Zur Dimensionierung des quarzgesteuerten Dreipunkt-Röhrenoszillators. Telefunken-Ztg. 31(119), 50–58 (1958) 140. Rockstuhl, F.G.R.: A method of analysis of fundamental and overtone crystal oscillator circuits. J. Inst. Electr. Eng. 99(Part III), 377–388 (1952) 141. Telefunken: Laborbuch, vol. I, p. 336, vol. III, p. 272 142. Kupka, K., Thanhäuser, G.: Transistorquarzoszillatoren in der Trägerfrequenztechnik. Frequenz 24, 357–363 (1970) 143. Smith, W.L.: Precision quartz crystal controlled oscillators using transistor circuits. Bell Lab. Rec. 42, 273–279 (1964) 144. Burgon, J.R.R., Wilson, R.L.: SC-cut quartz oscillator offers improved performance. HewlettPackard J. (1981) 145. Vöge, K.-H., Zinke, O.: Beziehungen zwischen äquivalenten und dualen Reaktanzzweipolen mit maximal vier Reaktanzen. AEÜ 18, 342–349 (1961) 146. Heegner, K.: Gekoppelte selbsterregte elektrische Kreise und Kreisoszillatoren. ENT 15, 359–368 (1938) 147. Herzog, W.: Verfahren zur Veränderung der Resonanzfrequenz von Kristalloszillatoren. AEÜ 2, 153–163 (1948) 148. Becker, G.: Über kristallgesteuerte Oszillatoren. AEÜ 11, 41–47 (1957) 149. Awender, H., Sann, K.: Zur Klassifizierung der Quarz-Oszillatorschaltungen. Funk und Ton 8(202–214), 253–265 (1954) 150. Knapp, G.: Frequency stability analysis of transistorized crystal oscillator. IEEE Trans. IM-12, 2–6 (1963) 151. Driscoll, M.M.: Two-stage self-limiting series mode type quartz crystal oscillator exhibiting improved short-term frequency stability. In: Proceedings of 26th AFSC, p. 43 (1972) 152. Sulzer, P.C.: Wide range RC-oscillator. Electronics 23, 88–89 (1950) 153. Shepherd, W.G., Wise, R.O.: Variable-frequency bridge-type frequency-stabilized oscillators. Proc. IRE 31, 256–268 (1943) 154. Telefunken: Laborbuch, vol. 1, pp. 257–259. AEG-Telefunken, Ulm 155. Meyer-Ebrecht, D.: Fast amplitude control of a hormonic oscillator. Proc. IEEE 60, 736 (1972). DAS 2103138 of 22.1.1971. Schnelle Amplitudenregelung harmonischer Oszillatoren. Phil. Res. Rep. (1974) 156. Fliege, N.: Empfindlichkeitsmaße für lineare Systeme und Netzwerke. AEÜ 32, 308–313 (1978) 157. Pengelly, R.: Microwave Field-Effect Transistors-Theory, Design and Applications, pp. 250– 253. Research Studies Press, Chichester (1983) 158. Johnson, K.M.: Large signal GaAs MESFET oscillator design. IEEE Trans. MTT-27, 217–226 (1979) 159. Pettenpaul, E., Langer, B., Huber, J., Mampe, H., Zimmermann, W.: Discrete GaAs microwave devices for satellite TV front ends. Siemens Research and Development Report 4 (1984) 160. Maeda, M., Kimura, K., Kodera, H.: Design and performance or X-band oscillators with, GaAs Schottky-gate field-effect transistors. IEEE Trans. MTT-23, 661–667 (1975) 161. Plourde, J.M., Ren, C.-L.: Application of dielectric resonators in microwave components. IEEE Trans. MTT-29, 754–770 (1981) 162. Abe, H., Takayama, Y., Higashisaka, A., Takernizawa, H.: A highly stabilized low-noise GaAs FET integrated oscillator with a dielectric resonator in the C-band. IEEE Trans. MTT-26, 156–162 (1978) 163. Pospieszalski, M.W.: Cylindrical dielectric resonators and their applications in TEM line microwave circuits. IEEE Trans. MTT-27, 233–238 (1979) 164. Tserng, H.Q., Macksey, H.M., Sokolov, V.: Performance or GaAs MESFET oscillators in the frequency range 8–25 GHz. Electron. Lett. 13, 85–86 (1977) 165. Lesartre, P., et al.: Stable FET local oscillator at 11 GHz with electronic amplitude control. In: Proceedings of 8th European Microwave Conference, pp. 269–273 (1978) 166. Joshi, J.S., Cockrill, J.R., Turner, J.A.: Monolithic microwave GaAs FET oscillators. JEEE Trans. ED-28, 158–162 (1981)

1118

V. Issakov and U. L. Rohde

167. Tserng, H.Q., Macksey, H.M.: Performance of monolithic GaAs FET oscillators at J-band. IEEE Trans. ED-28, 163–165 (1981) 168. Tsironis, C., Kermarrec, C., Faguet, J., Harrop, P.: Stable monolithic GaAs FET oscillator. Electron. Lett. 18, 345–347 (1982) 169. Debney, B.T., Joshi, J.S.: A theory of noise in GaAs FET microwave oscillators and its experimental verification. IEEE Trans. ED-30, 769–776 (1983) 170. Siemens Matsushita Components 33, Issue 4 (1995) 171. Rint, C. (ed.): Handbuch für Hochfrequenz- und Elektrotechniker, 13th ed., p. 248. Hüthig, Heidelberg (1981) 172. Kühnel, C.: Schaltungsdesign mit P-Spice unter Windows: das Design Center für Windows 6.0. Francis, Feldkirchen (1996). ISBN 3-7723-7204-X 173. Wacker, K., Glas, A.: OFW-Komponenten erobern Keyless-Entry-Systeme. Electronic Industrie 3, 68–72 (1998) 174. Barkhausen, H.: Elektronen Röhren, Band III. Hirzel, Leipzig (1935) 175. Sedra, S., Smith, K.: Microelectronic Circuits, 4th edn. Oxford University Press, New York (1998) 176. Sze, S.M., Ryder, R.M.: Microwave avalanche diodes. Proc. IEEE 59, 1140–1154 (1971) 177. Berson, B.E.: Transferred electron devices. In: European Microwave Conference, Stockholm (1971) 178. Scharfetter, D.L.: Power-impedance-frequency limitations of Impatt oscillators calculated from a scaling approximation. IEEE Trans. Electron Devices ED-18, 536–543 (1971) 179. Müller, R.: Bauelemente der Halbleiter-Elektronik, p. 60. Springer, Berlin (1973) 180. Llewellyn, F.B., Peterson, L.C.: Vacuum-tube networks. Proc. IRE 32, 144–166 (1944) 181. Liao, S.Y.: Microwave Devices and Circuits, p. 198. Prentice Hall, Englewood Cliffs (1980) 182. Best, R.: Theorie und Anwendungen des Phase-Locked-Loops mit Diskette für PLLSimulation, 5th ed. AT-Verlag. ISBN 3-85502-132-5. VDE-Verlag. ISBN 3-8007-1980-0 183. Scheibe, A.: Piezoelektrizität des Quarzes, pp. 168–175. Steinkopff, Dresden (1938)

Literature for Further Reading 184. Kleen, W.: Geschichte, Systematik und Physik der Höchstfrequenzelektronenröhren. ETZ A 76, 53–64 (1955) 185. Kowalenko, W.F.: Mikrowellenröhren, p. 25. Porta Verlag, Munich (1957) 186. Guozdover, S.D.: Theory of Microwave Tubes, p. 260. Pergamon Press, Oxford (1961) 187. Hamilton, D.R., Knipp, J.K., Kuper, J.B.H.: Klystrons and Microwave Triodes, pp. 311–351. McGraw-Hill, New York (1948) 188. Gundlach, F.W., Meinke, H.H. (ed.): Taschenbuch der Hochfrequenztechnik, 4th ed., p. 860. Springer, Berlin (1986) 189. Rehwald, W.: Elementare Einführung in die Bessel-, Neumann- und Hankelfunktionen (Mathematische Funktionen in Physik und Technik, vol. 1), p. 9. Hirzel, Stuttgart (1959) 190. Gilmour, Jr., A.S.: Microwave Tubes. Artech House, Boston (1986) 191. Hinkel, K.: Magnetrons. Philips Technische Bibliothek, 40 (1961) 192. Paul, H.: Die Leistungsabgabe des selbsterregten Mikrowellengenerators an eine komplexe Last. Elektron. Rdsch. 10, 29–33 (1956) 193. Gray, L., Graham, R.: Radio Transmitters, pp. 84–87. McGraw-Hill, New York (1961) 194. IEC Publication 302: Standard definitions and methods or measurement for piezoelectric vibrators operating over the frequency range upto 30 MHz 195. IEC Publication 283: Methods for the measurement of frequency and equivalent resistance of unwanted resonances of filter crystal units 196. An overview of the phase-locked loop (PLL). Application note 177 and: modeling the PLL. Application note 178. In: Professionelle Integrierte Analog- und Spezialschaltungen Part 2. Hüthig, Heidelberg (1987). ISBN 3-7785-1578-0

10 Oscillators and Frequency Synthesis

1119

197. Voges, E.: Hochfrequenztechnik. Volume 1: Bauelemente und Schaltungen. Hüthig Eltex, Heidelberg (1986) 198. Kaa, B.: Mikroprozessorgesteuerter HF-Sythesizer bis 1450 MHz, vol. 36, no. 3, pp. 131–169. UKW-Berichte (1996) 199. The American Radio Relay League: The ARRL Handbook, 74th ed. Newington, Connecticut (1997). ISBN 0-87259-174-3 200. Valvo, Unternehmensbereich Bauelemente der Philips GmbH (ed.): Integrierte Logikschaltungen High Speed CMOS. Hüthig, Heidelberg (1986). ISBN 3-7785-1331-1 201. Gardner, F.M., Kent, St.S., Dasenbrock, R.D.: Theory of Phaselock Techniques. Resdel Engineering Corporation, Pasadena, California 202. Gardner, F.M.: Phaselock Techniques, 2nd edn. Wiley, New York (1979) 203. Miller, B., Conley, R.J.: A Multiple Modulator Fractional Divider. IEEE Trans. Instrum. Meas. 40(3) (1991) 204. http://altavista.digital.com; Search term: direct digital synthesis 205. Wang, T.-P., Yan, Y.-M.: A low-voltage low-power wide-tuning-range hybrid Class-AB/ClassB VCO with robust start-up and high-performance FOMT. IEEE Trans. Microw. Theo. Tech. 62(3), 521–531 (2014) 206. You, P.-L., Huang, T.-H.: A switched inductor topology using a switchable artificial grounded metal guard ring for wide-FTR MMW VCO applications. IEEE Trans. Electron Devices 60(2), 759–766 (2013) 207. Wu, Q., Elabd, S., Quach, T.K., Mattamana, A., Dooley, S.R., McCue, J., Orlando, P.L., Creech, G.L., Khalil, W.: A −189 dBc/Hz FOMT wide tuning range Ka-band VCO using tunable negative capacitance and inductance redistribution. In: IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Seattle, WA, USA (2013) 208. Tsai, P.-K., Huang, T.-H.: Integration of current-reused VCO and frequency tripler for 24GHz low-power phase-locked loop applications. IEEE Trans. Circ. Syst. II Express Briefs 59(4), 199–203 (2012) 209. Wu, Q., Quach, T., Mattamana, A., Elabd, S., Dooley, S.R., McCue, J.J., Orlando, P.L., Creech, G.L., Khalil, W.: Design of wide tuning-range mm-wave VCOs using negative capacitance. In: IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), La Jolla, CA, USA (2012) 210. Tsai, P.-K., Liu, C.-Y., Huang, T.-H.: A CMOS voltage controlled oscillator and frequency tripler for 22–27 GHz local oscillator generation. IEEE Microw. Wirel. Compon. Lett. 21(9), 492–494 (2011) 211. Yang, C.-Y., Chang, C.-H., Lin, J.-M., Weng, J.-H.: A 0.6 V 10 GHz CMOS VCO using a negative-Gm back-gate tuned technique. IEEE Microw. Wirel. Compon. Lett. 21(3), 163–165 (2011) 212. Jooyaie, A., Chang, M.C.F.: A V-band voltage controlled oscillator with greater than 18 GHz of continuous tuning-range based on orthogonal E mode and H mode control. In: IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Baltimore, MD, USA (2011) 213. Jimenez, J.L.G., Badets, F., Martineau, B., Belot, D.: A 56GHz LC-tank VCO with 17% tuning range in 65 nm bulk CMOS for wireless HDMI applications. In: IEEE Radio Frequency Integrated Circuits Symposium (RFIC), Boston, MA, USA (2009) 214. Zito, D., Pepe, D., Fonte, A.: 13 GHz CMOS active inductor LC VCO. IEEE Microw. Wirel. Compon. Lett. 22(3), 138–140 (2012) 215. Hsu, M., Chiu, C.-T.: A low power 10 GHz current reused VCO using negative resistance enhancement technique. In: Asia Pacific Microwave Conference (APMC), Singapore (2009) 216. Borremans, J., Dehan, M., Scheir, K., Kuijk, M., Wambacq, P.: VCO design for 60 GHz applications using differential shielded inductors in 0.13 μm CMOS. In: IEEE Radio Frequency Integrated Circuits Symposium (IMS), Atlanta, GA, USA (2008) 217. Chien, J.-C., Lu, L.-H.: Design of wide-tuning-range millimeter-wave CMOS VCO with a standing-wave architecture. IEEE J. Solid-State Circuits 42(9), 1942–1952 (2007) 218. Jung, B., Harjani, R.: A 20GHz VCO with 5GHz tuning range in 0.25/spl mu/m SiGe BiCMOS. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2004)

1120

V. Issakov and U. L. Rohde

219. Wang, T.-P.: A K-band low-power Colpitts VCO with voltage-to-current positive-feedback network in 0.18 μm CMOS. IEEE Microw. Wirel. Compon. Lett. 21(4), 218–220 (2011) 220. Hsieh, C.-K., Kao, K.-Y., Tseng, J.R., Lin, K.-Y.: A K-band CMOS low power modified Colpitts VCO using transformer feedback. In: IEEE MTT-S International Microwave Symposium (IMS) 2009, Boston, MA, USA (2009) 221. Yang, J., Kim, C.-Y., Kim, D.-W., Hong, S.: Design of a 24-GHz CMOS VCO with an asymmetric-width transformer. IEEE Trans. Circuits Syst. II Express Briefs 57(3), 173–177 (2010) 222. Wang, T.-P., Wang, S.-Y.: Frequency-tuning negative-conductance boosted structure and applications for low-voltage low-power wide-tuning-range VCO. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 23(6), 1137–1144 (2015) 223. Yoon, H., Lee, Y., Kim, J.J., Choi, J.: A wideband dual-mode LC-VCO with a switchable gate-biased active core. IEEE Trans. Circuits Syst. II Express Briefs 61(5), 289–293 (2014) 224. Zong, Z., Babaie, M., Staszewski, R.B.: A 60 GHz frequency generator based on a 20 GHz oscillator and an implicit multiplier. IEEE J. Solid-State Circuits 51(5), 1261–1273 (2016) 225. Li, L., Reynaert, P., Steyaert, M.S.J.: A 60-GHz CMOS VCO using capacitance-splitting and gate-drain impedance-balancing techniques. IEEE Trans. Microw. Theor. Tech. 59(2), 406–413 (2011) 226. Hung, C.-H., Gharpurey, R.: A 57-to-75 GHz dual-mode wide-band reconfigurable oscillator in 65 nm CMOS. In: IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, Tampa, FL, USA (2014) 227. Chan, W.L., Long, J.R.: A 56–65 GHz injection-locked frequency tripler with quadrature outputs in 90-nm CMOS. IEEE J. Solid-State Circuits 43(12), 2739–2746 (2008) 228. Xi, T., Guo, S., Gui, P., Huang, D., Fan, Y., Morgan, M.: Low-phase-noise 54-GHz transformercoupled quadrature VCO and 76-/90-GHz VCOs in 65-nm CMOS. IEEE Trans. Microw. Theor. Tech. 64(7), 2091–2103 (2016) 229. Yin, J., Huong, H.C.: A 57.5-90.1 GHz magnetically-tuned multimode CMOS VCO. IEEE J. Solid-State Circuits 48(8), 1851–1861 (2013) 230. Li, L., Reynaert, P., Steyaert, M.S.J.: Design and analysis of a 90 nm mm-wave oscillator using inductive-division LC tank. IEEE J. Solid-State Circuits 44(7), 1950–1958 (2009) 231. Kim, D.D., Kim, J., Plouchart, J.-O., Cho, C., Li, W., Lim, D., Trzcinski, R., Kumar, M., Norris, C., Ahlgren, D.: A 70 GHz manufacturable complementary LC-VCO with 6.14GHz tuning range in 65 nm SOI CMOS. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2007) 232. Chao, Y., Luong, H.C.: Transformer-based dual-band VCO and ILFD for wide-band mmwave LO generation. In: IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA (2013) 233. Jia, H., Chi, B., Kuang, L., Wang, Z.: A 47.6-71.0-GHz 65-nm CMOS VCO based on magnetically-coupled pi-type LC network. IEEE Trans. Microw. Theor. Technol. 63(5), 1645–1657 (2015) 234. Li, W.-T., Cheng, J.-H., Wu, Y.-M., Huang, T.-W.: A 23.67-to-45-GHz wide tuning range dual VCO with phase noise enhancement in 90-nm CMOS technology. In: IEEE MTT-S International Microwave Symposium (IMS) 2013, Seattle, WA, USA (2013) 235. Wu, L., Luong, H.C.: A 49-to-62 GHz CMOS quadrature VCO with bimodal enhanced magnetic tuning. In: European Solid-State Circuits Conference (ESSCIRC), Bordeaux, France (2012) 236. Wang, T.-P.: A low-power low-phase-noise wide-tuning-range 60-GHz voltage-controlled oscillator in 0.18-μm CMOS. In: IEEE International Conference of Electron Devices and Solid-State Circuits, Tianjin, China (2011) 237. Wu, L., Ng, A.W.L., Leung, L.L.K., Luong, H.C.: A 24-GHz and 60-GHz dual-band standingwave VCO in 0.13 μm CMOS process. In: IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, Anaheim, CA, USA (2010) 238. Copani, T., Kim, H., Bakkaloglu, B., Kiaei, S.: A 0.13-μm CMOS local oscillator for 60GHz applications based on push-push characteristic of capacitive degeneration. In: IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, Anaheim, CA, USA (2010)

10 Oscillators and Frequency Synthesis

1121

239. Kim, D.D., Kim, J., Cho, C., Plouchard, J.-O., Kumar, M., Lee, W.-H., Rim, K.: An array of 4 complementary LC-VCOs with 51.4% W-band coverage in 32 nm SOI CMOS. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2009) 240. Laskin, E., Khanpour, M., Aroca, R., Tang, K.W., Garcia, P., Voinigescu, S.P.: A 95 GHz receiver with fundamental-frequency VCO and static frequency divider in 65 nm digital CMOS. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2008) 241. Tsai, Z.-M., Lin, C.-S., Huang, C.F., Chern, J.G.J., Wang, H.: A fundamental 90-GHz CMOS VCO using new ring-coupled quad. IEEE Microw. Wirel. Compon. Lett. 17(3), 226–228 (2007) 242. Shiao, Y.-S.J., Huang, G.-W., Chuang, C.-W., Hsieh, H.-H., Jou, C.-P., Hsueh, F.-L.: A 100GHz varactorless CMOS VCO using source degeneration. In: IEEE MTT-S International Microwave Symposium (IMS) 2012, Montreal, QC, Canada (2012) 243. Chakraborty, A., Trotta, S., Wuertele, J., Weigel, R.: A D-band transceiver front-end for broadband applications in a 0.35 μm SiGe bipolar technology. In: IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, Tampa, FL, USA (2014) 244. Sarkas, I., Hasch, J., Balteanu, A., Voinigescu, S.P.: A fundamental frequency 120-GHz SiGe BiCMOS distance sensor with integrated antenna. IEEE Trans. Microw. Theor. Tech. 60(3), 795–812 (2012) 245. Li, H., Rein, H.-M., Suttorp, T., Bock, J.: Fully integrated SiGe VCOs with powerful output buffer for 77-GHz automotive radar systems and applications around 100 GHz. IEEE J. Solid-State Circuits 39(10), 1650–1658 (2004) 246. Mammei, E., Monaco, E., Mazzanti, A., Svelto, F.: A 33.6-to-46.2 GHz 32 nm CMOS VCO with 177.5 dBc/Hz minimum noise FOM using inductor splitting for tuning extension. In: IEEE International Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, CA, USA (2013) 247. Fei, W., Yu, H., Yeo, K.S., Lim, W.M.: A 60 GHz VCO with 25.8% tuning range by switching return-path in 65 nm CMOS. In: IEEE Asian Solid State Circuits Conference (A-SSCC), Kobe, Japan (2012) 248. Ishibashi, K., Motoyoshi, M., Kobayashi, N., Fujishima, M.: 76 GHz CMOS voltagecontrolled oscillator with 7% frequency tuning range. In: IEEE Symposium on VLSI Circuits, Kyoto, Japan (2007) 249. Lee, J., Moon, Y., Ahn, T.: A dual-band VCO using inductor splitting for automotive radar system at W-band. In: International Symposium on Integrated Circuits (ISIC), Singapore (2014) 250. Chakraborty, A., Trotta, S., Weigel, R.: A low-phase-noise monolithically integrated 60 GHz push-push VCO for 122 GHz applications in a SiGe bipolar technology. In: IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), Bordeaux, France (2013) 251. To, K.-H., Trivedi, V.P.: A 76-81 GHz transmitter with 10 dBm output power at 125 °C for automotive radar in 65 nm bulk CMOS. In: IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA (2011) 252. Issakov, V., Rimmelspacher, J., Trotta, S., Tiebout, M., Hagelauer, A., Weigel, R.: A 52-to-67 GHz dual-core push-push VCO in 40-nm CMOS. In: 47th European Microwave Conference (EuMC), Nuremberg, Germany (2017) 253. De Paola, F.M., Genesi, R., Manstretta, D.: A 71–73 GHz voltage-controlled standing-wave oscillator in 90 nm CMOS technology. In: 34th European Solid-State Circuits Conference (ESSCIRC), Edinburgh, UK (2008) 254. Yu, C.-Y., Chen, W.-Z., Wu, C.-Y., Lu, T.-Y.: A 60-GHz, 14% tuning range, multi-band VCO with a single variable inductor. In: IEEE Asian Solid-State Circuits Conference (A-SSCC), Fukuoka, Japan (2008) 255. Babaie, M., Staszewski, R.B.: A class-F CMOS oscillator. IEEE J. Solid-State Circuits 48(12), 3120–3133 (2013) 256. Visweswaran, A., Staszewski, R.B., Long, J.R.: A low phase noise oscillator principled on transformer-coupled hard limiting. IEEE J. Solid-State Circuits 49(2), 373–383 (2014)

1122

V. Issakov and U. L. Rohde

257. Liu, X., Chen, C., Ren, J., Luong, H.C.: Transformer-based varactor-less 96 GHz–110 GHz VCO and 89 GHz–101 GHz QVCO in 65 nm CMOS. In: IEEE Asian Solid-State Circuits Conference (A-SSCC), Toyama, Japan (2016) 258. Fanori, L., Liscidini, A., Andreani, P.: A 6.7-to-9.2 GHz 55 nm CMOS hybrid Class-B/ClassC cellular TX VCO. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2012) 259. Cao, C., O, K.K.: Millimeter-wave voltage-controlled oscillators in 0.13-μm CMOS technology. IEEE J. Solid-State Circ. 41(6), 1297–1304 (2006) 260. Ellinger, F., Morf, T., Buren, G., Kromer, C., Sialm, G., Rodoni, L., Schmatz, M., Jackel, H.: 60 GHz VCO with wideband tuning range fabricated on VLSI SOI CMOS technology. In: IEEE MTT-S International Microwave Symposium (IMS) 2004, Fort Worth, TX, USA (2004) 261. Liu, R.-C., Chang, H.-Y., Wang, C.-H., Wang, H.: A 63 GHz VCO using a standard 0.25 μm CMOS process. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2004) 262. Cao, C., O, K.K.: A 90-GHz voltage-controlled oscillator with a 2.2-GHz tuning range in a 130-nm CMOS technology. In: Symposium on VLSI Circuits, Kyoto, Japan (2005) 263. Huang, P.-C., Liu, R.-C., Chang, H.-Y., Lin, C.-S., Lei, M.-F., Wang, H., Su, C.-Y., Chang, C.-L.: A 131 GHz push-push VCO in 90-nm CMOS technology. In: IEEE Radio Frequency integrated Circuits (RFIC) Symposium, Long Beach, CA, USA (2005) 264. Hu, Y., Siriburanon, T., Staszewski, R.B.: A 30-GHz class-F23 oscillator in 28 nm CMOS using harmonic extraction and achieving 120 kHz 1/f3 corner. In: 43rd IEEE European Solid State Circuits Conference (ESSCIRC), Leuven, Belgium (2017) 265. Pohl, N., Rein, H.-M., Musch, T., Aufinger, K., Hausner, J.: SiGe bipolar VCO with ultrawide tuning range at 80 GHz center frequency. IEEE J. Solid-State Circuits 44(10), 2655–2662 (2009) 266. Lacaita, N., Bassi, M., Mazzanti, A., Svelto, F.: A K-band low-noise bipolar Class-C VCO for 5G backhaul systems in 55 nm BiCMOS technology. In: IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), Miami, FL, USA (2017) 267. Babaie, M., Staszewski, R.B.: Third-harmonic injection technique applied to a 5.87-to-7.56 GHz 65 nm CMOS Class-F oscillator with 192 dBc/Hz FOM. In: IEEE International SolidState Circuits Conference (ISSCC), San Francisco, CA, USA (2013) 268. Nguyen, N.M., Meyer, R.G.: A 1.8 GHz monolithic LC voltage-controlled oscillator. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (1992) 269. Bai, J., Lee, J., Zhang, J., Rohani, N.: A 28-nm CMOS 40-GHz high-resolution digitally controlled oscillator for automotive radar applications. In: IEEE 17th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), Phoenix, AZ, USA (2017) 270. Ghosh, D., Taylor, S.S., Tan, Y., Gharpurey, R.: A 10 GHz low phase noise VCO employing current reuse and capacitive power combining. In: IEEE Custom Integrated Circuits Conference (CICC), San Jose, CA, USA (2010) 271. Luo, X., Qian, H.J., Staszewski, R.B.: A waveform-shaping millimeter-wave oscillator with 184.7 dBc/Hz FOM in 40 nm digital CMOS process. In: IEEE MTT-S International Microwave Symposium (IMS) 2015, Phoenix, AZ, USA (2015) 272. Wu, W., Bai, X., Staszewski, R.B., Long, J.R.: A 56.4-to-63.4 GHz spurious-free all-digital fractional-N PLL in 65 nm CMOS. In: IEEE International Solid-State Circuits Conference (ISSCC), San Francisco, CA, USA (2013) 273. McNeilage, C., Searls, J.H., Ivanov, E.N., Stockwell, P.R., Green, D.M., Mossamaparast, M.: A review of sapphire whispering gallery-mode oscillators including technical progress and future potential of the technology. In: Proceedings of the 2004 IEEE International Frequency Control Symposium and Exposition, pp. 210–218 (2004). http://doi.org/10.1109/FREQ.2004. 1418455 274. Rohde, U.L., Poddar, A.K.: Crystal Oscillators. Wiley Encyclopedia and Electronics Engineering, pp. 1–38 (2012) 275. Rohde, U.L., Poddar, A.K.: Crystal Oscillator Design. Wiley Encyclopedia of Electrical and Electronics Engineering, pp. 1–47 (2012)

10 Oscillators and Frequency Synthesis

1123

276. Rohde, U.L., Poddar, A.K.: Latest technology, technological challenges, and market trends for frequency generating and timing devices. IEEE Microwave Magazine, pp. 120–134 (2012) 277. Rohde, U.L., Poddar, A.K.: Techniques minimize the phase noise in crystal oscillators. In: 2012 IEEE FCS, pp. 01–07 (2012) 278. Rohde, U., Poddar, A., Apte, A.: How low can they go, oscillator phase noise model, theoretical, experimental validation, and phase noise measurements. IEEE Microwave Magazine, vol. 14, no. 6, pp. 50–72 (2013) 279. Rohde, U.L., Apte, A.: Everything you always wanted to know about Colpitts oscillators. IEEE Microwave Magazine, vol. 17, no. 8, pp. 59–76 (2016) 280. Rohde, U.L.: Noise analysis—Then and today. https://synergymwave.com/articles/2018/ Large-signal-oscillator-noise-analysis-then-and-today_s.pdf (seen in June 2019) and https:// www.microwavejournal.com/articles/29151-noise-analysis-then-and-today?v=preview (seen in June 2019) 281. http://www.nist.gov/pml/div688/grp50/primary-frequency-standards.cfm (seen in June 2019) 282. Rohde, U., Poddar, A., Apte, A.: Getting its measure. IEEE Microwave Magazine, vol. 14, no. 6, pp. 73–86 (2013) 283. Rohde, U.L., Poddar, A.K.: Voltage controlled crystal oscillator. In: IEEE Sarnoff, March 30–April 01, 2009, Princeton, NJ, USA 284. Rohde, U.L., Poddar, A., Apte, A., Rudolph, M.: Low phase noise 100 MHz crystal oscillator—optimizing phase-noise performance. IEEE Microwave Magazine (June 2017) 285. Rohde, U.L., Poddar, A.K.: A novel voltage controlled crystal oscillator (VCXO). In: 2009 European Frequency and Time Forum and IEEE International Frequency Control Symposium (EFTF-IFCS 2009), Besancon, France, April 20–24, 2009 286. Rohde, U.L., Poddar, A.K.: Phase noise measurement techniques, associated uncertainty and limitations. In: IEEE Joint UFFC Symposia with European Frequency and Time Forum (EFTF) and Piezo Response Force Microscopy, July 21–25, 2013 287. Rohde, U.L., Poddar, A.K.: Emerging technology and technological challenges in low phase noise oscillator circuit designs. In: Workshop, IMS 2012, June 18, 2012 288. Rohde, U.L., Poddar, A.K.: Impact of radiated EMI in high frequency crystal oscillator. In: IEEE IMS 2010, May 23–28, 2010, Anaheim, California, USA 289. Rohde, U.L., Poddar, A.K., Apte, A.: Phase noise measurement and its limitations. Microwave J. (2013) 290. Rohde, U.L., Poddar, A.K.: Technique to minimize phase noise in crystal oscillator. Microwave J. 132–150 (2013) 291. Apte, A.: A new analytical design method of ultra-low-noise voltage-controlled VHF crystal oscillators and its validation. Diss. BTU Cottbus-Senftenberg (2020). https://opus4.kobv.de/ opus4-btu/files/5138/Anisha_Apte.pdf 292. Griebel, W.: Weltraumgeeignete 5MHz Quarzoszillatoren mit maximaler Stabilität zwischen 1 und 10 Sekunden. Diss. BTU Cottbus-Senftenberg (2021). https://opus4.kobv.de/opus4-btu/ files/5520/Griebel_Wolfgang.pdf 293. Rohde, U.L.: A new efficient method of designing low noise microwave oscillators. Dr.-Ing. Dissertation, Faculty IV, EEC (Electrical Engineering and Computer Sciences), TU-Berlin, Germany (2004) 294. Rohde, U.L.: A novel approach for generating active inductors for microwave oscillators. Dr.-Ing. Habil, Dissertation, BTU Cottbus, Germany (2011). https://www-docs.b-tu.de/aghochfrequenztechnik/public/rohde/rohde2011ulr_habil_presentation.pdf 295. Poddar, A.K.: A novel approach for designing integrated ultra low noise microwave wideband voltage-controlled oscillators. Dr.-Ing. Dissertation, TU-Berlin, Germany, Faculty IV, EEC (Electrical Engineering and Computer Sciences), 14 December 2004 296. Poddar, A.K.: Slow wave resonator based tunable multi-band multi-mode injection-locked oscillators. Dr.-Ing.-Habil Thesis, Chapter 5, pp. 163–177. BTU Cottbus, Germany (2014) 297. Wang, W.: Systematic optimization of phase noise of voltage-controlled oscillators for millimeter-wave radar, Ph.D Thesis, NC State University, 21 November 2017

Chapter 11

Frequency Synthesizer Ulrich L. Rohde

11.1 Introduction A frequency synthesizer is a control system, which stabilizes the output frequency of a voltage-controlled oscillator (VCO) against a stable reference. The word synthesizer is also occasionally used in audio applications, but should not be compared with frequency synthesizer. In common literature, the frequency synthesizer is also called as “phase-locked system”, meaning that the output frequency of the synthesizer is both synchronized in frequency and phase with the reference. Modern synthesizers are frequently built around existing PLL chips, which limit the flexibility in the design, they can also use direct digital frequency synthesizer chips (DDS) and can be single or multi-loop designs. It is also necessary to distinguish between digital and analog PLL implementations. Practically all modern designs use digital frequency dividers and digital phase frequency discriminators and therefore are digital PLL’s. Analog PLL would have no dividers and an analog phase frequency discriminator is like a double-balanced mixer, because of the low output voltage of the diode-mixer, an additional operational amplifier is required. In this case, the designer has control over the VCO and loop filter; the reference oscillator is part of the chip. In most cases (≤2.5 GHz), the dual-modulus prescaler is also inside the chip. A buffer needs to be placed after the VCO. Depending upon the PLL chip, either integer or fractional N-synthesizers can be built. Using a DDS can essentially achieve the same without the problem of the spurious signal generated by the system. The voltage-controlled oscillator used in the system was already defined. The phase noise of the oscillator (VCO) inside the loop bandwidth is determined by the system and outside of the loop-bandwidth by the free running oscillator. The U. L. Rohde (B) Brandenburg University of Technology, Cottbus, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_11

1125

1126

U. L. Rohde

minimum configuration of a digital synthesizer as shown in Fig. 11.1, consists of a crystal reference, i.e. 10 MHz, high stability followed by a reference counter which divides the reference to the needed reference frequency like 25 kHz. This would mean that if everything is properly implemented, the VCO is operated in steps of 25 kHz. The output of the oscillator is fed into a special divider system, in simple cases into the N-counter. In our case first into a dual modular prescaler and a divide by (A/A + 1) counter. This system allows either integer values or fractional values- like 6.125 kHz step size. The output of the divider system is fed into the phase-frequency detector. In the beginning when the system is not-locked the detector will produce a beat-note and a DC voltage. A low-pass filter is required to suppress the input frequencies of the phasefrequency detector, which would modulate the VCO, generating many side bands. The beat-note will pull in the VCO frequencies to achieve frequency lock. In this case the beat-note is zero, but this does not mean that the system is phase locked. The phase detector portion of the phase-frequency detector will use the DC voltage to achieve the phase lock. The sensitivity of the phase detector depends on the phase offset, the highest sensitivity is achieved at 90° phase. The PLL system has practical limits how low the reference frequency can be set. All systems using mechanical parts such as the oscillator using a mechanical resonator are subject to microphonics. The microphonic frequencies can vary between 50 Hz and several kHz. It is not advisable to use a loop-bandwidth below 2–5 kHz as the microphonics will not be canceled. As a general rule the loop filter should be 1% of the reference frequency. Synthesizer Chip

Crystal reference

÷R Counter

Low pass filter

Phase freq.

÷N Counter

÷ A /A+1 Counter

Control Logic

Fig. 11.1 Block diagram of an integrated frequency synthesizer

Voltage controlled oscillator

Dual modulus prescaler

Output Frequency

11 Frequency Synthesizer

1127

As an example, if the reference frequency is 200 kHz (typical step size in GSM systems), a 5 kHzloop-bandwidth is useful. The reference suppression is a combination of the loop-filter attenuation and output of the digital phase-frequency discriminator remanding jitter of the zero-crossings. A PLL system in locked condition does not generate any output at the phase frequency discriminator which is needed to correct any error. As the DC voltage is then constant, the loop gain is zero and the system waits until it notices a sufficient deviation, in either the phase or frequency. Only then will the system control the VCO. If the system operates at a defined offset to be functional the DC control voltage will have a small AC ripple. Assuming a control voltage of 5 V and a ripple of 5 mV, the phase frequency discriminator has a calculated suppression to which we add the 20 dB attenuation of the loop filter. In most systems, the designer will try to have a 90 dB total suppression, which is sometimes limited by the cross-talk on board.

11.2 Building Blocks of Synthesizers 11.2.1 Voltage Controlled Oscillator An oscillator is essentially an amplifier with sufficient feedback so the amplifier becomes unstable and begins oscillation. This was explained in the previous chapter.

11.2.2 Reference Oscillator Most of the communication systems rely on the quartz crystal as reference oscillator for synthesis of the harmonic signal required for their operation. The crystal (reference) oscillators are designed to be a stable reference frequency oscillator of 5 MHz or 10 MHz and recently at higher frequency 80–100 MHz or 120 MHz for frequency synthesizers. Many of them are synchronized against Rubidium or Cesium standards. Generally, the crystal oscillator is designed as a Colpitts oscillator configuration. In the Colpitts oscillator configuration, an inductor is required with two capacitors to form the oscillations and the crystal acts as the inductive element. The crystal in its equivalent circuit has a small femto farad motional capacitance, which along with the C1 and C2 of Colpitts configuration can pull the frequency of the reference oscillator. The Colpitts configuration works very well for low aging, low phase noise performance oscillators. A typical example of a 10 MHz crystal oscillator is shown in Fig. 11.2 with its simulated phase noise (Fig. 11.3) compared with the measured performance (Fig. 11.4).

1128

U. L. Rohde

+10 V 1 mF

100

Crystal Equivalent Circuit

1 mF 32 pF

156 pF

15 1H 1.2 pF

10 mH 156 pF

50

470

Fig. 11.2 Abbreviated circuit of a 10-MHz crystal oscillator

11.2.3 Frequency Divider The output from the VCO has to be divided down to the reference frequency. The reference frequency can vary from a few kilohertz to more than 100 MHz [87–89]. A smaller division ratio provides better phase noise. A typical frequency divider consists of a CMOS synchronous divider that can handle division ratio as low as 5 and as high as 1million. The number of dividers used determines the division ratio. Typical CMOS dividers end at 250 MHz. With an asynchronous divider the frequency range can extend up to several Gigahertz, but then the frequency resolution is compromised. The prescaler has to be a synchronized counter, clocked by the main divider, but is difficult to achieve because of propagation delays. This introduces phase jitter that can be overcome with use of a dual-modulus prescaler. Dual-modulus counters are available in numbers such as 5/6. 10/11 and 20/21. Consider the system shown in Fig. 11.5. If the (P/(P + 1)) is a 10/11 divider, the A counter counts the units and the M counter counts the tens. The mode of operation

11 Frequency Synthesizer

1129 Simulation of Phase Noise Curve for a 10 MHz Frequency Standard See HP 3048A Phase Noise Measurement System, Operating Manual p 3–14

–10.0

pn2 (dBc/Hz)

–50.0

–90.0

–130

–170 100

101

102

103

104

105

106

Frequency (Hz)

Fig. 11.3 Simulated phase noise plot of 10 MHz crystal oscillator TYPICAL NOISE CURVE FOR A 10 MHZ FREQUENCY STANDARD [hp] 3048A Carrier: 10.E+6 Hz 12 Jan 1987 19:07:04 – 19:12:33 0 –10 –20 –30 –40 –50 –60 –70 –80 –90 –100 –110 –120 –130 –140 –150 –160 –170 1

10

100

1K £ (f) [dBc/Hz] vs f [Hz]

10K

Fig. 11.4 Measured phase noise for this frequency standard by HP

100K

1M

2M

1130

U. L. Rohde

Reference frequency fREF

Phase detector

fout F(s)

VCO

P,P + 1

Programmable Counter ÷M

Programmable Counter ÷A

Reset

Fig. 11.5 System using dual-modulus counter arrangement

depends on the type of programmable counter used, but the system might operate as follows. If the number loaded into A is greater than zero, then the P/(P + 1) divider is set to divide by (P + 1) at the start of the cycle. The output from the P/(P + 1) divider clocks both A and M. when A is full, it ceases count and sets the P/(P + 1) divider into the P mode. Only M is then clocked, and when it is full, it resets both A and M, and the cycle repeats: (M − A)P + A(P + 1) = M P + A. Therefore f out = (M P + A). f R E F

(11.1)

If A is incremented by one, the output frequency changes by f R E F . In other words, the channel spacing is equal to f R E F . This is the channel spacing that would be obtained with fully programmable divider operating at the same frequency as the P, (P + 1) divider. For this system to work, the A counter must underflow before the M counter does; otherwise, P, (P + 1) system will remain permanently in the (P + 1) mode. Thus, there is a minimum system division ratio, Mmin below which the P, (P + 1) system will not function. To find that minimum ratio, consider the following. The A counter must be capable of counting all numbers up to and including P − 1 if every division ratio is to be possible, or Amax = P − 1 Mmin = P since M > A

(11.2)

The divider chain divides by M P + A therefore, the minimum systems division ratio is Mmin = Mmin (P − Amin )

11 Frequency Synthesizer

1131

= P(P + 0) = P 2

(11.3)

Using a 10/11 ratio, the minimum practical division ratio of the system is 100. In the system shown in Fig. 11.5, the fully programmable counter, A, must be quite fast.

11.2.4 Phase-Frequency Comparators The phase comparator has limited means to compare two signals and only accepts phase, not frequency, information. Hence, particular measures have to be taken to accommodate pull of the VCO into the locking range. The phase comparators require special locking help. The PLL is nonlinear because the phase detector is nonlinear. However, it can be accurately approximated by a linear model when the loop is in lock. The response, when the loop is closed, may be expressed as: forward gain θc (s) ≡ B(s) = θr (s) 1 + open loop gain G(s) = 1 + G(s)/N

(11.4)

where, G(s) = G 1 (s)G 2 (s)F(s)/s, and θc and θr are the phases of the controlled oscillator and the reference, respectively. When the loop is locked, it is assumed that the phase-detector output voltage is proportional to the difference in phase between its inputs; that is, Vθ = K θ (θr − θi )

(11.5)

where Vθ is the output voltage of the phase detector, and θr and θi are the phases of the reference signal and the divided VCO signal, respectively. K θ is the phasedetector gain factor and has the dimensions of volts per radian. It is also assumed that the VCO can be modeled as a linear device whose output frequency differs from its free-running frequency by an increment of frequency 2π δ f = K 0 Vc

(11.6)

where, Vc is the voltage of the output of the low-pass filter, and K 0 is the VCO gain factor with the dimensions of radians per second per volt. Because frequency is the time derivative of phase, the VCO operation can be described as:

1132

U. L. Rohde

Fig. 11.6 Block diagram of a linearized model of a PLL

fi(s)

+

Kf

F(s)



Ko s

f0(s)

1 N

2π δ f ≡

dθc = K 0 Vc dt

(11.7)

With these assumptions, the linear model shown in Fig. 11.6 represents the PLL. The linear transfer function relating θ c (s) and θ r (s) is: B(s) =

θc (s) K θ K 0 F(s)/s = θr (s) 1 + K θ K 0 F(s)/N s

(11.8)

K θ K 0 F(s) s

(11.9)

The forward gain is: G(s) = and the open-loop gain is: G(s)H (s) =

K θ K 0 F(s) Ns

(11.10)

which leads to the transfer formula. There are various choices of filter response F(s). Because the VCO by itself is an integrator, we can use a simple RC filter following the phase detector. This arrangement is called a Type 1 filter. Because the components used, together with feed-through capacitors and other stray effects, can cause excess phase shift, it is necessary to ensure that stability criteria are satisfied. If the gain of a passive loop is too small to provide adequate drift stability of the output phase, especially if a high division ratio is used, the best solution to this problem is the use of an active amplifier as an integrator. In most frequency synthesizers, the active-filter-integrator approach is preferred to the passive one. Some frequency synthesizer chips have a single-ended output. In such cases, the use of an additional integrator requires some precautions.

11.2.5 Diode Rings The diode ring is some sort of a mixer, normally driven with two signals of sinusoidal waveform. If the input signal is θ i = Ai sin ωo t and the reference signal is θ r = Ar

11 Frequency Synthesizer

1133

sin (ωo t + φ), where φ is the phase difference between the two signals, the output signal θ e is θe = θi θr =

A i Ar A i Ar K cos φ − K cos(2ωo t + φ) 2 2

(11.11)

where K is the mixer gain. One of the primary functions of the low-pass filter is to eliminate the second-harmonic term before it reaches the VCO. θe =

A i Ar K cos φ 2

(11.12)

When the error signal is zero, φ = π /2. Thus, the error signal is proportional to phase differences from 90°. For small changes in phase φ,  A i Ar   π π K cos + φ θe ∼ = + φ = 2 2 2 A i Ar = K sin φ 2

(11.13)

For a small phase perturbation, φ A i Ar K φ θe ∼ = 2

(11.14)

Since the phase detector output was assumed to be θe = K (θi − θo )

(11.15)

and the phase detector scale factor K θ is given by Kθ =

A i Ar K 2

(11.16)

The phase detector scale factor K θ depends on the input signal amplitudes; the device can be considered linear only for constant-amplitude input signals and for small deviations in phase. For larger deviations in phase, θe = K θ sin φ

(11.17)

which describes a nonlinear relation between θ e and φ. In frequency synthesizers, the reference is typically generated from a reference oscillator and is lower than the VCO frequency, which is divided by a programmable

1134

U. L. Rohde

divider. Both signals are square waves rather than sine waves, and theoretically, a diode ring can be driven by these two signals. A drawback to the diode-ring phase detector is that its output voltage is very small-several hundred millivolts at the most. A post-detector dc amplifier, which will unavoidably introduce noise, is therefore required. This also applies to analog PLL.

11.2.6 Edge-Triggered JK Master–Slave Flip-Flops The sequential phase comparator has two outputs available, one to charge and one to discharge a capacitor. Output-1 then is high if the Signal-1 frequency is greater than the Signal-2 frequency; or if the two frequencies are equal and Signal-1 leads Signal-2 in phase. Output-2 is high if the frequency of Signal-2 is greater than that of Signal-1, or if the signal frequencies are the same and Signal-2 leads Signal-1 in phase. Figure 11.7 shows the minimum configuration to build such a phase comparator. It can be operated from −2π to +2π, and an active amplifier is recommended as a charge pump. The Q output of the JK master–slave flip-flop is set to one by the negative edge of Signal 1, while the negative edge of Signal 2 resets it to zero. Therefore the output Q is the complement of Q. The output voltage V is defined as the weighted duty cycle of Q and Q. This means that a positive contribution is made when Q = 1 and a negative contribution (discharge) is made when Q = 0. The averaging and filtering of the unwanted ac component is done by a subsequent integrator. The integrator then is called a charge pump, as the loop capacitor is being charged and discharged depending on whether Q is high or low.

v1

v2

Fig. 11.7 Edge-triggered JK master-slave flip-flop

Q = up

Q = down

11 Frequency Synthesizer

1135

If the system is not in lock, and there is a large difference between frequencies f 1 and f 2 the JK flip-flop based at the output, the output voltage will not be zero, but instead will be positive or negative relative to one-half supply voltage. This is an advantage and indicates that this system is frequency sensitive. We therefore, call it a phase/frequency comparator because it is capable of detecting both phase and frequency offsets. In its locking and pull-in performance, it is similar to an exclusive-OR gate. For better understanding, let us look at a few cases where the system is in lock. It should be noted that whereas the exclusive-OR gate sensitive to the duty cycle of the input signals, the J-K flip-flop responds only to the edges, and therefore the phase/frequency comparator can be used for asymmetrical waveforms. Let us assume first that the input signals 1 and 2 have the same frequency. Figure 11.8 shows what happens if the phase error is about 0, π and 2π. In those cases, the duty cycle at the output is about 0, 50% or 100%, respectively. The narrow output pulses may cause

a v1

de

v2

Q

b

d

0

de =

v1 v2

Q d = 50%

c v1 v2

de

Q d

100%

Fig. 11.8 Performance of the JK phase/frequency comparator for different input signals

1136 a

U. L. Rohde w1 >> w2

b

v1

v1

v2

v2

w1 100 dB).

1218

U. L. Rohde and H. Zahnd

Bandpass filters are required for single side band (SSB) and CW reception, when the suppression of the unwanted side band is needed. For AM and FM however, lowpass filters can be applied, whereas the resulting receiver bandwidth is twice the filter bandwidth. Another desirable characteristic of the filters is to take over the ±90° phase shift, shown in Fig. 12.45. This spares an extra Hilbert transformation filter. All above listed properties can be fulfilled by an Nth order FIR filter. Lets start with N = 255. The following formulas delivers the N + 1 coefficients (hI (n), hQ (n)) for the different I- and Q-channel filters:      2π · n · fL 2π · n · fH 1 − sin n = −128 . . . + 127 sin π·n fs fs      2π · n · fL 2π · n · fH 1 − cos cos hQ (n) = π·n fs fs hI (n) =

where fL is the lower, fH the higher cutoff frequency and fs is the sampling rate. The magnitude frequency response of this filter is given by: 

N/2 

2π · n · f |H(f)| = 2 · h(n) · sin fs n=1



The abrupt transitions from the coefficients on both ends yields to big sidelobes as shown in Fig. 12.45a. The poor out of band selectivity can be improved by windowing. This means, that the coefficients are multiplied by a window function with the aim to reduce the step transition on both ends of the coefficient chain without degrading the filter shape. The simplest window function is a triangle with the shape w(0) = 0, w(N/2) = 1 and w(N) = 0. There is a big number of more sophisticated window functions known. One example is the Hamming window with the function:  w(n) = 0.54 − 0.46 · cos

2π · n N

 for n = 0, 1, . . . N − 1

The filters shown in Fig. 12.46 are simulated by Matlab. The two sidebands are clearly visible, the frequency = 0 is equal to the LO frequency. It demonstrates also the influence of different window functions. There is visible, that by optimizing the sidelobes, the shape factor is adversely affected. Whereas the shape factor with the Hamming window is 1:1.22, it rises up to 1:1.28 for the Blackman-Harris window. At the same time, the side lobe suppression is increasing from 60 dB up to 115 dB. The filters shown above are designed for a passband of 300–2700 Hz. If a design demands for the lower cutoff frequencies, then aliasing on the frequency of 0 Hz will occur. To avoid this, the usage of a low IF in the range of 1 … 1.5 kHz is recommended. This method will also allow to implement pass band tuning (PBT) to move the whole filter up and down for several hundred Hertz.

12 Software Defined Radio, Receiver and Transmitter Analysis

a

1219

0

−20 −40 −60 −80 −100 −1.6

−1.2

−0.8

−0.4

0

0.4

0.8

1.2

1.6 x 104

b

0

−50

−100

−150 −1.6

−1.2

−0.8

−0.4

0

0.4

0.8

1.2

1.6 x 104

c

0

−50

−100

−150

−200 −1.6

−1.2

−0.8

−0.4

0

0.4

0.8

1.6

1.2 x 104

Fig. 12.45 a Magnitude of frequency response without windowing, b magnitude of frequency response with hamming window, c magnitude of frequency response with blackman-harris window

1220

U. L. Rohde and H. Zahnd

0

−50

−100

−150 −1

−0.8

−0.6

−0.4

−0.2

0

0.2

0.4

0.6

0.8

1 x

104

4 2 0 −2 −4 −1

−0.8

−0.6

−0.4

−0.2

0

0.2

0.4

0.6

0.8

1 x 104

Fig. 12.46 Amplitude and Phase of the Q-channel Filter with an IF = 1 kHz

The introduction of a low intermediate frequency offers also the advantage, that the phase transition between the two sidebands are clearly separated. Figure 12.47 shows the amplitude and phase response from the above simulated filter, but with an IF = 1 kHz. The lower diagram in Fig. 12.46 shows the phase response of the Q-channel filter. The phase is shifted by π/2 in the lower sideband and by −π/2 in the upper sideband, whereas the I-channel has a zero phase offset in both sidebands. Thus, the function of a Hilbert transformer is perfectly emulated by this type of filter. Fig. 12.47 Impulse response without window

1

0.5

0

−0.5

−1 −128

−96

−64

−32

0

32

64

96

128

12 Software Defined Radio, Receiver and Transmitter Analysis

1221

The realisation of such a set of I- and Q-filters with a 40-bit floating point SHARC DSP needs a processing time of 100 dB, enough for the needs in most communications receivers. The impulse response, showed in Figs. 12.47 and 12.48 is equal to hI (n) and hQ (n) which are representing the filter coefficients. The signal time delay of this filter is therefore 128 samples, or: Td =

n , whereas n is the filter order. 2 · fs

The block diagram of the CW/SSB filter section is given in Fig. 12.49. The complex input signal is heterodyned by an IF of 1 kHz. In front of the main filters is a first noise blanker followed by the rate decimator n1. The decimator is used to adapt the sampling rate in relation to the filter bandwidth to fulfill the condition fs/B < 20. The main filters are followed by the second noise blanker and the sideband selector. The result is a single sideband signal, shifted by the 1 kHz intermediate frequency. This is splitted again into an I- and Q-channel and passes the post filters. Due to the phase shift capability of these filters, a complex signal is resulting again. The decimator n2 serves to further decrease the sampling rate, especially for the narrow CW-filters. For that reason the sampling rate, as low as 4 ksps, must be interpolated up to the final sampling rate of 16 ksps by n3. An interpolation filter avoids aliasing. 1

Fig. 12.48 Impulse response with window

0.5

0

−0.5

−1 −128

Noise Blanker 1

Main Filters

Noise Blanker 2

−96

Post Filters

−64

−32

0

Interpolation Filter

32

n2 0°

I out

n3

+/−

Q-In n2

n1 +/−90°

128

0° NB2

NB1

96

Complex Mixer

I-In n1

64

USB / LSB Selection

Q out

n3 +/−90° BFO

Fig. 12.49 Block diagram of the filter section

1222

U. L. Rohde and H. Zahnd

The final complex mixer is maintaining the quadrature, but is supressing the sum of the inputs and the BFO frequencies. The complex mixer can be accomplished by four multipliers and two adders. The principle is presented in Fig. 12.50. All SSB filters are showed in Fig. 12.51 in a single plot for comparison. It is clearly visible, that the filters with the smallest bandwidth deviates from the rectangular form, because the fs/B ratio b then the input signal is scaled down to the level of b and is subsequently increased by the factor p for each sample. Therefore p is increasing from 1 with a delta of 0.5 dB per sample. Thus, a steep amplitude rising will be shaped with a ramp of 0.5 dB per sample, or 16 dB per millisecond. We have to consider, that this process is simply a gain variation and therefore linear and free from harmonic distortion (Fig. 12.54).

Input

* a 1

ABS

TP

b

* k

Fig. 12.53 Block diagram of noise blanker

a div b

>1

an = 1 b an = ·p a

an

Output

12 Software Defined Radio, Receiver and Transmitter Analysis

1225

Fig. 12.54 Capability of the noise blanker (NB); a at the input of NB, b NB output, c after the main filter (time shifted)

a

b

c

−2

−1

0

1

t [ms]

2

12.13 Automatic Gain Control The automatic gain control (AGC) is often untended in receiver designs. The result is then an unnatural or popping audio [10]. In software defined receivers, most parts are free of distortion, so the AGC is the only part, which has a big influence on the speech quality. The AGC design in analog receivers is a very difficult task, as the control chain is distributed over different stages with filters in between having a time delay. In SDR designs, it is much easier to build an AGC algorithm, as the dynamic range of the digital part is decades greater than the dynamic of the front end and therefore the control can be concentrated on the end of a receiver chain. In the literature, most of AGC algorithms are based on feed back systems, which are potentially unstable and tending to overshoots. Thus, the AGC in the ADT-200A is build as a feed forward system. Moreover, to prevent pops on fast rising signal amplitudes, a preemptive part is added to the normal control signal. This part is picked up in front of the last filter, and therefore it gets amplitude steps a few milliseconds before they reach the AGC. To ensure, that the preemptive part is only active at strong amplitude steps, its envelope is differentiated before it is added to the normal AGC. Figure 12.56 shows the reaction when a −10 dBm signal is switched on, while the AGC is set to the noise level of −120 dBm. The graph A denotes the preemptive part, B the resulting AGC control signal. Note that, due to the filter delay, the signal reaches the AGC after 8 ms, when the AGC control signal is already set. This method prevents from overshooting, even when the attack time is set to a value >5 ms. The combination from the noise blanker and the pre-emptive AGC results to an effective audio levelling system, maintaining a natural sound, even under severe

1226

U. L. Rohde and H. Zahnd

conditions. As the AGC control function is placed on the end of the processing chain, the volume is held constant, even when the notch filter is on or the audio equalizer is set to the minimum or maximum (Fig. 12.57). An unpleasant characteristic of most AGC algorithms is the dependence of the recovery time from the level change. For example, when a step of −20 dB has a recovery time to −6 dB of 30 ms, a step of -100 dB needs 160 ms to reach the same −6 dB audio output (see Fig. 12.58). To solve this problem, we must control the time constant in reference to the step size. This needs to know the high level A at the end of the attack period and the low level B at the beginning of the decay period, following the hold time. Then the coefficient p of the arrangement in Fig. 12.55 will become:   A −1 p = k · log2 B   1 k = m · 1 − e− fs·tau

BPF

Input

Audio proc

ABS

ABS

d dt

LPF

Output

* p: coefs attack, decay

1 x

LPF

+

Fig. 12.55 Simplified block diagram of the pre-emptive AGC

100 80 A

60 B

40 20 0 0

5

10

15

20

Fig. 12.56 Step response of the AGC on a level change of 110 dB

25

30

t [ms]

35

12 Software Defined Radio, Receiver and Transmitter Analysis

1227

1.5 1 0.5 0 −0.5 −1 −1.5 0

5

10

15

20

25

30

35 t [ms]

Fig. 12.57 Audio signal, measured at the AGC output at a step of 110 dB

Fig. 12.58 AGC recovery time for 20 … 100 dB amplitude steps

dB 0 −10 −20 −30 −40 −50 −60 −70 −80 −90 −100 0

50

100

150

200

t [ms]

whereas ‘fs’ is the sample rate, ‘tau’ the nominal time constant and ‘m’ a factor. This simple relations can be implemented with a few lines of code. The result is presented in Fig. 12.59. It is clearly visible, that the bigger the change in signal, the faster is the AGC reaction with the goal to reach the -6 dB point always in the same time.

12.14 The S-Meter Due to the fact, that there is no gain control between the input attenuator and the filtered receiving signal, the S-Meter can profit from the full dynamic range of the receiver. It is realized by a digital peak envelope detector with a fast ramp-up and slow decay. So it is capable to show the real peak value of received voice signals. In addition, it has a numerical display for dBm and dBμV, which are calibrated as root mean square indications.

1228

U. L. Rohde and H. Zahnd dB

Fig. 12.59 Compensated AGC recovery time

0 −10 −20 −30 −40 −50 −60 −70 −80 −90 −100

50

0

100

150

200

t [ms]

Fig. 12.60 S-Meter, indicating S6

The S-Meter has a measuring range from −148 dBm up to +17 dBm, including the full range of the input attenuator, whose setting is compensated so that the meter always displays the signal level at the receiver input. The insertion loss of the actually used preselector filter is digitally compensated by a calibration table, thus the meter accuracy is ±1 dB over the full range (Fig. 12.60).

12.15 Spectrum Monitoring Modern receivers must have the capability to monitor signals, received within a certain segment. This is done completely by software in the DSP. The signals are picked up after the 10 kHz lowpass FIR filter in the DDC. As the I/Q channels represent the complex signal, the usable bandwidth is fRx ± 10 kHz, e.g. 20 kHz. To get the frequency spectrum from k samples in the time domain, we need a discrete fourier transformation (DFT): Xk =

N−1  n=0

xn · e−j2πk· N for k = 0, . . . N − 1 n

12 Software Defined Radio, Receiver and Transmitter Analysis

1229

Examine the above formula shows, that N-point DFT needs N2 complex operations. By using the fast fourier transform (FFT) algorithm, the number of operations is reduced to N/2 · Log2 (N), which leads in a drastical reduction of the processor load. The design goals where >600 frequency samples per scan and a scan repetition rate of 10 scans per second. The minimum scan width have been fixed to 10 kHz and the maximum to 2 MHz. The complex FFT covers a frequency span of −fs/2 … + fs/2. Due to the roll-off from the FIR filter, only ±5 kHz are usable at a sample rate of 16 kHz, or in oher words, when using a 1024 point FFT, only 640 points (or bins) are usable. These result in a theoretical resolution of 10 kHz/640 = 15.625 Hz. Due to the abrupt ending of the sampled I/Q-data, the FFT suffers on the same limitation as the FIR filters, described above (see Fig. 12.46). Fortunately, windowing can solve this problem also on the FFT. The use of a Blackman-Harris window results in a dynamic range of 130 dB at a slightly broader resolution with a bandwidth factor of 2.02. Therefore, the resolution at 10 kHz scan width is 31.6 Hz. Therefore, the noise level in the displayed spectrum at F = 10 dB will be MDSSpectr = −174 + 10 · Log10 (resolution/Hz) + F(dB) = −149dBm The Fig. 12.61 shows the spectrum from a clean 10 MHz crystal oscillator (TCXO) with an input level of −20 dBm (−3 dBFS ) and, for comparison, the spectrum with the same settings, but without an input signal. The differences are originated by phase- and quantisation noise. The dynamic range ±1 kHz spaced from carrier is Pin [dBm] −20

−40

−60

−80

−100

−120

−140

−160

9.995

9.996

9.997

9.998

9.999

10

10.001

10.002

10.003

10.004

f [MHz]

Fig. 12.61 Spectrum plots of a 10 MHz input signal (upper) and without signal (lower)

10.005

1230

U. L. Rohde and H. Zahnd

effectively 112 dB, without the noise it whould be 130 dB (B = 31.6 Hz). The plots are smothed by a 50× averaging. Analysing the timing aspects, it is surprising, that the most time consuming task is to fill the input buffer. For example to capture 1024 samples at a rate of 16 ksps requires 64 ms. It is obvious, that the requirement of 10 sweeps per second whould not be possible with a higher resolution of a 2048-points FFT, even when the processing capacity of the DSP whould allow that. As mentioned before, the maximum scan width after the DDC is 20 kHz at a sample rate of 32 ksps. To allow a wider scan, one may either increase the sample rate by accepting a higher processor load, or several FFT slices must be merged together, whereas the NCO must be increased in steps from 20 kHz for each slice. For a scan width of 2 MHz, 100 slices are required. Due to the timing constraints discussed before, each slice can be executed only by a 16-point FFT, resulting in 1000 bins per sweep. However, the dynamic range is reduced by 19 dB against the 10 kHz sweep. Figure 12.62 displays a 2 MHz wide spectrum. The analyzers sensitivity is − 120 dBm, about 20 dB below the minimal external noise at that time. The signal processing form the spectrum analysis is not limited to the FFT operation, there is a lot of associated processing to do, as depicted in Fig. 12.63. Pin [dBm] −40 −50 −60 −70 −80 −90 −100 −110 −120

8.5

9

9.5 f [MHz]

Fig. 12.62 Spectrum plot from a 2 MHz wide reception

10

10.5

12 Software Defined Radio, Receiver and Transmitter Analysis Fig. 12.63 Operations needed to get a spectrum display

1231 Collect I/Q-samples

Apply Blackman window

Execute complex FFT

Calculate power

Apply averager

Get logarithmic values

Scale results

Send spectral data to GUI

12.16 Adaptive Transmitter Pre-distortion This chapter shall demonstrate, how the receiving part in a transceiver can be used to linearize the transmit signal. The non-linearities in a power amplifier are caused by different categories of distortion products: • Harmonic distortions: those can be cancelled by a lowpass filter, • AM-to-AM distortions: a nonlinear envelope distortion by saturation, producing intermodulation, • AM-to-PM distortions: producing a phase modulation, depending on the envelope, • Memory effect: the sum of nonlinearities is also dependant from the past, i.e. distortions on an increasing envelope are different from those of a decreasing envelope. There are different pre-distortion procedures known [11], they can roughly be categorized into feed-forward and feed-back systems. Only the later one shall be covered here. For SDR transceivers, the baseband feed back method is favoured, as the transmit signal is already available in a complex I/Q form. For the feedback path, the receiver part can be used, synchronized to the transmit frequency. The comparison of the undistorted and the distorted transmit signal is then carried out in the base band at the low system sample rate. The error signal results by comparing the two complex signals after scaling and delaying for correct time correlation. This signal contains both the AM/AM and the AM/PM errors. As they are influencing each other, a long convergence time will

1232

U. L. Rohde and H. Zahnd

result. Therefore, it is better to separate the AM and PM components by converting the cartesian into a polar representation, which allows to separate the two components. When the undistorted signal is X and r(t) the instantaneous amplitude, then we can write X = r(t) · ejωt = r(t) · (cos(ωt) + j · sin(ωt)) and in the polar representation:   X = r(t)



cos(ωt) θ = arctan sin(ωt)



The principle of an adaptive AM/AM pre-distorter, based on a look-up table (LUT) is presented in Fig. 12.64. The function ‘mag’ delivers the scalar envelope from the undistorted baseband transmit signal. The output of the nonlinear power amplifier (NLPA) is fed back via the receiver and delivers the distorted baseband envelope (b), after passing the second function ‘mag’ and a multiplier by the factor c. As the propagation time trough the PA and receiver is substantial, the undistorted signal must be delayed in that the signal (a) is time-equivalent to the signal (b). Then the envelope dependant instantaneous error p(rw ) is defined as p(rw ) =

a b

whereas rw represents the physical write address of the look-up table LUT. The lowpass filter (LPF) preceding the digital up converter (DUC) serves to limit the noise, introduced by the discontinuities of the LUT output. The selection of the filter DAC X

LPF

Read addr generator

Linearized output

DUC

e(rr)

mag

NLPA

ADC

rr

AM/AMLUT

rw

DDC

delay Write addr generator

p(rw)

a

··

mag

b

c

Fig. 12.64 Baseband representation of a feed-back adaptive AM/AM Pre-distortion

12 Software Defined Radio, Receiver and Transmitter Analysis Fig. 12.65 Exponential averager for LUT update values (k: filter coefficient)

p(rw)

1233

LUT entry P

k

bandwidth is not easy. When it is too small, the effectiveness of the predistortion lacks toward higher modulation frequencies, when it is too broad, the adjacent channel power ratio (ACPR) will be reduced. The bandwidth must be determined as a tradeoff between these two effects. By updating the AM/AM-LUT, one has to take notice to the following points: (a) (b)

(c) (d) (e)

The error value p(rw ) must be filtered by an exponential average before it is written to the table. An applicable arrangement is shown in Fig. 12.65. Some provision must be taken, when only a few addresses are updated, in case that the envelope is occasionally synchronous to the sample rate. To remedy this situation, values between two newly updated points must periodically be interpolated. When the LUT is trained by a low level modulation signal, the untrained higher values must be extrapolated to avoid a discontinuity in the correction. When the transmitter is modulated by a single carrier or FM, FSK, PM or PSK, then the pre-distortion must be switched off, as the carrier remains constant. The step size in the LUT must be as small, that the nonlinearities remains nearly constant, a number of ≥128 steps is sufficient.

The pre-distortion algorithm must be able to react to any load variations on the PA, which are affecting the loop gain. Figure 12.66a shows the typical voltage gain (Gv real) of a MOS-FET power amplifier, measured with 50  terminations whereas in Fig. 12.66b represents the voltage gain correction factor p to achieve a linear gain (Gv linear). Generally, the same procedure as for AM/AM distortions can also be applied to correct AM/PM distortions. However it is somewhat more delicate, as the phase of the RF signal is now involved. The phase may be affected also by the harmonic filter or a complex load impedance (Fig. 12.67). To get the phase values, an Arctan-function is needed. This algorithm can be implemented by using power series approximations: arctan(x) =

1 1 1 1 1 π 1 − + 3− 5+ 7− 9+ − ... 2 x 3x 5x 7x 9x 11x11

This series is converging rapidly for x ≥ 1.4. For lower values of x, the substitution arcsin(a) is needed: arcsin(a) = a +

3a5 15a7 105a9 945a11 x a3 + + + + + . . . whereas a = √ 6 40 336 3456 42240 1 + x2

1234

U. L. Rohde and H. Zahnd

Fig. 12.66 a Typical PA Voltage Gain, b Gain Correction factor (p)

a Vout [V] 60 50 Gv real 40 30 Gv linear

20 10 0 0.0

5.0

10.0

15.0 Vin [V]

b p 1.1

0.9

0.7

0.5 0.0

5.0

10.0

15.0 Vin [V]

Input X

-shift

LPF

DUC ADC

(rr) rr delay

rw

AM/PMLUT

arctan

DDC

(rw)

arctan

o

Fig. 12.67 Adaptive AM/PM pre-distortion

12 Software Defined Radio, Receiver and Transmitter Analysis

1235

By using series with seven terms, a maximal error of ±0.03° arise. The optimized DSP code needs 40 instructions or 0.14 μs processing time to get one Arctan value. The error signal δ(rr ) is the output from the AM/PM-LUT and contains the phase error, which is used to drive phase shifter. The transfer function of this shifter must be linear in phase over the range of 0 … 2π. The mathematical representation of a complex signal with a phase offset of ϕ is: X(t) = A · ejωt+ϕ = cos(ωt + ϕ) + j sin(ωt + ϕ) = cos(ωt) · cos(ϕ) − sin(ωt) · sin(ϕ) + j[sin(ωt) · cos(ϕ) + cos(ωt) · sin(ϕ)] and with I = cos(ωt), Q = sin(ωt), we can write lϕ = I · cos(ϕ) − Q · sin(ϕ), Qϕ = Q · cos(ϕ) + 1 · sin(ϕ) Figure 12.68a, b present the output spectrum of the 50 W power amplifier when the transmitter is SSB-modulated with white noise (0 dB is equivalent to 50Wpep, fo = 14.1 MHz, B = 2.7 kHz, channel spacing = 5 kHz). While the second order distortion can easily be cancelled by a harmonic filter, the third order distortion remains the dominant distortion in a power amplifier. When disregard the second order products, we can assume the transfer function from a power amplifier to: Vout (t) = k1 · Vin (t) − k3 · V3in (t) = k1 · Vin · sin(ωt) − k3 · V3in · sin3 (ωt) k1 is the small signal voltage gain and k3 represents the third order product (k3 > 0). With sin3 (x) = 41 · [3 sin(x) − sin(3x)] we get: ATT: 60.00 dB BW VIDEO: 0.10 kHz BW RES: 0.07 kHz

MARKER: —89.84 dBm, 14090.0222 kHz

ATT: 40.00 dB BW VIDEO: 0.10 kHz BW RES: 0.07 kHz

b

0

0

—10

—10

—20

—20

—30

—30

Amplitude [dB]

Amplitude [dB]

a

—40 —50 —60

—40 —50 —60

—70

—70

—80

—80

—90

MARKER: —91.79 dBm, 14090.0222 kHz

—90

—100 1.409 1.4092 1.4094 1.4096 1.4098 1.41 1.4102 1.4104 1.4106 1.4108 1.411 Frequency [kHz]

x 104

—100 1.409 1.4092 1.4094 1.4096 1.4098 1.41 1.4102 1.4104 1.4106 1.4108 1.411

Frequency [kHz]

x 104

Fig. 12.68 a Tx spectrum with LPF = 7.5 kHz ACPR = 47 dB, b Tx spectrum with LPF = 5 kHz ACPR = 50 dB

1236

U. L. Rohde and H. Zahnd

Vout (t) = k1 · Vin · sin(ωt) − 0.75 · k3 · V3in · sin(ωt) + 0.25 · k3 · V3in · sin(3ωt) The third order intercept point OIP3 is defined as when the extrapolated fundamental and third order distortion product appear with the same level on the output.  k1 · Vin − 0.75 · k3 · V3in = 0.25 · k3 · V3in



Vin_IP3 =

k1 k3

The formula for Vout (t) shows further, that the fundamental signal is reduced with increasing input signal Vin . An import point in the transfer function is the 1 dB compression point. It can be calculated by using the relation  Vin 1 dB = 0.3808 ·

k1 k3

Figure 12.69 shows an overdriven amplifier and its third harmonic. The dashed line represents a linear gain. It is important to notice, that the output level begins to decrease at a certain drive level even when the input signal is further increased. This situation leads to an instability within the predistortion loop and must be avoided under all possible load conditions. Secure operation is maintained, when the amplifier is driven slightly higher than the 1 dB compression point. The result of a linearized SSB transmitter by means of the adaptive predistortion are presented in Fig. 12.70. The third and fifth order intermodulation products are reduced by −64 dBc or −70 dBPEP . The Marker points to the carrier frequency. It is clearly visible, that the intermodulation products within the passband of the 5 kHz lowpass filter are cancelled, whereas the seventh and ninth order products are even higher than without predistortion (see Fig. 12.44). A possible reason is, that these Fig. 12.69 Gain and third harmonic with k1 = 10 and k3 = 2

Vout 100.00

10.00

1.00 0 .1

1

Vin

10

12 Software Defined Radio, Receiver and Transmitter Analysis ATT: 61.00 dB BW VIDEO: 0.10 kHz BW RES: 0.08 kHz

Fig. 12.70 Transmit spectrum with f1 = 700 Hz, f2 = 1900 Hz and P = 50 W

1237

MARKER: −85.29 dBm, 14098.8056 kHz

0 −10 −20

Amplitude [dB]

−30 −40 −50 −60 −70 −80 −90 −100

1.409

1.4095

1.41 Frequency [kHz]

1.4105

1.411 x 104

products are further distorted by the rapidly changing phase on the filter slope of the LPF (Fig. 12.71). It looks unusual in Fig. 12.70, that the IM products are spaced by 400 Hz instead by f2 − f1 = 1200 Hz. The reason is, that 1200 Hz is not harmonic to the sample rate of 32 kHz. The lowest common multiple is 400 Hz. The use of 700 Hz and 1500 Hz tones (difference 800 Hz) is harmonic and will prove this assumption (Fig. 12.72). ATT: 61.00 dB BW VIDEO: 0.10 kHz BW RES: 0.08 kHz

MARKER: −86.71 dBm, 14098.8056 kHz

0 −10 −20

Amplitude [dB]

−30 −40 −50 −60 −70 −80 −90 −100

1.409

1.4095

1.41 Frequency [kHz]

1.4105

1.411 x 104

Fig. 12.71 Transmit spectrum without pre-distortion (same settings as Fig. 12.44)

1238

U. L. Rohde and H. Zahnd ATT: 61.00 dB BW VIDEO: 0.10 kHz BW RES: 0.08 kHz

Fig. 12.72 Spectrum with f2 − f1 = 800 Hz, harmonic to the sample rate

MARKER: −80.19 dBm, 14098.8055 kHz

0 −10 −20

Amplitude [dB]

−30 −40 −50 −60 −70 −80 −90 −100

1.409

1.4095

1.41 Frequency [kHz]

1.4105

1.411 x 104

The above presented results are neglecting the memory effect. There are different sources responsible for memory effects. They can be roughly classified in narrow band and wide band, often also referred to as long turn and short turn memory effects. Possible causes for narrow band memory effects are. • Thermal effects inside the transistor, • Influences of the bias circuit, when it follows the envelope due to a too small time constant, • A bad alignment of the delay in the reference path (see Fig. 12.64). Wide band memory effects are mainly caused by bandwidth dependant distortions. These distortions can be found by a two-tone modulation, whereas the distance in frequency is varied over the full bandwidth of the base band. To overcome the memory effects is a complicated task and needs a model of all significant influences. Memory effects can be simulated by Volterra-series. An interesting effect is, that the lower and upper IM3 products are different when the two tones are shifted within the baseband [12]. Another good reference on relevant topics is the book on Communications Receivers [13].

References 1. Rohde, U.L.: Digital HF radio: a sampling of techniques. In: Third International Conference on HF Communication Systems and Techniques (London, England) (1985) 2. Rohde, U.L.: Digital HF radio: a sampling of techniques. Ham Radio Magazine (1985) 3. Pun, K.-P., da Franca, J.E., Azeredo-Leme, C.: Circuit design for wireless communications, improved techniques for image rejection in wideband quadrature receivers. Kluwer Academic Publishers (2003) 4. Pace, P.P.: Advanced techniques for digital receivers. Artech House Boston, London (2000). ISBN 1-58053-053-2

12 Software Defined Radio, Receiver and Transmitter Analysis

1239

5. Kester, W.: Analog-digital conversion. Analog Devices Inc, (2004). ISBN 0-916550-27-3 6. Kester, W.: Analog-digital conversion. Analog Dialogue Nr., vol. 1, ADC Input Noise (2006) 7. Hogenauer, E.B.: An economical class of digital filters for decimation and interpolation. IEEE Trans. Acoust. Speech Signal Process. 29 (1981) 8. Lyons, R.G.: Understanding Digital Signal Processing, 2nd edn. Prentice Hall (2004). Chapter 13.24 9. Jeffreys, H., Jeffreys, B.S.: The Gibbs Phenomenon. Methods of Mathematical Physics, 3rd edn, pp. 445–446. Cambridge University Press, Cambridge, England (1988) 10. Rudersdorfer, R.: Funkempfängerkompendium. Chapter III-14; Elektor Verlag Aachen (in german) (2013) 11. Peter, B.K., High-linearity RF amplifier design. Artech House Microwave Library (2000). ISBN 1-58053-143-1 12. James, B.: Bandwidth-dependant intermodulation distortion in FET amplifiers. Dissertation, Department of Electronics, Macquarie University, Sydney (2004) 13. Communications Receivers: Principles and Design, 4th Edition, Prof. Dr. Ing. habil Dr. h. c. mult Ulrich L. Rohde, Jerry C. Whitaker, Hans Zahnd, ISBN: 9780071843331

Further Readings 14. Sajja, S.B., Praneeth Avapati, S., Jagarlamudi, N.N., Kamruddin Shaikh, K., Madireddy, B.B.: A generic overview of software defined radio in the security realm. In: 2021 IEEE International Conference on Mobile Networks and Wireless Communications (ICMNWC), pp. 1–5 (2021). https://doi.org/10.1109/ICMNWC52512.2021.9688353 15. Angeletti, P., Lisi, M., Tognolatti, P.: Software defined radio: A key technology for flexibility and reconfigurability in space applications. In: 2014 IEEE Metrology for Aerospace (MetroAeroSpace), pp. 399–403 (2014). https://doi.org/10.1109/MetroAeroSpace.2014.686 5957 16. Pawlan, J.: An introduction to software defined radio. In: 2015 IEEE International Conference on Microwaves, Communications, Antennas and Electronic Systems (COMCAS), pp. 1–1 (2015). https://doi.org/10.1109/COMCAS.2015.7360430

Chapter 13

Mixing and Frequency Multiplication Nils Pohl

Abstract This chapter is dedicated to the theory and circuits for frequency translations. Whereas linear circuits have inputs and outputs at the same frequency, nonlinear circuits can generate additional frequencies as either an intentional or unintentional result. This chapter provides comprehensive coverage of various frequency translation topics, including the widely used frequency mixing, as well as essential frequency multiplier and divider concepts that are needed for designing frequency synthesizers.

13.1 Introduction Mixing of signals at different frequencies, frequency multiplication and division and modulation relate to the broad area of frequency conversion. Depending on the terms of reference, frequency conversion can be divided up into the groups shown in Fig. 13.1. The simplest form of frequency conversion is the frequency multiplication shown schematically in Fig. 13.1a, which is achieved through distortion of the fundamental mode f 1 and filtering out of harmonic n f 1 . The frequency division shown in Fig. 13.1b represents the inverse case. Dual-sideband (DSB) mixing results from normal mixers and refers to a frequency conversion in which a low-frequency signal usually controls the amplitude or the phase of a high-frequency carrier oscillation f 0 (see Chap. 12). The resulting spectra are shown in Fig. 13.1c. Finally, in the case of single-sideband (SSB) mixing, resulting from a complex-valued quadrature mixing, the frequency position of a high-frequency signal is usually changed to a single sideband, as shown in 13.1d, by means of a high-frequency oscillator f 0 .

N. Pohl (B) Ruhr-Universität Bochum, Bochum, Germany e-mail: [email protected] © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_13

1241

1242

a

N. Pohl A2

A1

1

2 Multiplier

0

b

f1

f

f

A2

A1

1

0

c

nf1

0

f1

2

Divider

f

A1

1

f1/n

0

f1

f

A2

2

DSB Mixer

0

0 0

f

f1

f0 – f1 f0 f0 + f1

f

A0

0

d

A1

1

f1

f0

2

SSB Mixer

f A2

0 0

f1

0

f

f0 – f1

A0

0

f1

f0

f

Fig. 13.1 Different frequency converters with basic input and output spectra

f1

f0

f

13 Mixing and Frequency Multiplication

1243

Mixer = time domain multiplication x(t )

z(t ) y(t)

time domain

frequency domain

x(t)

|X(f) |

y(t)

|Y(f) |

z(t)

|Z(f) |

f IF

f0

f0

f IF f 0 + f IF

Fig. 13.2 The mixing of two signals x and y can be explained by a multiplication in time domain

13.2 Theory and Applications of Mixing 13.2.1 Mathematical Model Mathematically, an addition or subtraction of frequencies can be well explained with the product-to-sum identities of trigonometric functions. The mixing process can explained by in ideal multiplication in time domain (see Fig. 13.2). cos (α) · cos (β) =

13.2.1.1

 1  · cos (α + β) + cos (α − β) 2

(13.1)

Real-Valued Mixer

For two harmonic time domain signals with the frequencies f I F and f 0 , this can be applied: x (t) · y (t) = xˆ cos (2π f I F t) · yˆ cos (2π f 0 t)

(13.2)

With Eq. 13.2 this finally results in:

x (t) · y (t) =

     1 xˆ yˆ · cos 2π( f 0 + f I F )t + cos 2π( f 0 − f I F )t 2

(13.3)

1244

N. Pohl

Therefore, the multiplied signal consists of two harmonic components, the so-called sum frequency f 0 + f I F and the difference frequency f 0 − f I F . This type of multiplication of two real signals is therefore called the dual-sideband mixing, as the sum and difference frequencies can be seen as lower and upper sidebands of the frequency f 0 . In time domain in Fig. 13.2, it can be seen that the multiplication results in a beat modulation of the envelope of the high frequency signal.

13.2.1.2

Quadrature Mixer for Single-Sideband Modulation

In order to achieve a single-sideband modulation, at least two real-valued mixing processes are needed, which can be seen as a complex-valued mixing process. This is often also called quadrature mixing or IQ-mixing, as the real-value part is the inphase component (I) and the imaginary part is the quadrature component (Q). In general, the multiplication of two harmonic exponential function results in a sum of the arguments:   exp ( jα) · exp ( jβ) = exp j (α + β)

(13.4)

As defined in Euler’s formula, the complex harmonic function consists of the sinusoidal real part and the cosinus-shaped imaginary part: exp ( j2π f ) = cos (2π f t) + j sin (2π f t)

(13.5)

This results in a complex mixing of two signals:   exp ( j2π f 0 t) · exp ( j2π f I F t) = exp 2π j ( f 0 + f I F )t

(13.6)

Therefore the mixing of two complex harmonic function results in an addition of the frequencies, which is a mixing process. Due to the complex mixing, just a single sideband (the sum frequency) appears. Therefore the complex-valued mixing process can be seen as a single-sideband modulation. Also called image-rejection mixer. As normal microwave signals on a single line, just represent real-valued signal, the realization of such complex-valued modulation has to be solved by various parallel multiplications and additions. Therefore, Eq. 13.6 has to be split into basic multiply and add operations which can be realized in hardware:

13 Mixing and Frequency Multiplication

1245

exp ( j2π f 0 t) · exp ( j2π f I F t)     = cos (2π f 0 t) + j sin (2π f 0 t) · cos (2π f I F t) + j sin (2π f I F t) (13.7) = cos (2π f 0 t) cos (2π f I F t) + sin (2π f 0 t) sin (2π f I F t)   + j sin (2π f 0 t) cos (2π f I F t) + cos (2π f 0 t) sin (2π f I F t) For the investigation of a transmitter, typically the transmit signal of the output of the mixer is intended to be a real-valued signal again. Therefore just the real part of the mixer output needs to be investigated:    exp ( j2π f 0 t) · exp ( j2π f I F t) = cos (2π f 0 t) cos (2π f I F t) + sin (2π f 0 t) sin (2π f I F t) =

 1 cos (2π( f 0 − f I F )t) + cos (2π( f 0 + f I F )t) 2



(13.8)

 1 cos (2π( f 0 − f I F )t) + cos (2π( f 0 + f I F )t) 2

= cos (2π( f 0 + f I F )t) This results finally in a real-valued signal with a single sideband, which is the sum of both input frequencies. The calculation of the imaginary part is analog to it:    exp ( j2π f 0 t) · exp ( j2π f I F t) = sin (2π f 0 t) cos (2π f I F t) + cos (2π f 0 t) sin (2π f I F t) =

 1 sin (2π( f 0 + f I F )t) + sin (2π( f 0 − f I F )t) 2

+

 1 sin (2π( f 0 + f I F )t) + sin (2π( f I F − f 0 )t) 2

= sin (2π( f 0 + f I F )t)

(13.9)

1246

N. Pohl Implementation of a Quadrature Mixer with a real-valued Output x(t)

Quadrature Mixer

+ z(t) =x(t) · y(t)

x(t)

x(t)

=

z(t) x(t) · y(t)

x(t) y(t)

y(t)

y(t)

y(t)

Fig. 13.3 The mixing of two signals two complex x(t) and y(t) results in a complex output z(t). In order to realize the complex quadrature mixing, it can be split into a two mixers and one adder as derived in Eqs. 13.8

In total this results in: exp ( j2π f 0 t) · exp ( j2π f I F t) = cos (2π( f 0 + f I F )t) + j sin (2π( f 0 + f I F )t)

(13.10)

= exp ( j2π( f 0 + f I F )t) This can be generalized for arbitrary complex inputs signals x(t) and y(t) with the output z(t): (13.11) x(t) · y(t) = z(t) using the elementary operations          x(t) · y(t) =  x(t) + j x(t) ·  y(t) + j y(t)       =  x(t) ·  y(t) −  x(t)  y(t)        + j  x(t) ·  y(t) +  x(t) ·  y(t)

(13.12)

= z(t) In order to realize such a complex mixing process similar to Eqs. 13.8 and 13.12 respectively, the architecture from Fig. 13.3 can be used. The summation can either be

13 Mixing and Frequency Multiplication

RF pre-stage (fs)

RF mixing stage

Intermediate frequency amplifier (fIF)

1247

Demodulator

IF amplifier

to baseband

Oscillator (f0)

Fig. 13.4 Block diagram of a heterodyne receiver

realized by an adequate coupler or operating both circuits on the same load resistor. If also a complex output is needed, the imaginary part can be realized similarly. In order to realize the complex signals for the input of the mixer, they can e.g. be generated digitally with two full baseband-converters or by a 90◦ phase shift of the real part.

13.2.2 Heterodyne Receiver Figure 13.4 shows the block diagram of a heterodyne receiver. Here, every frequency f s present in the receive range can be converted in the RF mixing stage by mixing with a correspondingly definable oscillator frequency f 0 into a fixed intermediate frequency (IF) f I F = | f0 ± fs |

(13.13)

and can be further amplified in a one-off permanently set IF amplifier. To form the intermediate frequency f I F according to Eq. 13.13, it is irrelevant whether the oscillator frequency f 0 lies above or below the signal frequency fs . However, a higher oscillator frequency is usually preferred, since, with a predefined width of the receive range, the necessary variation of f 0 is less than in cases where a lower oscillator frequency is used. If Eq. 13.13 is solved with predefined f I F and f 0 according to f s , two signal frequencies. f s1,2 = f 0 ± f I F

(13.14)

are obtained, which produce the intermediate frequency through mixing with f 0 . One of the two is always the useful signal to be received, to which the RF preamplifier is tuned, while the other with a spacing of double the intermediate frequency is referred to as the image frequency and must be sufficiently weakened through adequate selection of the RF preamplifier or by an quadrature mixer, as interference noises between the useful frequency and the image frequency will otherwise occur.

1248

N. Pohl

If the input signal consists of digitally-modulated high frequency signals or if it is single-sideband-modulated with a suppressed carrier, a mixer, which converts the intermediate frequency signal back into low frequency, through mixing with an oscillator frequency, can similarly be used in the demodulator to recover the message.

13.3 Combination Frequencies in Nonlinear Components A mixer which is used in RF devices generates a modulated intermediate frequencyintermediate frequency (IF) signal from a modulated input signal using an unmodulated oscillator frequency. By controlling a nonlinear component, the behavior of which is described in general by the following Taylor series polynomial of the current given i given by the voltage v i = C0 + C1 v + C2 v 2 + C3 v 3 + · · · + Cn v n

(13.15)

and all possible combination frequencies f k = |±m f s ± f 0 | where m, n ∈ N

(13.16)

can generally be produced from a signal frequency f s and an oscillator frequency f 0 . For the case m = 0, the oscillator frequency and its harmonics are obtained, for n = 0 the signal frequency and its harmonics are obtained. Combination frequencies are produced for n = 0 and m = 0. The frequency pyramid in Fig. 13.5 shows the Coefficient C0

0

f0 + fs f0 – fs

2f0

C2

2f0 + fs 2f0 – fs

3f0

C3

C4

fs

f0

C1

4f0

3f0 + fs 3f0 – fs

2fs

f0 + 2fs f0 – 2fs 2f0 + 2fs 2f0 – 2fs

3fs

f0 + 3fs f0 – 3fs

4fs

Fig. 13.5 Frequency pyramid of the frequencies m f s and n f 0 and their combination frequencies occurring in the mixing of f s and f 0 on a non-linear device

13 Mixing and Frequency Multiplication

1249

a Amplitude

0 fs 2fs

mfs

f0

f0 mfs f0 fs

f0+mfs

2f0 mfs

2f0

2f0 mfs

f

f0+fs

b Amplitude

2f0

f0

0 fs

f0 fs f0+fs

c

f

2f0 fs 2f0 fs

Amplitude a K2

a K2 a K3

0

f2 f1

f1 2f1 f2 =f1 (f2 f1)

2f1 f1+f2 2f2

f2 2f2 f1 =f2 (f2 f1)

f3 f3 f2 f1 f f3 f2 f1 =f3 (f2 f1)

Fig. 13.6 Spectra of the mixer: a complete spectrum f k = |±n f 0 ± m f s |; b small-signal spectrum f I F = |±n f 0 ± f s |. The spectra were shown for the special case f s = f 0 /11; c intermodulation and cross-modulation products, f 1 and f 2 are arbitrary signal frequencies

coefficients which essentially determine the amplitudes of specific combination frequencies and how they are allocated in a clear manner to the multiples of the signal and oscillator frequencies. The frequency spectrum according to Eq. 13.15 is shown in Fig. 13.6a for the case f 0  f s . It can be seen that a number of side frequencies with spacing m f s are associated with each harmonic n f 0 of the oscillator frequency f 0 .

1250

N. Pohl

The input signal itself generally comprises the heterodyning of different spectral components, from which combination frequencies according to the frequency pyramid shown in Fig. 13.5 are similarly produced as a result of non-linearities. This signal-distortion process is referred to as intermodulation. Depending on the influence of the quadratic component (∝ C2 ) or the cubic component (∝ C3 ) etc. of the characteristic, 2nd order or 3rd order etc. intermodulation products are produced. This is shown in Fig. 13.6c for a signal with both spectral components at f 1 and f 2 . The 2nd order intermodulation products at f 1 + f 2 and at f 2 − f 1 are less than the amplitude of the useful signals at f 1 and f 2 by the intermodulation amplitude ratio a K 2 , and the 3rd order products at 2 f 1 − f 2 and 2 f 2 − f 1 by the intermodulation amplitude ratio a K 3 . In the case of 2nd order products, the amplitude increases quadratically with the useful signal, while the increase is cubic in the case of the 3rd order products. The level at which the intermodulation products have the same value as the useful signal in the extrapolation of their increase is in each case referred to as the intercept point. The formation of new combination frequencies from the frequencies of different signals is referred to as cross-modulation. This is the case, for example, in the modulation of the carrier at f 3 by the difference frequency f 2 − f 1 (Fig. 13.6c). The behavior of the different mixers in the case of small signals is examined below. Section 13.3.1 shows that the small-signal analysis covers only the combination frequencies resulting from the general spectrum for the special case m = 1. These frequencies are referred to below as mixed frequencies: f I F = |± f s ± n f 0 |

(13.17)

Distortion-free transmission of the modulation of f s onto f I F is possible only with these mixed frequencies. They are shown in Fig. 13.5 in the fields with thicker borders. The frequency spectrum according to Eq. 13.16 is shown in Fig. 13.6b for the case f 0  f s . It can be seen that only two mixed frequencies with the spacing f s are to be taken into account for each harmonic n f 0 of the oscillator frequency f 0 . The small-signal theoretical treatment of nonlinear equations in the time domain leads to linear equations with periodically time-dependent coefficients for currents and voltages of the mixed frequencies. Through the transition to complex calculation by means of the complex Fourier transform, a linear equation system is obtained with temporally constant coefficients which links the complex current and voltage amplitudes of the mixed frequencies with one another. Diodes (see Sects. 13.4.1 and 13.4.2) and transistors (see Sects. 13.4.3 and 13.4.4) are mainly used as nonlinear elements for mixing of radio frequency signals. A distinction is made between additive and multiplicative mixing according to the mode of operation of the different mixers. In additive mixing, the sum of the signal and oscillator voltages is fed to the input gate of a transistor and the non-linearity of the control characteristic is used for mixing. In multiplicative mixing, the signal and oscillator voltages are fed to two different input gates of the mixer, whereby the latter’s transmission factor is controlled by the oscillator voltage at the signal

13 Mixing and Frequency Multiplication

1251

frequency. This can be done e.g. by means of a dual-gate FET or by means of electronically controllable attenuators—e.g. a PIN-diode attenuator or a ring mixer. If nonlinear resistances or nonlinear reactances are used for frequency conversion, general relationships for the powers fed to the element at the different combination frequencies or taken from it can therefore be specified.

13.3.1 Small-Signal Theory of Mixing To describe the behavior of nonlinear systems in relation to small signals, a calculation method can be used which is referred to as the small-disturbance theory or also as the small-signal theory. The following designations are used for the mixing circuit shown in Fig. 13.7. ω0 local oscillator frequency v0 = v0 (ω0 ) local oscillator voltage 2π ωs signal frequency vs = vs (ωs ) signal voltage fs = 2π ωi f intermediate frequency vi f = vi f (ωi f ) intermediate frequency voltage fi f = 2π f0 =

(13.18) (13.19) (13.20)

the nonlinear conductance (e.g. implemented by a diode, transistor or varistor) is assumed to be described by a clear (i.e. hysteresis-free) nonlinear function i = F(v)

(13.21)

The remainder of the circuit is intended to contain linear and temporally constant elements only.

i = F(v)

i

v

vS

~

Linear time-invariant network

v0 ~

Fig. 13.7 Sketch of a mixer based on a nonlinear admittance

v if

1252

N. Pohl

If a voltage containing spectral components at the frequencies f 0 and f s is present on the conductance, the current, in the general case of a nonlinear characteristic according to Eq. (13.21) will contain the combination frequencies f k shown in Figs. 13.5 and 13.6a: (13.22) fk = | ± m fs ± n f0 | Any voltage drop at external circuitry, caused by the current, additionally impacts the nonlinear conductance and contributes to the mixing, so that, in the general case, voltage and current contain all combination frequencies f k . Therefore, it is in the general case not possible to know the controlling voltage a priori. In the following, we will assume that the voltage v is known with sufficient accuracy. If the amplitude of the oscillator voltage V0 is high compared with that of the signal voltage Vs , the following small-signal theory can be applied. The voltage on the nonlinear conductance is separated into a small-signal voltage Δv ≈ vs and a large-signal voltage vg0 which is temporally variable with f 0 and may also contain a DC voltage component Vv : vg0 = Vv + v0

(13.23)

The current function i = F(v) is now developed into a Taylor series considering the small-signal voltage Δv: i = F(Vv + Δv) ∞

1 (k) = F (vg0 ) · Δv k k!

(13.24) (13.25)

k=0

= F(vg0 ) + F (vg0 ) · Δv +

1 1 F (vg0 ) · Δv 2 + F (vg0 ) · Δv 3 + · · · 2 6

The kth derivation was written in abbreviated form as d k F(v) (k) F (vg0 ) = dv 2 v=v0

(13.26)

(13.27)

The first term of the Taylor series, Eq. (13.24), is a function of the large-signal voltage vg0 and therefore only a function of the DC voltage and local oscillator voltage. It contains a direct-current component and components of the local oscillator frequency and its harmonics and is therefore irrelevant to the mixing process. Under the assumption |Δv|max < Vg0 , the Taylor series can be truncated after the second term and the system can be regarded as quasi-linear [1–3]. The behavior of the conductance in relation to small signals can then be described by the linear small-signal equation Δi = F (vg0 )Δv

(13.28)

13 Mixing and Frequency Multiplication

1253 t)

G(

i

v

vS

~

Linear time-invariant network

v if

Fig. 13.8 Small-signal representation of a mixer (cf. Fig. 13.7) based on a linear time-variant admittance

where Δi denotes the part of the current i which is proportional to the small-signal voltage Δv in Eq. (13.24). In Eq. (13.24), the coefficient F (vg0 ) is a function of the local oscillator voltage and is therefore periodically time-dependent at the local oscillator frequency f 0 . It can be understood according to its dimension and function as a time-dependent conductance G(ω0 t). It can be developed into a Fourier series containing a constant term and terms of the oscillator frequency and its harmonics: G(ω0 t) ≡ F (vg0 ) = F (Vv + v0 ) =



G λ e jλω0 t

(13.29)

λ=−∞

The individual coefficients of this series can be calculated from the Fourier integral 1 Gλ = 2π

π

G 0 (ω0 t)e− jλω0 t d(ω0 t)

(13.30)

−π

Since the function G 0 (ω0 t) is a real time function, the relationship G −λ = G ∗λ

(13.31)

exists between the coefficients. The asterisk ∗ denotes complex-conjugate parameters. The small-signal equation (13.28) can therefore also be written as: Δi = G(ω0 t)Δv

(13.32)

The small-signal equivalent circuit of the mixer shown in Fig. 13.8. Compared with Fig. 13.7, the voltage and current of the local oscillator frequency and its harmonics are no longer included in Fig. 13.8. The nonlinear conductance is therefore to be regarded as a time-dependent linear conductance whose variation with time is controlled by the large-signal voltage. In the small-signal representation, the time-dependence is taken into account by the coefficients G λ .

1254

N. Pohl

A linear network containing time-dependent elements is referred to in the literature as a time-variable linear network. If the time dependence of the elements is periodic, new discrete frequencies are generated in a network of this type. Unlike common linear networks with time-invariant constant elements, the input and output frequencies may differ accordingly in a time-variable network. Unlike general nonlinear networks, the superposition theory applies in a timevariable linear network. The behavior of the network in relation to modulated signals of a certain bandwidth can therefore be derived from the behavior in relation to unmodulated signals at frequencies ωs , ωi f , so that the following investigations can be restricted to dedicated frequencies. The small-signal voltage contains a spectral component at the signal frequency f s . According to Eq. (13.32), the combination frequencies of the small-signal spectrum fi f = | ± fs ± n f0 |

(13.33)

are generated on the conductance which is periodically time-dependent at f 0 . Where m = 1, this spectrum represents the special case where a large signal (the local oscillator) causes a frequency translation of the small signal (signal and intermediate frequencies), while the small-signal frequencies are too low in power to initiate a nonlinear mixing process. The spectrum is shown in Fig. 13.6b. The small-signal voltage can therefore be written as: Δv =

1 ∞



Vm,n e j (mωs +nω0 )t

(13.34)

m=−1 n=−∞ ∗ For the Fourier coefficients of this real function, V−m,−n = Vm,n, holds. The small-signal current can be determined based on the Fourier coefficients according to:  

∞ ∞



1

G λ e jλω0 t · Vm,n e j (mωs +nω0 )t Δi = (13.35) 2 λ=−∞ m=−1,1 n=−∞

It is common to write the equation in matrix form based on the phasors, with the voltage vector consisting of the phasors Vk representing the voltage component at frequency ωk = ωs + kω0 .

13 Mixing and Frequency Multiplication

⎞ .. . ⎟ ⎜ ⎜ V−2 ⎟ ⎟ ⎜ ⎜ V−1 ⎟ ⎟ ⎜ ⎜ V0 ⎟ ⎟ ⎜ V=⎜ ⎟ ⎜ V1 ⎟ ⎜ V2 ⎟ ⎟ ⎜ ⎜ V3 ⎟ ⎠ ⎝ .. .

1255



← ωs − 2ω0 ← ωs − ω0 ← ωs ← ω0 + ωs ← 2ω0 + ωs ← 3ω0 + ωs

(13.36)

A positive index denotes the upper sideband, while the negative index denotes the lower sideband of the harmonic frequency corresponding to the index value which allows, together with the conversion matrix Gconv containing the Fourier coefficients of the conductance, G λ , to determine the phasors of the current: ⎞ ⎛ .. .. . . ⎟ ⎜ ⎟ ⎜ G G G G 0 −1 −2 −3 G −4 G −5 ⎟ ⎜ ⎟ ⎜ G 1 G 0 G −1 G −2 G −3 G −4 ⎟ ⎜ ⎟ ⎜ G 2 G 1 G 0 G −1 G −2 G −3 ⎟ (13.37) Gconv = ⎜ ⎟ ⎜ G 3 G 2 G 1 G 0 G −1 G −2 ⎟ ⎜ ⎟ ⎜ G 4 G 3 G 2 G 1 G 0 G −1 ⎟ ⎜ ⎟ ⎜ G5 G4 G3 G2 G1 G0 ⎠ ⎝ .. .. . . I = Gconv · V

(13.38)

with ⎞ .. . ⎟ ⎜ ⎜ I−2 ⎟ ⎟ ⎜ ⎜ I−1 ⎟ ⎟ ⎜ ⎜ I0 ⎟ ⎟ ⎜ I=⎜ ⎟ ⎜ I1 ⎟ ⎜ I2 ⎟ ⎟ ⎜ ⎜ I3 ⎟ ⎠ ⎝ .. . ⎛

← ωs − 2ω0 ← ωs − ω0 ← ωs ← ω0 + ωs ← 2ω0 + ωs ← 3ω0 + ωs

(13.39)

The conversion matrix allows for a direct analysis of how the small-signal voltages at different input frequencies are mixed to another frequency, and will therefore be used in subsequent sections. It is also usual to reduce the size of the conversion matrix to match the voltage vector size, as often only selected frequencies are relevant. Conversion matrices can be derived in a similar manner for resistances, or for admittances and impedances in general.

1256

N. Pohl

13.3.2 Upconversion, Downconversion, Common Position, Inverted Position, Image Frequency If we use a mixer to convert a signal frequency f s into an intermediate frequency f i f by means of a local oscillator frequency f 0 , we obtain: fi f = fs + n f0 > fs

upconversion

(13.40)

fi f = fs − n f0 < fs

downconversion

(13.41)

In addition, we observe that a signal f s + Δf is converted to f i f + Δf in these cases. In contrast, the signal f s + Δf will be converted to f i f − Δf in the following cases: fi f = − fs + n f0 > fs ,

upconversion

(13.42)

fi f = − fs − n f0 < fs

downconversion

(13.43)

If the signal frequency is increased, the intermediate frequency is similarly increased according to the first two frequency relationships, whereas it is reduced according to the second two frequency relationships. The first two cases are summarized by the term common position (normal position) of the frequencies. The second two cases are referred to as the inverted position of the frequencies. A mixer in which an intermediate frequency is generated which is higher than the signal frequency is referred to as an upconverter, whereas a mixer in which an intermediate frequency is generated which is lower than the signal frequency is referred to as a downconverter. To summarize, it can be stated that the mixer can be described by a linear relationship between the signal voltage and the intermediate frequency voltage, provided that the signal voltage remains substantially lower than the oscillator voltage, so that the small-signal theory applies. Under these preconditions, it transmits a modulated signal without nonlinear distortions from the signal frequency onto the intermediate frequency. The common position and inverted position differ in terms of the transmission of the sidebands of a modulation. In the case of the common-position mixer, the sidebands of a modulation contained in the input signal appear in the intermediate frequency signal in the common position, in the case of the inverted-position mixer, they appear in the transposed position. The reciprocal position of the modulation spectra is shown for the two downconverters in Fig. 13.9. The signal frequency and intermediate frequency have each been shown with a sideband spectrum. The corresponding figures for the two upconverters are obtained by transposing the designations f s and f i f . If local oscillator frequency f 0 and intermediate frequency f i f are set, the corresponding signal frequency f s is not unambiguously defined. As discussed earlier, the relation between the three frequencies, under small-signal conditions, is given by: (13.44) fi f = | ± fs ± n f0 |

13 Mixing and Frequency Multiplication

1257

a Amplitude

b

0

fif

0

fif

nf0

fs

f

Amplitude

fs

nf0

f

Fig. 13.9 Sketch of signal, local oscillator, and intermediate frequency spectra. a down-conversion of f s to f i f in normal position, b down-conversion in inverted position

Which means that in the general case, an infinite number of signal frequencies are translated to f i f , where the signals are superimposed and it is not possible to separate them anymore. In order to obtain an unambiguous relation between a certain signal frequency and a certain intermediate frequency, one has to take care that all other frequencies that possibly would be translated to f i f are not taking part in the mixing process, e.g. by bandpass filtering the signal input. An example is shown in Fig. 13.9a,b, where the upper sideband with respect to the local oscillator is downconverted to exactly the same intermediate frequency as the lower sideband. In the general case, only one of the frequency bands would be considered the signal frequency, while the other one is called the respective image frequency.

13.4 Realization of Mixers 13.4.1 Mixing with Semiconductor Diodes as Nonlinear Resistors Semiconductor diodes are mainly used as a mixing element in telecommunications devices, particularly at frequencies above 1 GHz. Diodes with a metal semiconductor barrier layer (Schottky barrier diodes; point contact diodes, see Chap. 7) are mainly used in the mixing stages of receivers as nonlinear resistors. These diodes are superior

1258

N. Pohl Cs(u) (1...20 Gd(u)

)

(0.2...5 nH)

RB

LS

Cd(u)

CG (0.1...0.5 pF)

Fig. 13.10 Small-signal equivalent-circuit of a diode

a

Gd(u)

b

CS(u)

RB

Fig. 13.11 Simplified small-signal equivalent circuit of a diode a forward-bias b reverse-bias region at lower frequencies

in terms of switching behavior to pn-barrier layer diodes due to less charge carrier storage. The latter are used primarily in reactance amplifiers, frequency multipliers and upconverters, in which the nonlinearity of the barrier layer capacitance is used for frequency conversion.

13.4.1.1

Small-Signal Equivalent Circuit of the Semiconductor Diodes

The simplified small-signal equivalent circuit of a diode is shown in Fig. 13.10. Under forward bias, the behavior of the diode is essentially determined by the diffusion admittance, which is formed from the diffusion conductance G d (v) and the diffusion capacitance Cd (v). Under reverse bias condition, the diffusion admittance is very much less than the conductance formed by the barrier-layer capacitance Cs (v). The voltage drop due to the resistance of the semiconductor access regions and metalto-semiconductor contacts are taken into account by the series resistance R B . The lead inductance L s and housing capacitance C G shown in the equivalent circuit in Fig. 13.10 are not examined in the further discussion, since their quantities can be kept small by means of a suitable diode housing or monolithic integration, and their influence at specific frequencies can always be compensated by other lossless elements. They therefore have no influence on the optimum operating characteristics of a mixer, but may hinder the implementation of these operating characteristics. The equivalent circuit of the diode used below under forward bias as a nonlinear conductance is shown in Fig. 13.11a. The capacitance connected in parallel with the diffusion conductance can be ignored if f  G d /Cd applies to the operating frequencies. If the diode is operated under reverse bias as a nonlinear capacitor,

13 Mixing and Frequency Multiplication

1259

the equivalent circuit shown in Fig. 13.11b is used. The conductance G d (v) at high frequencies is short-circuited here by the barrier-layer capacitance Cs (v). In order to determine the noise behavior of a mixing stage, one has to take into account the shot noise of the oscillator current flowing through the diode according to the equivalent circuit shown in Fig. 13.11a, and also the thermal noise due to the series resistance R B , shown in Fig. 13.11b. Further significant noise contributions are made by the amplitude noise and phase noise of the oscillator and the flicker noise of the diode. However, their influence can largely be reduced by means of a suitable oscillator or by means of a suitable selection of the intermediate frequency [4].

13.4.1.2

Mixing with a Series Circuit of the Control Voltages

The basic circuit diagram of a diode mixer in a series circuit is shown in Fig. 13.12. The diode is connected in series with three parallel resonance circuits tuned to the signal frequency f s , the local oscillator frequency f 0 and the intermediate frequency f i f , and with a DC voltage source. For simplification, it is assumed that the parallel resonance circuits are lossless and can be regarded as ideal short circuits for frequencies which are sufficiently different from their resonance frequencies. The capacitor Cv serves to bypass the DC voltage source and is regarded as a short circuit for the high frequency. Under these preconditions, only the voltage of the resonance frequency concerned is observed at each of the three resonance circuits, while a pure DC voltage is applied to the capacitor Cv . Adding up the voltages as indicated in the figure, we get: vd = −Vv + v0 + vs + vi f

(13.45)

id vd

~

Gs

fs

vs

vif

v0

isk vv

fif

Gif

f0 G0

Cv

~

i0k

Fig. 13.12 Circuit principle of a diode mixer, with series connected ports for local oscillator, signal and intermediate frequency

1260

N. Pohl

The voltages vs and vi f are small-signal voltages: Δv = vs + vi f

(13.46)

The large-signal voltage vd0 is made up of Vv and v0 : vd0 = −Vv + v0 = −Vv + V0 cos(ω0 t)

(13.47)

The diode is assumed to be characterized by a nonlinear relationship between the current and voltage: i d = F(vd )

(13.48)

The behavior of the diode in relation to small signals can be described by the small-signal equation Δi = G(ω0 t) · (vs + vi f ) The time-dependent conductance G(ω0 t) is defined by: d F(vd ) G(ω0 t) = dvd vd =vd0

(13.49)

(13.50)

with G(ω0 t) =



G λ e jλω0 t

(13.51)

λ=−∞

and 1 Gλ = 2π

π

G 0 (ω0 t)e− jλω0 t d(ω0 t)

(13.52)

−π

Since the function G 0 (ω0 t) is a real time function, the relationship G −λ = G ∗λ

(13.53)

holds. The corresponding small-signal equivalent circuit of the mixer circuit is shown in Fig. 13.13. Under small-signal conditions, and assuming that only a single local oscillator frequency n f 0 exists, the conversion matrix can be written for a mixer translating the frequency in common position, with ωs = ωi f − nω0 , ωi f = ωs + nω0 :

13 Mixing and Frequency Multiplication



Is Ii f



1261

 =

G 0 G ∗n Gn G0

   Vs · Vi f

(13.54)

The relation between the three frequencies is sketched in Figure 13.9a. In case of a mixer translating the frequencies in inverse position, sketched in Figure 13.9b, the conversion equation reads:   ∗  ∗  Vi f Ii f G0 Gn = · (13.55) G ∗n G 0 Is Vs 13.4.1.3

Diode Mixer Gain

The operating characteristics of the mixer can be calculated from the conversion equations in matrix notation as defined in the previous section. The discussion will be restricted here to an indication of the operating power gain and the noise factor of the mixer in the mid-band only. The calculation will be performed only for the mixer in the common position, but the results also apply to the mixer in the inverted position. The operating power gain G B of the mixing circuit is defined as the ratio of the effective power Pi f =

1 G i f |Vi f |2 2

(13.56)

delivered to the load conductance G i f to the maximum deliverable effective power of the signal source Ps,av =

1 |Isk |2 8 Gs

(13.57)

as follows:

G(

f)

i

v GS

~

fS

vs

isk

Fig. 13.13 Small-signal equivalent circuit of a diode mixer

vif

fif

Gif

1262

N. Pohl

Iif

IS

GS ~

fS

VS

G0

G0 ~

~

lsk

fif

Gif

Vif

G0vs

G*nvif

Fig. 13.14 Small-signal equivalent circuit of a diode mixer in common position

Pi f GB = = 4G s G i f Ps,av

Vi f I sk

2

(13.58)

Since the parallel resonance circuits are tuned to the corresponding frequencies, the following relationships apply to the circuit outside the mixing four-terminal network with the counting arrows indicated in Fig. 13.14: Is = Isk − G s Vs Ii f = −G i f Vi f

(13.59) (13.60)

If these relationships are formulated in terms of a conversion matrix, the following equation system is obtained:       Gn Isk (G s + G 0 ) Vs (13.61) = · 0 G ∗n (G i f + G 0 ) Vi f and therefore 4G s G i f |G n |2 GB =  2 (G s + G 0 )(G i f + G 0 ) − |G n |2

(13.62)

If the load conductance G i f becomes equal to the internal conductance on the output side of the circuit in Fig. 13.14 G i f = G i f,i = G 0 −

|G n |2 Gs + G0

(13.63)

the available power amplification is given by G av =

G s |G n |2  (G s + G 0 ) G 0 (G s + G 0 ) − |G n |2 

(13.64)

13 Mixing and Frequency Multiplication

1263

The mixing loss L is determined from the reciprocal of the available power gain: L = 1/G av

(13.65)

According to Eq. (13.64), the mixing loss is independent from the load conductance G i f . With additional matching at the input, the matching conditions G 2s = G i2f = G 0 − |G n |2

(13.66)

provide the maximum available power gain [5, 6]

G max =

1 L min

 G 0 − G 20 − |G n |2 |G n |2  = 2 =  G + G 20 − |G n |2 2 2 0 G 0 + G 0 − |G n |

(13.67)

The matching conditions (13.66) provide practicable values only if the stability conditions are fulfilled for the mixer. According to [2] (pp. 406–415), they can be formulated as follows: 1. G 0 ≥ 0 2. G 20 − |G n |2 ≥ 0

(13.68) (13.69)

If these conditions are fulfilled, the mixer is stable at any given passive terminal conductances at the signal frequency and intermediate frequency. If these conditions are not fulfilled, the mixer is potentially unstable, i.e. it oscillates for specific areas of the terminal conductances at the signal frequency and intermediate frequency. The stability conditions are always fulfilled if the slope of the current-voltage characteristics of the nonlinear mixing resistance, i d = F(Vd ) is positive within the control range, and the operating power gain is then always less than 1. This is the case with usual mixing diodes.

13.4.1.4

Mixer Noise Factor

The spot noise factor F of the mixer is determined according to as F =1+

Ni f,av G av kT0 Δf

(13.70)

where Ni f,av is the available noise power at the output of the mixer with a signal source assumed to be noiseless,

1264

N. Pohl

G av is the available power amplification, kT0 Δf is the available noise power of the signal source. The shot noise of the diode is described by a noise current source in parallel to the diode admittance: Si (ω) = 2q Id

(13.71)

= 4kTd ngd

(13.72)

where gd denotes the diode’s small-signal conductance gd = d Id /d Vg = Id q/(nkTd ) The factor n takes account of the different temperature voltages of various diode types. It becomes n ≥ 0.5 for Schottky barrier diodes and n ≈ 1 for point contact diodes. TD is the temperature of the diode barrier layer. The differential conductance gd of the diode is linear periodically time-dependent. The equivalent circuit shown in Fig. 13.15 is used to calculate the noise factor of the mixer. The current sources connected in parallel at the input and output with the spectral densities Sss (ω) and Sii (ω) take account of the noise components in the range of the signal frequency f s and the intermediate frequency f i f . These spectral densities are obtained from the mean value over a cycle of the oscillator frequency T0 = 2π/ω0 with the spectral density [7]: Sss (ω) = Sii (ω) = 4kTd nG 0

(13.73)

The two noise sources are correlated with one another as a result of the time dependence of the differential conductance. By analogy with the conversion equations, the following is obtained for the cross-spectral densities Ssi (ω) and Sis∗ (ω) when the frequencies are in the common position: Ssi (ω) = Sis∗ (ω) = 4kTd nG n

(13.74)

IS

Iif

GS

G0 VS

G0 ~ Gn∗Vif

S( ) = 4kI0GS

SSS( )

Uif

~ GnVS Sii( )

Fig. 13.15 Noise equivalent circuit of a mixer in common configuration

Gif

13 Mixing and Frequency Multiplication

1265

The noise factor is independent from the load conductance G i f of the mixer. G i f can therefore be replaced with a short circuit. The spectral density of the noise current flowing through this short circuit is determined in the mid-band as shown in Fig. 13.15 at [8] G n 2 G ∗n Gn − Ssi (ω) − Sis (ω) + Sii (ω) Gs + G0 Gs + G0 Gs + G0 (13.75) The noise power available in a frequency range Δf is: Sik (ω) = Sss (ω)

Ni f,av =

Sik (ω)Δf 4G i f,i

(13.76)

with G i f,i according to Eq. (13.63). The frequency range Δ f is intended to be selected as so small that the resonance condition for the parallel resonance circuits at the input and output of the mixer is always fulfilled. Insertion into Eq. (13.70) provides the spectral noise factor of the mixer in the mid-band: F =1+n

Td T0

   (G s + G 0 )2 G 0 Gs + G0 1+ − 2 |G n |2 Gs Gn

(13.77)

Inserting the definition of the available gain, Eq. (13.64) into the equation yields   1 Td F =1+n −1 (13.78) T0 G av The minimum noise factor is achieved at maximum available gain:   1 Td −1 Fmin = 1 + n T0 G max

(13.79)

With n = 0.5 for an ideal Shottky diode, and assuming that the diode is not heating up during operation, so that Td ≈ T0 holds, we get:   1+L 1 1 = 1+ F≈ (13.80) 2 G max 2 To derive the power amplification and the noise factor of the mixer, it has been assumed that all unwanted frequencies are short-circuited by the resonance circuits. Under real-world conditions, other frequencies would have to be considered as well, such as harmonics of the local oscillator signal and signals at frequencies that would also be translated to the intermediate frequency, especially the image frequency of the intended signal.

1266

N. Pohl

Fig. 13.16 Piece-wise linear approximation of a the diode I-V characteristics

id

id = Gdvd

id = 0 vd

13.4.1.5

Single-Diode Mixer

If the local oscillator voltage amplitude is reasonably high, it is possible to approximate the diode characteristics through a piece-wise linear function, as shown in Fig. 13.16: id = 0

for vd < 0

(13.81)

i d = G d vd

for vd ≥ 0

(13.82)

with G d being the constant forward conductance of the diode, which is commonly dominated by access and contact resistances. For a local oscillator voltage given by vd0 = −Vv + v0 = −Vv + V0 cos(ω0 t)

(13.83)

switching between on- and off-state of the diode occurs at the current conduction angle Θ: vd0 = 0 → Vv = V0 cos θ Vv cos θ = V0

(13.84) (13.85)

The time-dependent conduction thereby becomes: G d (ωt ) = 0 G d (ωt ) = G d

for − π ≤ ω0 t < −Θ and for Θ < ω0 t ≤ −π for − Θ ≤ ω0 t ≤ Θ

(13.86) (13.87)

This is a periodic square-wave pulse with the keying ratio Θ/π . The Fourier coefficients of the conductance are given by: Gn =

sin nΘ Gd nπ

(13.88)

13 Mixing and Frequency Multiplication

For n = 0 we obtain G0 =

1267

Θ Gd . π

(13.89)

The higher Fourier coefficients pass through several zero values and extreme values, depending on the current flow angle. A specially important conduction angle is Θ = π/2, i.e. if the keying ratio equals 0.5 and the pulse duration equals the half of the full period. For this case, we obtain: G n |Θ=π/2 =

sin(nπ/2) Gd nπ

(13.90)

so that G n = 0 for all even harmonics n holds. Due to |G n | sin nΘ ≤1 = G0 nΘ

(13.91)

and G 0 ≥ 0, the stability conditions according to Eq. (13.68) are fulfilled. The matching condition according to Eq. (13.66) can be formulated as follows: G i2f 1 G 2s = = 2 2 2 π Gd Gd



sin2 nΘ Θ − n2 2

 (13.92)

If the conductance ratio G s /G d is assumed to be given, this is a transcendent conditional equation for determining the current flow angle Θa at which the maximum power gain is achieved. The power gain associated with this current flow angle is calculated from Eq. (13.67). The current flow angle Θa and the power gain under matched condition are plotted as a function the conductance ratio G s /G d in Fig. 13.17 for the case of mixing with the fundamental frequency of the local oscillator. For a small mixing loss, the minimum diode resistance (this is essentially the path resistance R B ) must be low compared with the internal resistance of the signal source. At the same time, as shown in Fig. 13.17, the diode must enable a narrow current flow angle. The operating behavior of the mixing stage is therefore limited by both the path resistance of the diode and its switching behavior. The DC voltage source shown in Fig.13.12 is appropriately replaced with an RC element and the bias voltage is generated from the oscillator voltage V0 . The DC voltage Vv and therefore the current flow angle can then be controlled by the resistance value. If the diode is operated without a bias voltage, the matching condition G s = G i f = 0.385G d or Rs = Ri f = 2.6Rd is obtained for the fundamental wave mixing, where Θ = π/2. The operating power gain is calculated as G max = 0.13, which corresponds to a mixing loss of 8.85 dB. Since the forward current of semiconductor diodes begins only with a forward voltage of several tenths of a volt, a current flow angle which is less than π/2 is obtained even without a bias voltage. For a given signal conductance and load conductance, this produces an optimum oscillator voltage at

1268

N. Pohl 1.00

qa/p ;Gmax

0.75

qa/p 0.50

0.25 Gmax

0

0.25

0.75

0.50

1.00

Gs/Gd

Fig. 13.17 Current conductance ratio Θa /π and power gain G max as a function of the conductance ratio G s /G d , for a matched mixer using the fundamental frequency of the local oscillator 7.5

0.75

Gavail 5.0

F

Gavail

0.50

2.5

0.25 F

0 0.1 0.3

0.5 0.6 0.7 0.8 VV /VD

0.9

0 1.0

Fig. 13.18 Available power gain and noise factor of a diode mixer at the conductance ratio G s /G d = 0.1 as a function of the ratio of the diode voltages Vv /V0

13 Mixing and Frequency Multiplication

1269

which the power gain reaches a maximum. For the conductance ratio G s /G d = 0.1, the available power gain and the noise factor according to Eq. (13.80) over the voltage ratio Vv /V0 = cos Θ are shown in Fig. 13.18.

13.4.1.6

Push-Pull Mixer and Bridge Mixer (Ring Modulator)

A disadvantage of the simple mixing circuit shown in Fig. 13.12 is the coupling of the three resonance circuits. This disadvantage can be avoided using push-pull or bridge arrangements. The circuit of a push-pull mixer is shown in Fig. 13.19. If the circuit has a symmetrical structure, the input and output are free from oscillator voltage. The two diodes are controlled by the oscillator voltage in common mode. For small signals,

ls

lz

isk

vz

v0

Gs

Gz

fs

vs

fz

f0

i0k

Fig. 13.19 Circuit schematic of a push-pull mixer D4

D1 Gs vs isk

fif

fs D2

D3

f0

i0k

Fig. 13.20 Circuit schematic of a bridge mixer or ring modulator

vif

Gif

1270

N. Pohl i1 G1(w0t ) Gs isk

i2

G4(w0t )

Gif

vif

vs

fif

fs G2(w0t )

G3(w0t )

Fig. 13.21 Small-signal circuit schematic of a bridge mixer or ring modulator

the diodes controlled by the oscillator voltage can be replaced with two identical time-dependent conductances which can be combined into a single conductance. The push-pull mixer can then be treated in the same way as the single-ended mixer. Figure 13.20 shows the circuit of a ring modulator. If the circuit has a symmetrical structure, all three inputs of the mixer are decoupled from each other. For small signals, the diodes can be replaced with time-dependent conductances to obtain the small-signal equivalent circuit shown in Fig. 13.21. The diodes D1 and D3 , seen from the oscillator circuit, are polarized in opposition to the diodes D2 and D4 , so that the characteristics of the diodes D1 and D3 are controlled in phase opposition to the characteristics of the diodes D2 and D4 . In order to be able to formulate this difference mathematically, it is assumed that the time-dependent conductance of the diode D1 is given in the following form: G 1 (ω0 t) = G 0 [1 + f (ω0 t)].

(13.93)

The conductance G 0 is assumed to be the mean time value of G 1 (ω0 t), and so f (ω0 t) is a time function with a mean time value of zero. If the four diodes are identical to one another, the time dependence of the conductances, taking account of the phase of the control, can be formulated as follows: G 1 (ω0 t) = G 3 (ω0 t) = G 0 [1 + f (ω0 t)] G 2 (ω0 t) = G 4 (ω0 t) = G 0 [1 − f (ω0 t)].

(13.94) (13.95)

The following four-terminal network equations can be derived for the small-signal equivalent circuit shown in Fig. 13.21 1 1 (G 1 + G 2 )vs + (G 1 − G 2 )vi f 2 2 1 1 i 2 = (G 1 − G 2 )vs + (G 1 + G 2 )vi f 2 2 i1 =

substituting Eq. (13.94), the following are obtained:

(13.96) (13.97)

13 Mixing and Frequency Multiplication

1271

i 1 = G 0 vs + f (ω0 t)G 0 vi f

(13.98)

i 2 = f (ω0 t)G 0 vs + G 0 vi f

(13.99)

Since f (ω0 t) is a time function with a mean time value of zero, its Fourier coefficient F0 is zero. This indicates that the input current i 1 does not contain a component of the intermediate frequency and the output current i 2 does not contain a component of the signal frequency. Otherwise, the transmission behavior of the ring modulator can be calculated as for the single-diode mixer, considering that G n = Fn G 0

(13.100)

with Fn denoting the respective Fourier coefficient of the function f (ω0 t) in the nomenclature according to Eq. (13.98).

13.4.1.7

Double Push-Pull Mixer

An essential feature of a single-ended mixer and a push-pull or bridge mixer is that a low mixing loss can be achieved only with a narrow current flow angle and the generator internal conductance G s required for this purpose and the load conductance G i f are functions only of the diode parameter G d . For an ideal mixer without losses, G s and G i f must become equal to zero. In contrast to this, the current flow angle in the case of the double push-pull mixer is always Θ = π/2. It will be seen that the optimum generator internal conductance G s in the ideal double push-pull mixer is determined only by the load conductance G i f . The basic circuit diagram of a double push-pull mixer is shown in Fig. 13.22. Whereas a parallel resonance circuit is provided at the input as in Fig. 13.20, in contrast to this, a series resonance circuit is found on the intermediate frequency side. It is tuned to the intermediate frequency and is intended to act as an open circuit for all other frequencies which are sufficiently different from its resonance frequency. The parallel resonance circuit at the input tuned to the signal frequency is regarded accordingly as an ideal short circuit for other frequencies. The transformers T1 –T4 are used for the symmetrical feed of the oscillator voltage. They are not intended to have any other influence on the behavior of the mixing circuit. Similarly, a double push-pull mixer can also be operated with a parallel resonance circuit at the intermediate frequency side as in Fig. 13.20 and with a series resonance circuit at the signal frequency. If the diodes are expressed as time-dependent conductances G 1 (ω0 t) . . . G 4 (ω0 t) in small-signal condition, the mixer can be described by the following equations:       i i1 f (ω0 t) G 0 (1 − f 2 (ω0 t)) · if = (13.101) v1 − f (ω0 t) vs 1/G 0

1272

N. Pohl iif i1

T2

T1

Gs

fs

D4

D 3 T3

fif

T4 vif

Vs

D1

D2

isk

Rif

f0

i0k

Fig. 13.22 Circuit schematic of a double push-pull mixer i1

iif G1(w0t )

G4(w0t ) fif

Gs isk

vs

vif

fs G2(w0t )

Rif G3(w0t )

Fig. 13.23 Small-signal circuit schematic of double push-pull mixer

Its small-signal circuit schematic is given in Fig. 13.23. The diode characteristic is again approximated by a piece-wise linear function as shown in Fig. 13.16. The functions G 1 (ω0 t) . . . G 4 (ω0 t) are therefore square-wave functions with the keying ratio 1/2. The switchover function f (ω0 t) assumes the values + 1 and – 1, alternating periodically with the oscillator frequency. If the time zero point is selected so that f (ω0 t) is a straight-line function of time, the following is obtained: f (ω0 t) =

∞ 4 sin ((2n + 1)π/2)) · cos((2n + 1)ω0 t) π n=0 2n + 1

(13.102)

and f 2 (ω0 t) = 1

(13.103)

13 Mixing and Frequency Multiplication Rd

i1 Gs

fs

1273 f (w0t )

iif

Rd

vs

vif

fif

Rif

isk

Fig. 13.24 Circuit schematic of a double push-pull mixer, where the diode characteristics are approximated piece-wise linearly, and Rd = 1/G d

The double push-pull mixer can now be represented by a changeover switch alternating periodically with the oscillator frequency, as shown in Fig. 13.24 [9]. In the case of fundamental wave mixing and with the frequency in the common position, the following conversion equations are obtained:       I Is −2/π 0 · if = (13.104) Vi f Vs 2Rd 2/π with Rd = 1/G d . If the resonance circuits are tuned to their resonance frequency, the relationships for the circuit outside the mixing four-terminal network read: Is = Isk − G s Vs Ii f = −G i f Vi f

(13.105) (13.106)

which leads to 

Isk 0



 =

−2/π Gs Ri f + 2Rd 2/π

   I · if Vs

(13.107)

and the operating power gain 2 Ii f Pi f GB = = 4Ri f G s Ps,av Isk

(13.108)

reads: GB =  2

π

The available power gain

4Ri f G s +

π G (Ri f 2 s

+ 2Rd )

2

(13.109)

1274

N. Pohl

G av =

1 1 = 2 π L 1 + 2 G s Rd

(13.110)

is reached when the mixer is terminated by the resistance Ri f = Ri f,i

4 1 = 2 π Gs

  π2 1+ G s Rd 2

(13.111)

Figure 13.25 shows the available power gain as a function of the conductance ratio G s /G d = G s Rd . Compared with Fig. 13.17, the double push-pull mixer enables a lower mixing loss with the same conductance ratio. For an ideal mixer, Rd = 0 holds, and the power amplification according to Eq. (13.110) becomes unity. The internal conductance G s of the signal generator required for this purpose is then determined according to Eq. (13.111) only by the load resistance Ri f . The double push-pull mixer described differs in its operating behavior from the ring modulator discussed in the previous section in respect of the wiring of the mixer (series circuit at the output) at the combination frequencies which differ from the signal frequency and intermediate frequency. If these special wiring conditions are not fulfilled, the operating behavior of the double push-pull mixer changes to that of the ring modulator or single-ended mixer.

Fig. 13.25 Available power gain of a double push-push mixer as a function of the conductance ratio G s /G d , when mixing with the fundamental frequency of the local oscillator

1.00

0.75

Gavail

0.50

0.25

0

0.25

0.50 Gs/Gd

0.75

1.00

13 Mixing and Frequency Multiplication

1275

13.4.2 Mixing with Semiconductor Diodes as Nonlinear Capacitors 13.4.2.1

Manley and Rowe Power Relationships

If a nonlinear capacitor is excited at the independent frequencies f s and f 0 in an arrangement as shown in Fig. 13.26, the voltage and current of the capacitor contain spectral components at the combination frequencies f k = |±m f s ± n f 0 | where m, n ∈ N

(13.112)

At the capacitance, v, i and q describe the voltage, current and diode charge functions in time domain. The corresponding Fourier coefficients are denoted by Vm,n , Im,n , and Q m,n for the frequency ωm,n , respectively. Since all three are real ∗ ∗ , I−m,−n = Im,n , and Q −m,−n = Q ∗m,n holds. functions, V−m,−n = Vm,n The nonlinear charge depends on the capacitor voltage according to a function F(): q = F(v) (13.113) and the capacitor current reads: dq in time domain dt = jωm,n Q m,n in frequency domain

i= Im,n

(13.114) (13.115)

The real power at the capacitance at a certain frequency is given by  1   1  ∗ ∗ Re Im,n · Vm,n · Re jωm,n Q m,n · Vm,n 2 2 = X m,n · h · (m f s + n f 0 )

Pm,n =

(13.116) (13.117)

With a quantum number X m,n and the Planck constant h. For a lossless capacitance, the total real power equals zero:

Fig. 13.26 Circuit including a nonlinear capacitance v0 C(v) vs

1276

N. Pohl

1 0 = P = lim T →∞ T ∞

=



T /2



i · v dt =



Pm,n

(13.118)

m=−∞ n=−∞

−T /2

X m,n · h · (m f s + n f 0 )

(13.119)

m=−∞ n=−∞

which leads to the condition: ∞



m X m,n +

m=−∞ n=−∞

∞ ∞ f0

n X m,n = 0 f s m=−∞ n=−∞

(13.120)

Since m, n are natural numbers, while f 0 / f s is in general a irrational number, the two terms need to satisfy the condition

0= 0=





m=−∞ n=−∞ ∞ ∞



m X m,n

(13.121)

n X m,n

(13.122)

m=−∞ n=−∞

Substituting X m,n from Eq. (13.117) yields the Manley-Rowe relations for nonlinear reactances [21]: 0= 0=

13.4.2.2









m Pm,n m fs + n f0 m=−∞ n=−∞ n Pm,n m fs + n f0 m=−∞ n=−∞

(13.123)

(13.124)

Reactive Mixing in Common Position

We assume that only three frequencies are present: f 1 , f 2 , and f 3 = f 1 + f 2 , with the respective powers P1 , P2 and P3 . All other frequencies can be ignored due to appropriate filters in the circuit. For these three frequencies, the Manley-Rowe conditions simplify to:

13 Mixing and Frequency Multiplication

0= 0=









1277

m Pm,n P1 P3 = + m fs + n f0 f1 f3 m=−∞ n=−∞ n Pm,n P2 P3 = + m f + n f f f3 s 0 2 m=−∞ n=−∞

(13.125)

(13.126)

Which leads to the following relation for the powers at the different frequencies: P2 P3 P1 = =− f1 f2 f3

(13.127)

Which means that the mixing capacitance emits power at frequency f3 that it received at frequencies f 1 and f 2 , as depicted in Fig. 13.27.

Reactive downconverting mixer In case of a downconverting mixer, the three frequencies f 1 . . . f 3 have the following meaning: f i f = f 1 , f 0 = f 2 and f s = f 3 . In this configuration, the mixing capacitance is excited at the signal frequency f 3 , and it emits the intermediate frequency signal at f 1 . In terms of conversion power gain, we obtain the relation: G 13 =

f1 1 (13.129) f1 According to the Manley-Rowe relations. The available power at the intermediate frequency is thereby higher than the signal power. Since the capacitor is a nonlinear charge storage element that is not providing any amplification on its own, the excess power at f i f results from a frequency translation of the local oscillator signal.

13.4.2.3

Reactive Mixing in Inverted Position

If the mixer translates the signal spectrum in inverted position, the three frequencies read: f 1 , f 2 , and f 3 = f 1 − f 2 , and the Manley-Rowe relations simplify to: 0= 0=









m Pm,n P1 P3 = − m f + n f f f3 s 0 1 m=−∞ n=−∞ n Pm,n P2 P3 = + m fs + n f0 f2 f3 m=−∞ n=−∞

(13.130)

(13.131)

which yields the following relationships for the powers at the three frequencies: P2 P3 P1 =− = f1 f2 f3

(13.132)

In this configuration, the mixing capacitance accepts the high local-oscillator power at frequency f 0 = f 2 , emitting it a signal and intermediate frequencies in up- and downconversion configuration, as depicted in Figure 13.30. Such a mixer circuit would be prone to instabilities and oscillations. The practical use of this configuration is therefore commonly restricted to so-called parametric amplifiers, where the nonlinear capacitance is used to generate a negative differential resistance at frequency f 3 that is used to amplify at this frequency.

13.4.2.4

Reactive Frequency Multiplication

It is also possible to use the capacitor’s n nonlinearity to generate a signal at a higher harmonic of the input signal. We consider the case where only the fundamental frequency f 0 and it’s nth harmonic n f 0 are present, while appropriate filtering suppresses signals at all other frequencies.

13 Mixing and Frequency Multiplication

1279

The Manley-Rowe relationship in this case reads:

0=





m Pm,n P1 n P1 = − m f + n f f n f1 s 0 1 m=−∞ n=−∞

(13.133)

leading to a theoretically lossless translation of the energy, with P(n f 0 ) = P( f 0 ).

13.4.3 Mixing with Transistors as Nonlinear Element Figure 13.28 shows the basic circuit diagram of a bipolar transistor mixing stage operating in a emitter circuit, and Fig. 13.29 shows the associated equivalent circuit for frequencies which are substantially lower than the transit frequency of the transistor. The current i B flowing through the nonlinear input conductance G e is approximately proportional to the collector current: iB =

1 iC B

(13.134)

where B is the direct-current amplification in the emitter circuit. The collector current is assumed to be given by the function i C = F (VB E )

(13.135)

As can be seen in Fig. 13.28, the base-emitter voltage VB E consists of the DC voltage Vv , the oscillator voltage V0 and the signal voltage Vs :

Power

P = const. f

f1 fs (upconversion)

f3 fif (upconversion)

fif (downconversion)

fs (downconversion)

f2 frequency f0

Fig. 13.28 Schematic of a single bipolar transistor used as a mixer circuit

1280

N. Pohl

~

vs

vCE

vif

GL

vBE ~

v0

vif v'V

v'B

Fig. 13.29 Small-signal equivalent circuit of the bipolar transistor mixing stage at the DC operating point

~

vS

~

v0

vBE

Ce

~

Ge iB

GL

vCE vif

iC vB

vy

fif

Fig. 13.30 Sketch explaining the power relations in a reactive mixer in inverse position. The direction of the arrows denotes that the nonlinear capacitor receives (up) or exhibits (down) power at the frequency. The solid arrows refer to upconversion, the dashed arrows to downconversion

VB E = −Vv + V0 + Vs

(13.136)

The behavior of the transistor in relation to small signals can be described by the small-signal equations Δi B = G e (ω0 t) Vs Δi C = S (ω0 t) Vs where

1 S (ω0 t) B

(13.139)

δ F (VB E ) . δVB E

(13.140)

G e (ω0 t) = and S (ω0 t) =

(13.137) (13.138)

13 Mixing and Frequency Multiplication

1281

Here, S(ω0 t)is the periodically time-dependent transconductance, G e (ω0 t) is the periodically time dependent input conductance of the transistor. Equations 13.137 and 13.138 correspond to Eq. 13.49 in the diode mixer. The large-signal voltage is given by the expression vbe0 = −Vv + v0

(13.141)

Only the single component of the base current and the intermediate frequency component of the collector current are required. In Eq. (13.137), only the mean time value of the input conductance G e0

1 = 2π

π G e (ω0 t)d(ω0 t) = −π

S0 B

(13.142)

therefore needs to be taken into account. If the mean time value Ce0 of the input capacitance is taken into account, the following conversion equations are obtained for the mixer in the common position: Is = (G e0 + jωs Ce0 )Vs

(13.143)

Ii f = Sn Vs

(13.144)

and for the mixer in the inverted position: Is = (G e0 + jωs Ce0 )Vs Ii∗f

=

(13.145)

Sn∗ Vs

(13.146)

The function for the current i C = F(VB E ) is approximated by the following broken straight line: i c = i B B = K (VB E + Vk ) for −v B E ≥ Vk i c = i B B = 0 for −v B E ≤ Vk

Fig. 13.31 Linearly approximated transconductance characteristics of a bipolar transistor

(13.147) (13.148)

–iC

iC=K(vBE+Vk)

0 Vk

–vBE

1282

N. Pohl

This characteristic is shown in Fig. 13.31. The threshold voltage Vk is around 700 mV in the case of silicon bipolar transistors. If the transistor is operated as an amplifier in class A, the operating point is placed in the rising part of the characteristic curve. The transistor is then characterized by the transconductance Sa = K and the input conductance G ea = K B. The Fourier analysis can now be carried out as with the diode mixer in Sect. 13.4.1. With the current-conduction angle Vk − Vv V0

(13.149)

sin nΘ Sa , nπ

(13.150)

cos Θ = the following is obtained: Sn =

Which yields, in case of the fundamental frequency (n = 1): S1 =

sin Θ Sa , π

(13.151)

Θ G ea . π

(13.152)

and G e0 =

Mixing takes place only if the kink of the characteristic curve lies within the control range. At higher frequencies, the mixing process is influenced by the base path resistance and by the nonlinear base collector capacitance (cp. [18, 19]).

G

~

CGD

D

iD

vs vGS

~

iG

v0

CGS

~

GDS

CDS

VDS

GL

Vif

SvGS S

S

fif

Fig. 13.32 Small-signal equivalent circuit of a FET mixing stage Fig. 13.33 Linearly approximated transconductance characteristics of a depletion-mode FET

iD

Vk

0

vGS

13 Mixing and Frequency Multiplication

1283

For the mixing stage with a FET as shown in Fig.13.34 the small-signal equivalent circuit is shown at the direct-current operating point in Fig. 13.32, and the idealized control characteristic of a depletion type FET is shown in Fig. 13.33. The calculation of the characteristic operating parameters of the mixing stage is performed in the same way as in the previously discussed bipolar transistor mixing stage. Assuming that the external matching circuits of the FET present a short circuit at the latter’s input at f i f and at the output at f s , the conversion equations for the mixer in the common position read as follows: Is = jω(C G S0 + C G D0 )Vs

(13.153)

Ii f = Sn Vs + (G DS0 + jωC DS0 )Vi f

(13.154)

As before, Sn∗ Vs must be used instead of Sn∗ Vs for the mixer in the inverted position. In case of the dual-gate FET in the mixing circuit shown in Fig.13.35, the forward transadmittance of the FET between the signal voltage on gate 1 and the drain current is controlled by the oscillator voltage present on gate 2, as shown in Fig. 13.37, in time with the oscillator frequency. Here, it is no longer necessary for the kink of

~

vs

~

v0

vif

GL fif

VB

Fig. 13.34 Schematic of a single FET used as a mixer circuit D G2 G1 v0

~

~

B

S

vif

GL

vs fif

VB

Fig. 13.35 Schematic of a dual-gate FET used as a mixer circuit

1284

N. Pohl G1 iG1

~

vS

CGD1

CGS1

vGS1

D

~

GDS

CDS

iD

vif

vDS

GL

S(vGS2)•vGS1 S

S

fif

Fig. 13.36 Small-signal equivalent circuit of a dual-gate FET mixing stage Fig. 13.37 Linearly approximated transconductance characteristics of a dual-gate depletion-mode FET, with gate voltage vgs2 as a parameter

iD

VGS2

VK

0

VGS1

the characteristic curve to lie within the control range of the gate voltage VG S1 for mixing purposes. In the small-signal equivalent circuit shown in Fig. 13.36 the current injected at the output of the FET is obtained from the multiplication of the transconductance S(VG S2 ) = S(ω0 t), which is periodically time-dependent with the oscillator frequency, by the signal voltage VG S1 present on gate 1. The intermediate frequency components of the drain current and therefore the conversion Eq. (13.154) are obtained from the Fourier analysis of the product S(ω0 t)VG S1 .

13.4.4 Mixing with Active Transistor Multipliers (Gilbert Cell) In order to realize a mixer, which is close to the multiplication of an ideal mixer (cp. Sect. 13.2.1), electronic circuits using transistors based on the Gilbert topology (see [12]) are widely used. This topology is based on differential pairs of transistors (see Fig. 13.38). Under small signal conditions, the differential output current of this pair is: Fig. 13.38 A differential pair of two bipolar transistors, which are the basic element for realizing Gilbert mixers

IC1

IC2

Vin I0

13 Mixing and Frequency Multiplication

1285

Iout1

Iout2

VLO

IC2

IC1

VRF

I0

Fig. 13.39 The Gilbert cell as a core of a Gilbert mixer consisting of 3 differential pairs. The lower RF stage acts as the current source for the four upper transistors (LO stage, often called switching quad)

ΔIC = IC2 − IC1 = gm · Vin =

I0 · Vin 2VT

(13.155)

where gm is the transconductance and VT the temperature voltage of the transistor. It can be seen, that the output current ΔIC is basically a multiplication of the input voltage Vin with the transistors’ quiescent current I0 . Due to the differential topology, this multiplication works for positive amplitudes as well as negative amplitudes. This multiplication can be used for the signal multiplication if two differential pairs are stacked in such a way, that one differential pair acts as the current source for the other differential pair (see Fig. 13.39). The lower stage (here named RF stage) is the same as the simple differential pair: ΔIC = IC2 − IC1 = gm · VR F =

I0 · V R F 2VT

(13.156)

This results in the overall output current: ΔIout = Iout,2 − Iout,1 = gm · VL O =

ΔIC · VL O I0 · V R F · VL O = 2VT 4VT2

(13.157)

1286

N. Pohl

The two inputs are typically used as RF and LO inputs and therefore already named here in this way. Due to this topology, both input voltages VL O and VR F are directly multiplied. Under large-signal conditions, the transfer characteristic of the differential pair is not linear anymore, but given by the hyperbolic tangent function: 

ΔIC = IC2 − IC1

Vin = I0 tanh 2VT



Therefore, the overall transfer function of the Gilbert cell is:     VL O VR F ΔIout = Iout,2 − Iout,1 = I0 tanh tanh 2VT 2VT

(13.158)

(13.159)

If both inputs (VL O and VR F have just a small amplitude (< VT ), Eq. 13.159 can be linearized to Eq. 13.157. Another typical case is that the LO signal drives the mixer with a very large amplitude and therefore the upper four transistors are switching1 fully on and off and thus the hyperbolic tangent is going deep in saturation. On the other hand, the RF signal is often a very small modulation signal in transmit or receive operation. Therefore the mixer acts linearly for the RF signal. Due to the full switching of the LO stage, these transistors will not introduce a major noise contribution. Although the Gilbert mixer was originally designed as an analog four-quadrant multiplier, the circuit is ideally suited for mixing processes even in the upper GHz range up to the transit frequency of the transistors (see [17]) and can be realized with bipolar transistors as well as with MOSFET transistors. Especially in integrated circuits, where the number of transistors is easy to realize, this type of mixer has been established as an absolute standard. The load impedance above this 6 transistor Gilbert cell can be chosen as needed for the specific application. In case of an upconversion mixer, often an inductive load is beneficial, whereas a downconversion mixer is often realized with resistive loads or active load if the used IF frequency is to low for reactive devices. If the mixer is used as a receive mixer, the noise behaviour and mixer gain is very important. Here the Gilbert mixer shows major advantages, since the input stage (usually called RF stage), consists directly of a differential transistor pair and thus directly generates a conversion gain. If this additional gain is problematic for the circuit linearity, also direct injection can be used (see e.g. [20]). In order to realize single-sideband modulation, IQ mixing can be realized by combining two Gilbert cell cores by driving one with a 90◦ delayed signal (see [13–15]).

1

When these four transistors are driven with a large amplitude these transistors are typically called switching quad.

13 Mixing and Frequency Multiplication

1287

13.5 Frequency Multiplication Frequency multipliers are used in high-frequency technology when the oscillation generation or signal distribution cannot be performed directly due to the required power or frequency stability. In microwave systems, power levels of several milliwatts up to several watts are required at frequencies up to more than 10 GHz e.g. for the carrier generation. The frequency stability required here can often only be achieved with quartz-controlled oscillators. Quartz oscillators typically operate around 100 MHz up to 1 GHz. A Phased-Locked-Loop may be used to generate a stable signal at higher frequencies using voltage-controlled oscillators. Afterwards, frequency multiplier (chains) may be used in order to generate higher frequencies and to simply the signal distribution network. In order to generate these harmonics, active transistor circuits can be used for multiplication as described in Sect. 13.5.1.

13.5.1 Frequency Multiplication by Transistor Circuits Many techniques of frequency multiplication rely on differential transistor pairs. This can be realized with bipolar transistors as well as MOSFET-Transistors. In order to understand the principle, a bipolar transistor pair is used here. The nonlinear characteristic of a single transistor (cp. Fig. 13.40) can be explained using the simple Shockley equation:   VB E −1 (13.160) IC = I S exp VT This exponential relation between the input voltage VB E and the output current IC can be re-written as an infinite power series:

Fig. 13.40 Single bipolar transistor

C Ic B

VBE

E

1288

N. Pohl

Fig. 13.41 Transistor pair with differential input Vin and two independent output currents ICi

IC2

IC1

Vin

IC = I S

= IS



(V B E /VT )n n!

(13.161)

n=1

(V B E /VT ) (V B E /VT )2 (V B E /VT )3 (V B E /VT )4 + + + + ··· 1 2 6 24



(13.162)

By combining the currents of two transistors (cp. Fig. 13.41) in a clever way, dominant harmonics can be selected. Assuming a small-signal operation2 would result in an equal distribution of the input Vin to both transistors each with the voltage amplitude Vin /2. Both transistor base-emitter-diodes are connected in the opposite direction, but the input voltage is in the same direction. This results in opposite polarity of the input voltages at both transistors:

IC1 = I S IC2 = I S



(+Vin /VT )n n=1 ∞

n=1

(13.163)

2 · n! (−Vin /VT )n 2 · n!

(13.164)

If we look again at the power series of the exponential function

IC1 = I S

IC2 = I S

2

(Vin /VT ) (Vin /VT )2 (Vin /VT )3 (Vin /VT )4 + + + + ··· 2 2·2 2·6 2 · 24



(Vin /VT ) (Vin /VT )2 (Vin /VT )3 (Vin /VT )4 − + − + − ··· 2 2·2 2·6 2 · 24

(13.165) 

(13.166)

Typical frequency multipliers operate at under large signal conditions. Therefore the assumption of the identical input voltages is not fully full-filled in reality. However, if a differential input signal is used it (as often in integrated circuits), both signals are symmetrical to ground and the assumption is full-filled again.

13 Mixing and Frequency Multiplication

1289

Fig. 13.42 Transistor pair with differential input Vin and common output node operating as a push-push frequency doubler

RL

IC2

IC1

Vout

Vin

we see that both polynomials are identical, but the elements come with different signs. This is the basis for adding both currents or subtracting those in two different frequency multiplier circuits.

13.5.1.1

Push-Push Frequency Doubler

In order to realize a so-called push-push frequency doubler, the current pulses of both transistors are added up simply by connecting both to the same output node (see Figs.13.40 and 13.42). Vout = −R L (IC1 + IC2 )

(13.167)

We see, that the sum of both collector currents IC1 + IC2 appears at the output. By summing up the polynomials from Eqs. 13.165 and 13.166 we see, that the odd elements with opposite signs disappear and just the even elements with identical signs sum up.  Vout = −R L I S

(Vin /VT )2 (Vin /VT )4 + + ··· 2 24

 (13.168)

It can be seen, that the differential signal at the input results in a perfect suppression of the linear term as well as all odd exponents. Just the even exponents appear at the output signal, whereas the quadratic part is typically the strongest components due to the higher order exponent and the 1/n! coefficients. Assuming a harmonic input signal, the effect of the quadratic term can be calculated with trigonometric identifies: 1 sin2 (x) = (1 − cos (2x)) (13.169) 2

1290

N. Pohl

Thus, this quadratic components will directly results in a frequency doubling of the input signal with: Vin = Vˆ sin (ω0 t) (13.170) 

Vˆ 2 (1 + cos (2ω0 t)) Vˆ 2 (1 + cos (2ω0 t)) → Vout = R L I S + + · · · (13.171) 4VT2 4VT2

If we further include all remaining even components of the series in Eq. 13.168, we can also find the exact mathematical representation: Vout = −R L I S



(Vin /VT )2n n=1

(13.172)

(2n)!

This series represents the the cosh function. Assuming again a harmonic input signal according to 13.170, we can calculate the overall output signal to:

Vout = −R L I S cosh

Vˆ sin (ω0 t) VT

 (13.173)

According to the even character of the cosh function, we can directly see the rectifying character of the push push doubler. This results in a dominating doubled frequency at the output, whereas the level of the even higher order signal depends on the input signal amplitude Vˆ . It has to be mentioned, that this mathematical description is well suited to show the frequency doubling effect, whereas the exact amplitude of the output signal is not well represented in real circuits. This results on the one hand from the ohmic losses in the transistor, which dominate versus the exponential characteristics for large signal amplitudes. On the other hand, several capacitive effects result in a low-pass characteristic of the transistor, which will further reduce the amplitude of the (higher order) harmonic signals. Much more complex transistor models are needed in a circuit simulator to calculate the output signal.

13.5.1.2

Push-Pull Frequency Trippler

In contrast to the push-push operation, the two differential transistors can also be operated with a differential output (see Fig. 13.43). The circuit is identical to a normal differential common-emitter amplifier, but due to the non linear transistor characteristic, also the third harmonic is generated and can be filtered out. Due to the differential output, the difference of both collector currents appears at the output: (13.174) Vout = R L (IC1 − IC2 )

13 Mixing and Frequency Multiplication

1291

Fig. 13.43 Transistor pair with differential input Vin and differential output node operating as a push-pull frequency doubler

Vout IC1

IC2

Vin

If we now again use the Taylor’s series of both currents (from Eqs. 13.165 and 13.165), now the terms with identical signs are cancelled out. Analog to Eq. 13.168 this results in:   (Vin /VT ) (Vin /VT )3 Vout = R L I S + + ··· (13.175) 1 6 It can be seen, that all even elements are now canceled out and just the odd elements appear at the output. By calculating the full Taylor series, the exact representation is:   Vin (13.176) Vout = R L I S sinh VT Typically, the linear term is the dominant one and for large input amplitudes, also the cubic term can achieve high amplitudes. This cubic term can be used to generate the third harmonic of an output signal, but further filtering is needed to discriminate the unwanted harmonics.

13.5.1.3

Frequency Multiplication Using Self-Mixing

Another common way of frequency multiplication is the use of self-mixing with mixer circuits. As discussed in Sect. 13.1, the ideal mixing process can be described by a multiplication of two signals. If we now apply the same signal to both inputs of the mixer (cp. Fig13.44), this self-multiplication result in a quadratic rectification: Vout = G mi x · Vin · Vin = G mi x · Vin2

(13.177)

1292

N. Pohl

Vin

Vout

Fig. 13.44 Frequency doubler realized by a self-mixing topology with the input signal Vin and the output signal Vout

Vin

Vout

Fig. 13.45 Frequency doubler realized by a self-mixing topology with the input signal Vin , a phase shifter φ and and the output signal Vout

with the mixer gain G mi x . If the input is a harmonic signal Vin = Vˆ sin (ω0 t), this self-mixing results in: Vout =

G mi x · Vˆ 2 (1 − cos (2ω0 t)) 2

(13.178)

With this ideal mixer, the output signal just consist of a single component with the doubled frequency. Additionally, the constant term 1 results in an DC offset. Even though, this DC offset can easily filtered out by a DC block capacitor, it may harm the mixer circuit due to the constant offset of the operating point of the mixer. In order to eliminate this term, an additional phase shifter φ can be used to eliminate this offset (cp. Fig. 13.45): Vout =

G mi x · Vˆ 2 (cos (φ) − cos (2ω0 t + φ)) 2

(13.179)

If φ is chosen to be 90◦ (cp. [16]), the DC offset will be zero so that the output signal just consist of the wanted doubled frequency component: Vout =

G mi x · Vˆ 2 sin (2ω0 t) 2

(13.180)

13 Mixing and Frequency Multiplication

1293

TL1

TL2 Output fout = 2fin

Q1

Q2

Q3

Q4

TL3

TL4 C1

C2

Q6

Q5 Input at fin

I0

Fig. 13.46 Frequency doubler realized by a bootstrapped self-mixing Gilbert cell topology, where the 90◦ phase shift is generated by the coupling capacitors C1 and C2

In electronic realizations, the mixer is often realized by a Gilbert cell mixer (see Fig. 13.46). Here, the 90◦ phase shift can be elegant generated in the so-called bootstrapped topology. The input signal is fed to the RF stage of the mixer. At their output the collector current is driving the switching quad. Additionally, coupling capacitors are used to fed the input voltage to the bases of the switching quad. Ideally, the capacitor generates the wanted 90◦ phase shift. In reality additional delays appear and the transmissions lines TL3 and TL4 can be used to adjust the phase shift to the wanted value. Further variations of this self-mixing principle can be used E.g. by cascading two mixer cells, a frequency trippler can be realized (see Fig. 13.47). The first cell generates a perfect doubled frequency signal, where the signal mixer generates in principle the sum frequency as well as the difference frequency of both inputs. By

1294

N. Pohl fin

fz=2 • fin

fin=3• fout

Fig. 13.47 Frequency trippler realized by two cascaded mixer cells

using appropriate filtering or single-sideband mixers, a clean third harmonic signal can be generated at the output.

References 1. Peterson, L.C., Lilewellyn, F.B.: The performance and measurement of mixers in terms of linear network theory. Proc. IRE 33, 458–476 (1945) 2. Torrey, H.C., Whitmer, C.A.: Crystal Rectifiers. McGraw-Hill, New York (1948) 3. Rowe, H.E.: Some general properties of nonlinear elements. Part II. Small signal theory. Proc. IRE 46, 850–860 (1958) 4. Strum, P.D.: Some aspects of crystal mixer performance. Proc. IRE 41 (1953) 875–889 5. Herold, E.W., et al.: Conversion loss of diode mixers having image-frequency impedance. Proc. IRE 33, 603–609 (1945) 6. Barber, M.R.: Noise figure and conversion loss of the Schottky-barrier mixer diode. Trans. IEEE MTT-15, 629–635 (1967) 7. van der Ziel, A.: Noise. Prentice-Hall, Englewood Cliffs (Chapter 9.3) (1954) 8. Davenport, W.B., Root, W.L.: An Introduction to the Theory of Random Signals and Noise. McGraw-Hill, New York (1958) 9. Caruthers, R.S.: Copper oxide modulators and carrier telephone systems. Bell Syst. Techn. J. 18, 305–307 (1939) 10. Kueppers, S., Aufinger, K., Pohl, N.: A fully differential 100–140 GHz frequency quadrupler in a 130 nm SiGe:C technology for MIMO radar applications using the bootstrapped Gilbert-Cell doubler topology. In: Proceeding of 2017 IEEE 17th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF), Phoenix, 15–18 Jan 2017. https://doi.org/10.1109/ SIRF.2017.7874364 11. Yuan, S., Schumacher, H.: 90–140 GHz frequency octupler in Si/SiGe BiCMOS using a novel bootstrapped doubler topology. In: 2014 9th European Microwave Integrated Circuit Conference, pp. 158–161 (2014). https://doi.org/10.1109/EuMIC.2014.6997816 12. Gilbert, B.: A precise four-quadrant multiplier with subnanosecond response. IEEE J. SolidState Circ. 3(4), 365–373 (1968). https://doi.org/10.1109/JSSC.1968.1049925 13. Schöpfel, J., Küppers, S., Aufinger, K., Pohl, N.: A SiGe transceiver chipset for automotive radar applications using wideband modulation sequences. Int. J. Microw. Wirel. T. (EuMW 2018 Special Issue) (May 2019) 14. Schöpfel, J., Küppers, S., Aufinger, K., Pohl, N.: A multipurpose 76 GHz radar transceiver system for automotive applications based on SiGe MMICs. In: Proceeding of 13th European Microwave Integrated Circuits Conference (EuMIC), Madrid, Spain, 24–25 Sept 2018 15. Sarkas, I., Laskin, E., Hasch, J., Chevalier, P., Voinigescu, S.P.: Second generation transceivers for d-band radar and data communication applications. IEEE MTT-S Int. Microw. Symp. 2010, 1328–1331 (2010). https://doi.org/10.1109/MWSYM.2010.5517925

13 Mixing and Frequency Multiplication

1295

16. Bredendiek, C., Pohl, N., Aufinger, K., Bilgic, A.: Differential signal source chips at 150 and 220 GHz in SiGe bipolar technologies based on Gilbert-cell frequency doublers. In: Proceeding of 2012 IEEE Bipolar/BiCMOS Circuits and Technology Meeting (BCTM), Portland, Oregon, USA, 30 Sept–3 Oct (2012) 17. Bredendiek, C., Pohl, N., Jaeschke, T., Aufinger, K., Bilgic, A.: A 240 GHz single-chip radar transceiver in a SiGe bipolar technology with on-chip antennas and ultra-wide tuning range. IEEE Radio Freq. Integr. Circ. Symp. (RFIC) 2013, 309–312 (2013). https://doi.org/10.1109/ RFIC.2013.6569590 18. Sene, B., Knapp, H., Reiter, D., Pohl, N.: A compact monostatic transceiver topology using a diode-based mixer. In: 2021 IEEE 21st Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF) (2021) 19. Sene, B., Reiter, D., Knapp, H., Pohl, N.: Design of a Cost-efficient monostatic radar sensor with antenna on chip and lens in package. IEEE Trans. Microw. Theory Techn. https://doi.org/ 10.1109/TMTT.2021.3098862 20. Dehlink, B., et al.: A highly linear SiGe double-balanced mixer for 77 GHz automotive radar applications. In: IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006, p. 4 (2006). https://doi.org/10.1109/RFIC.2006.1651127 21. Manley, J.M., Rowe, H.E.: Some general properties of nonlinear elements-part I. General energy relations. Proc. IRE 44(7), 904–913 (1956). https://doi.org/10.1109/JRPROC.1956.275145

Further Reading 22. © Prof. Niknejad Ali, M., Dr. Shana’a, O.: Mixer Noise and Design, University of California, Berkley (Seen on Jan 24, 2023) 23. TIRED, T.: High Performance LNAs and Mixers for Direct Conversion Receivers in BiCMOS and CMOS Technologies (First Ed.). [Licentiate Thesis, Department of Electrical and Information Technology] (2012). (Seen on Jan 24, 2023) 24. Terrovitis M. T., Meyer R.G.: Noise in current-commutating CMOS mixers. In IEEE J. SolidState Circ, 34(6), 772–783 (June 1999). https://doi.org/10.1109/4.766811. (Seen on Jan 24, 2023) 25. Henderson B., Mixers: Part 2 Theory and Technology, Tech note, WJ Communications Inc., (Seen on Jan 24, 2023) 26. Design of a 10GHz LNA for Amateur Radio Operation Using AWR Software (Seen on Jan 24, 2023) 27. I&Q Mixers, Image Reject Down-Conversion & Single Sideband (SSB) Up-Conversion, Engineering Resources, Frequency Mixers, Modulators / Demodulators, Radha Setty, Technical Advisor, Nov 30, 2022 (Seen on Jan 24, 2023) 28. Rehman A, A low voltage Gilbert Cell bipolar transistor mixer. Signal Processing Group Inc., Chandler, Arizona, USA. April 2013 (Seen on Jan 24, 2023) 29. Silver J.P.: Gilbert Cell Mixer Design Tutorial. RF, RFIC & Microwave Theory, Design (Seen on Jan 24, 2023) 30. Himmelfarb M., Belostotski L.: Noise parameters of Gilbert cell mixers. In: IEEE Trans. Microw. Theory Tech. 64(10), 3163–3174 (Oct. 2016). https://doi.org/10.1109/TMTT.2016. 2600327. (Seen on Jan 24, 2023) 31. Design Notes, Gilbert Cell Mixer using IAM81 32. Rout, S.S., Sethi, K.: Design of High Gain and Low Noise CMOS Gilbert Cell Mixer for Receiver Front End Design. 2016 International Conference on Information Technology (ICIT), Bhubaneswar, India, 2016, p. 1–5 (2016). https://doi.org/10.1109/ICIT.2016.014. (Seen on Jan 24, 2023)

1296

N. Pohl

33. Dominique: Noise Figure Performance of an Active 3G Gilbert Cell Mixer. May 25, 2015. (Seen on Jan 24, 2023) 34. Gilbert Cell Mixer Analysis, Linearity and noise measurement, In document 5 GHz Optical Front End in 0.35μm CMOS (Page 174–183). (Seen on Jan 24, 2023)

Chapter 14

Modulation Methods Dietmar Rudolph

Abstract With the help of modulation methods, information signals are processed in such a way that they can be transmitted over longer distances. The section on the different types of amplitude modulation lays the foundation for understanding linear analog and digital modulation. Starting from ordinary amplitude modulation (AM) via double sideband modulation (DSB) to quadrature double sideband modulation (QDSB), all technically relevant modulation types in the time and frequency domain are analyzed. In the section on angular modulations (frequency modulation: FM, phase modulation: PM), their time functions and spectra are analyzed and physically illustrated. The digital modulations differ from the analog modulations in that in digital only corresponding symbols are transmitted, which must be exactly and unambiguously defined for both the transmitter and the receiver. A digital modulator therefore consists of several function blocks. In the first step, multi-step and initially rectangular staircase functions are formed from the incoming data. These are then rounded so that they can be spectrally limited. This leads to inter-symbol interference. In order that the symbols can be evaluated in the digital receiver despite this interference, appropriate conditions must be met. This is shown in several examples. In a subsequent function block of the digital modulator, the symbols are modulated onto one (or more) high-frequency carriers. Accordingly, digital single-carrier or multi-carrier modulations are obtained. Corresponding digital transmission systems for television and mobile radio are described in detail.

14.1 Outline Modulation methods are used to convert (analog or digital) information signals in such a way that they can be transmitted over greater distances with as little loss as possible.

D. Rudolph (B) Berlin, Germany © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0_14

1297

1298

D. Rudolph

• The information signal is imposed on a carrier signal by changing its parameters according to the temporal course of the information signal. Prior to the detailed treatment of the modulation procedures, an overview is given in the next 4 sections.

14.2 Information Signals Depending on the application, information signals are analog or digital.

14.2.1 Analog Signals Analog information signals are either acoustic (speech, sounds, noises) or optical (images) in nature.

14.2.1.1

Measurement Signals

Cosine-shaped, triangular or meander-shaped time functions are used as measurement signals, since their course can be easily described mathematically, which also applies to the course of the modulated signal. This provides an easy way to check both the modulation process and the demodulation process.

14.2.2 Digital Signals Digital signals are assumed to be binary data (zeros and ones). The form of the data bits is  shaped. In connection with digital signal processing, the bits are also • represented as pin | at the respective sampling points n · T .

14.2.2.1

Digital Symbols

For the purpose of modulation, the digital signal must be present as temporally continuous if the carrier signal whose parameters it is to influence is also a temporally • continuous signal. The | then becomes  shaped symbols of height 1 and with time

14 Modulation Methods

1299

width T according to the sampling interval. At the input of the digital modulator they should be bipolar as ±1.1 Higher-level symbols are generated by combining several bits (e.g. z = 2, 3, . . . N ) and (initially again) forming a  shaped symbol with a height corresponding to the value of the respective bit combination.2 The width TS of these higher-level symbols then corresponds to the width corresponding to the number z of the combined bits. The clock frequency for the symbols decreases accordingly.

14.2.3 The Signal Bandwidth The Fourier transformation yields the spectral density F(ω) of a time function f (t). Especially for  symbols of width T , which are then named as continuous time function f (t) = T /2 (t): f (t) = T /2 (t) ◦−−−• F(ω) = T

sin(ωT /2) ωT /2

(14.1)

That f (t) and F(ω) are linked to each other via the Fourier transformation is expressed by the symbol “◦−−−•”. Here always “◦” is with the time function and “•” with the spectral function, thus f (t) ◦−−−• F(ω). Accordingly, F(ω) •−−−◦ f (t) can also be used. fades away towards zero As can easily be seen from Eq. (14.1), the si(ω) = sin(ω) ω only in the infinite (ω → ±∞). Theoretically, this statement is independent of the time duration T of the bits, i.e. the bit width. From this can be generally concluded: • A time function can have practically no “sharp edges”, i.e. the ideal  form, because otherwise its spectral distribution would go up to infinitely high frequencies, which is technically not possible. • Also for energetic reasons, no “sharp edges” are possible, because otherwise a voltage and a current would have to jump in an infinitely short time, for which an infinitely high power would be required (for a short time). If the equation for different bit widths 2T , T is evaluated graphically, Fig. 14.1, it can be seen that the width of the main maximum in the spectral distribution Δω decreases to the same extent as the width of the rectangle Δt increases, i.e. Δω · Δt = constant.

1

Bipolar data need only half the power of unipolar data with the same difference 2U between “0” and “1” on average (Pbipolar ∼ U 2 ; Punipolar0 = 0; Punipolar1 ∼ 4U 2  P unipolar ∼ 2U 2 ) . For binary transmission via lines the bipolar format is therefore always used. 2 The bit sequence is the input signal of a DAC (digital to analog converter), whose output signal then forms the symbols.

1300

D. Rudolph

1.2 1

F1 (w) = 2T

2T

2

f1 (t ) =∏T (t )

sin (wT ) wT

1.5

0.8

1

0.6 0.4

t

wN = p/T

–p /T

0.5

0.2 0

0 T

–T

t

–2

w /wN

w −0.5

−0.2 −1.5

−1

−0.5

0

0.5

1

1.5

−6

2

−4

−2

0

2

4

6

1.2 f2 (t ) =∏ T/2 (t )

2 F2 (w) = T

1

wT / 2

1.5

0.8 0.6

T

1

0.4

wN = 2p/T

–2p/T

0.5 t

0.2

0

0 T/2

–T/2

w/wN

w

t

−0.2 −2

sin (wT / 2)

−0.5 −1.5

−1

−0.5

0

0.5

1

1.5

2

−6

−4

−2

0

2

4

6

Fig. 14.1 If the width of the time function increases, the width of the main maximum of the spectral distribution decreases in the same measure (similarity theorem of the Fourier transformation)

The central coordinate F(0) of the spectral density F(ω) is equal to of the area under the time function,3 ∞ F(0) =

∞ e f (t)dt =

f (t)dt

j0

−∞

(14.2)

−∞

14.2.4 Shaping of Digital Signals The main spectral maximum, Fig. 14.1, contains the most energy. So if the extensions of six could be neglected (set to zero), the required bandwidth would be reduced if wider symbols were used. However, then no  shaped symbols are possible, only rounded symbols. However, at finite bandwidth the symbols will now (theoretically) last infinitesimally long. This will cause the individual data pulses to partially overlap. 3

This statement is a special form of the time-bandwidth law of telecommunications.

14 Modulation Methods

1301

• This turns the original “digital” signal into a de facto signal with an “analog” time course. But it is a very special “analog signal”. It has exactly the same values as the (original) unrounded data signals at the sampling times n · T . • For rounded digital signals, the exact time for the sampling must therefore be ensured. With less rounded data symbols, the requirement for the accuracy of the sampling time is higher than with the maximum rounded symbols. However, the better the rounding of the data symbols, the higher the necessary transmission bandwidth. • To limit the bandwidth, the digital symbols must be “rounded”, i.e. they must not have any “sharp edges”. In addition, each symbol theoretically will last infinitesimally long, so that the symbols “flow into each other”. Favorable are those forms in which the (unavoidable) offshoots disappear as quickly as possible. A symbol form that has a cos2 form in its spectrum is in this sense a suitable choice.

14.3 Carrier Signals In the course of the history of communications engineering, several physical phenomena and principles have been discovered and techniques invented that can cover long distances very quickly. These are in the order of their invention and/or discovery 1. the direct voltage (or direct current), which can be conducted over several hundred kilometres on a well insulated line. Applications: Morse telegraphy, telephone 2. the electromagnetic waves that propagate at the speed of light. Applications: Sparks Telegraphy, Radio Transmission 3. the optical fiber on which light signals from a laser (without intermediate amplification) can be transmitted over hundreds of kilometers. Applications: Optical transmission technology. The DC voltage, the electromagnetic waves (in general) and the light waves (in particular) are called carriers in the context of the modulation procedures, because the information to be transmitted can be loaded (modulated) on them, so that they can carry it at the speed of light to distant places.

14.3.1 Manipulation of Carrier Parameters Modulation now means to influence the parameters of the carrier signals as a function of the information signals.

1302

D. Rudolph

The following aspects are decisive: • The information signals must be in electrical form. • The procedures necessary for converting acoustic or optical signals into electrical voltages or currents are not counted as modulation for analog modulations. • The digital modulations differ essentially by the symbols used to encode the information signal. Therefore, here the extraction and properties of the symbols are essential part of the “modulation”. • The influence of the parameters of the carriers (modulation) should be proportional to the information-carrying parameter of the message signal. • The reception-side recovery of the message signal (demodulation) must be possible. • The influence of a parameter to be influenced (i.e. the type of modulation procedure) has an effect on: – – – – –

the technical effort the necessary transmission power the receive-side signal-to-noise ratio the necessary bandwidth of the transmission channel the distortion of the received signal.

According to the possible manifold answers there are different modulation procedures.

14.3.1.1

DC Carrier

A DC voltage can be influenced, for example, by switching it on and off. This is the oldest form of digital electrical communication. The best known method is Morse.4 In order to be able to use this (relatively primitive) method of transmission, it is first necessary to encode letters and numbers. Decoding is therefore required on the receiving side.

14.3.1.2

Pulse Carrier

The (more or less) periodic switching on and off of a voltage during Morse is a discrete time process. This can be further perfected by switching these operations so fast one after the other that the sampling theorem (Shannon) is fulfilled with respect to a message signal vi (t). This leads to the pulse modulation procedures, Fig. 14.2.5 Samuel Finley Breese Morse, ∗ 27.04.1791 Charlestown, Mass., † 02.04.1872 New York; originally painter; 1840 first patent on a telegraph apparatus. 5 The sampling period T must be less than half the period of the highest frequency contained in the signal, T < 1/(2fmax ). 4

14 Modulation Methods

1303

Fig. 14.2 Pulse modulations: pulse amplitude modulation PAM, pulse duration modulation PDM, pulse phase modulation PPM, Ts sampling period

ui (t )

t

Ts PAM

PDM

t

PPM

t

t

The pulses can be changed in their • • • • •

height (pulse amplitude modulation, PAM) width (pulse duration modulation, PDM) displacement relative to a fixed time grid (pulse position modulation, PPM) relative frequency (pulse frequency modulation, PFM) If the amplitudes of the equidistant samples of the message signal (i.e. of the PAM) are quantized and coded, the (digital) pulse code modulation (PCM) is obtained. PCM signals serve among other things as input signals for a digital modulator.

14.3.1.3

RF Carrier

Electromagnetic waves are generated as cosine (or sinus) shaped oscillations. Such a high frequency carrier vC (t) can be described as ⇓





vC (t) =Vˆ C cos(ΩC t+ ϕ ) = Vˆ C cos(ψ(t))

(14.3)

There are (maximum) 3 parameters (marked by ⇓) of the carrier, which can be influenced by the AF message signal vi (t), Fig. 14.3.6 • Amplitude Vˆ C =⇒ Vˆ C (vi (t)) (amplitude modulation, AM: amplitude change ∼ to message) • Frequency ΩC =⇒ ΩC (vi (t)) (frequency modulation, FM: frequency change ∼ to message) • Phase ϕ =⇒ ϕ(vi (t)) (phase modulation, PM: phase change ∼ to message).

6

The message signal is assumed to be a cosine-shaped time signal, as it is also used for measuring purposes.

1304

D. Rudolph

AF

t RF

t

AM

t

PM

t FM

t Fig. 14.3 Modulation signal AF, carrier RF, amplitude modulation AM, phase modulation PM, frequency modulation FM

In fact, however, frequency and phase of a cosine carrier are not independent of each other, Fig. 14.3, so that frequency and phase modulation cannot exist independently of each other. Therefore one speaks more generally of angle modulation. • Angle ψ =⇒ ψ(vi (t)) (angle modulation, WM: angle change ∼ to message) • This leaves only 2 parameters Vˆ C and ψ, which can be influenced independently from each other. • There are no other possibilities here, regardless of whether the message signal is analog or digital.7

7

From the designations or names of the digital modulations, the influenced parameter is often not recognizable, since these names are usually derived from the properties of the symbols used.

14 Modulation Methods Fig. 14.4 Locus curve (section) of an I/Q quadrature modulation

1305 Quadrature Phase Qmax

Q (t )

A

(t )

Φ (t )

Imax

I (t ) In-Phase

14.3.1.4

Two Orthogonal RF Carriers (of Phase Difference 90◦ )

If a cosine and a sine carrier are used simultaneously, whose amplitudes |I (t)| and |Q(t)| respectively are suitably influenced (i.e. 1 parameter each), the phase (t) of the resulting signal can be influenced as well as the amplitude A(t). The locus of this modulation (called “complex” [I (t) + jQ(t)]) is in the range −Imax ≤ I (t) ≤ Imax / − Qmax ≤ Q(t) ≤ Qmax , Fig. 14.4. Such quadrature modulations find their application especially in digital modulations and are (misleadingly) called i.e. phase-shift-keying (PSK).

14.3.1.5

Optical Transmission

Light is an electromagnetic oscillation of very high frequency. In principle, therefore, all possible influences apply as with a low-frequency high-frequency carrier. However, this is still largely an object of research. So far it has been possible to influence the amplitude of the light (on-off or continuous). As a modulation procedure all those result, which are also possible with a DC voltage carrier. With the help of birefringent prisms, two luminous fluxes with polarizations of 0◦ and 90◦ (corresponding to cosine and sine carriers) can be generated from the (largely) monochromatic light of a laser. If the amplitude of these carriers is (suitably) influenced, optical quadrature modulations can be generated, which can then be used to transmit digital information.

1306

D. Rudolph

14.4 Comparison of Analog and Digital Modulation Methods From a physical point of view, there are only 3 possibilities to intervene into the parameters of a high-frequency carrier, whereby in fact, only two are independent of each other. ⇓









vC (t) =Vˆ C cos{ψ(t)} =Vˆ C · cos(ΩC t+ ϕ )

(14.4)

So there are exactly 2 possibilities to influence the parameters of this carrier by a message signal vi (t), no matter if this signal is “analog” or “digital”: Amplitude Vˆ C =⇒ Vˆ C {vi (t)} : Amplitude Modulation Angle Modulation Angle ψ(t) =⇒ ψ(t){vi (t)} :

(14.5)

Technically, there are 3 basic modulation types: Amplitude Vˆ C =⇒ Vˆ C {vi (t)} : Amplitude Modulation Frequency ΩC =⇒ ΩC {vi (t)} : Frequency Modulation Phase Modulation Phase ϕ =⇒ ϕ{vi (t)} :

(14.6)

• All modulations, analog or digital, have in total only these 3 ways of influencing the RF carrier. How the (analog or digital) message signal affects the RF carrier in detail, distinguishes the different types of modulations.

14.4.1 Analog Modulations The analog modulations are: • Amplitude modulation AM (like DSB, but with carrier; used in radio, e.g. on medium and short wave). • Double sideband modulation DSB. • Quadrature DSB: QDSB (I/Q DSB; was used for the color signal in analog television). • Single sideband modulation (SSB); only one of the sidebands of the DSB is transmitted; (formerly used in telephone systems). • Vestigial sideband modulation (VSB); similar to SSB; formerly used in analog television. • Frequency modulation FM (message signal changes the frequency of the carrier oscillation; used in FM broadcasting). • Phase modulation PM (message signal changes the phase of the carrier oscillation).

14 Modulation Methods

1307

AM and DSB have equidistant zero crossings of the RF oscillation. FM and PM have constant amplitude. The other analog modulations are neither constant in amplitude nor in the phase of the RF oscillation.

14.4.2 Digital Modulations The designations for digital modulations originate from their properties at the sampling points on the receiver side. Here the characteristic is named which may have changed from sampling time to sampling time in the received signal: Phase ϕ, frequency ΩC , amplitude Vˆ C or combinations thereof. Digital modulations which intervene into the amplitude of the RF carrier(s) are generated e.g. by multipliers or I/Q modulators: • • • • •

Phase Shift Keying (PSK) Amplitude Shift Keying (ASK) Quadrature Amplitude Modulation (QAM) Amplitude Phase Shift Keying (APSK) Frequency Shift Keying (FSK).

Digital modulations, into which the frequency/phase of the RF carrier is intervened, e.g. generated by an NCO: • • • • •

Continuous Phase Modulation (CPM) Minimum Shift Keying (MSK) Gaussian Minimum Shift Keying (GMSK) Continuous Phase FSK (CPFSK) Tamed Frequency Modulation (TFM).

“Keying” is a clear indication that digital modulation is only considered at the sampling points. Therefore, it is usually not clear from these designations which parameters of the carrier signal have been interfered with and what happens to the signal in the meantime, i.e. between the sampling points.8,9

14.4.3 Semantic Classification of Digital Modulations In the very beginning the “analog worlds” were completely separated from the “digital worlds”. These can be characterized as follows. • Analog signals are defined for all time points. • Digital signals are defined only at the sampling or clock times. 8

“Key” is also the name for the Morse key. This often goes so far that it is casually said that the amplitude “jumps” or the phase “jumps”. However, for physical reasons jumps in modulated signals cannot occur.

9

1308

D. Rudolph

• An important criterion for analog signals is their spectral distribution and bandwidth. • It is important for digital signals to be clearly assignable to logical “1” and “0” states. These different points of view led to the fact that in each of these “worlds” names developed practically independently of each other, and as a result different and in some cases contradictory or misleading names are used for the same or similar physical processes. This applies in particular to the modulation procedures. When naming the digital modulation procedures, the digital signal was assumed not to be round-edged. This is expressed in the term keying. In the literature the following semantic equations of the modulation methods can be found, which can be a source of misunderstandings in uncritical applications. Double sideband modulation DSB ⇐⇒ Amplitude shift keying ASK Frequency modulation FM ⇐⇒ Frequency shift keying FSK Phase modulation PM ⇐⇒ Phase shift keying PSK These incorrect assignments resulted from the fact that the comparisons were made with unrounded,  shaped symbols. Here one can actually find special cases that seem to confirm these assignments. As soon as, however, as required in practice, rounded data symbols are considered, it becomes apparent that these assignments are not correct.

14.4.4 The Modulations in Detail A detailed description of the modulation procedures follows in the further course. Analog Modulations: • Amplitude Modulations • Angle Modulations (Frequency and Phase Modulations). Digital Modulations: • • • •

Intervention into the carrier amplitude Intervention into the carrier frequency or phase Multi-carrier modulations Spread spectrum modulations.

14.5 The Amplitude Modulations Amplitude modulation (AM) is historically the oldest type of modulation.

14 Modulation Methods

1309

In a different form as “quadrature-double-sideband modulation” (QDSB), however, it is the most important modulation form for digital modulations. First, the basic properties of the different forms of amplitude modulations are presented10 : • Ordinary amplitude modulation AM • Linear amplitude modulation, double sideband modulation DSB • Quadrature-double sideband modulation QDSB. “Modulation” spectrally means a frequency conversion of the low-frequency range into the high-frequency range. • Because in a linear time invariant (LTI) system no new frequencies are generated, these are not usable for modulation and demodulation. • For modulation and for demodulation therefore nonlinear systems are required. For the generation of AM, a quadratic non-linearity or a multiplier are usable. • Particularly suitable for amplitude modulation are: – “Kink characteristics” (additive modulation) – Square nonlinearities, “square characteristic” (additive modulation) – Multiplier (multiplicative modulation) Multiplication of message by carrier oscillation results in “ideal” modulation. – Switch or polarity reversal (multiplicative modulation) Switches and inverters in combination with appropriate filters regarding to modulation act like an ideal multiplier, but have a better efficiency. Both can also be considered as time-variant linear systems.

14.6 AM, DSB and QAM 14.6.1 The Amplitude Modulation in Time Domain The high-frequency carrier vC (t) is a Cos-shaped oscillation. vC (t) = Vˆ C cos(ΩC t)

(14.7)

The modulating signal s(t) (analog LF signal, message signal) influences the amplitude Vˆ C of the carrier oscillation. The amplitude of the modulated oscillation (envelope) should be proportional to a message signal. The proportionality factor cAM is called modulator constant. The envelope defined in this way on the AM time curve must always be ≥0. Vˆ C → Vˆ C (t) = Vˆ C + cAM × s(t) ≥ 0 AM envelope 10

(14.8)

Other type is single side band (SSB). This is derived from double sideband modulation (DSB), but is actually a mixture of amplitude- and phase modulation, similar to the QDSB.

1310

D. Rudolph DSB

2.5

1.5 Upper envelope

2

Upper envelope

0.5 Amplitude →

Amplitude →

1 m=1

0.5 0 0.5

0

–0.5

1 1.5

–1

Lower envelope

Phase jump p

2 2.5

m→∞

1

Carrier amplitude

1.5

0

1

2

3

4 Time →

5

6

7

8

–1.5

Phase jump p

Phase jump p

Lower envelope 0

1

2

3

4 Time →

5

6

7

8

Fig. 14.5 Typical AM (left) and DSB (right) signals; normalized representation with carrier Vˆ C = 1

The time course of the (ordinary) amplitude modulation (AM) is then: (the multiplication is marked by ⇓) ⇓

vAM (t) = [Vˆ C + cAM × s(t)] × cos(ΩC t) = Vˆ C cos(ΩC t) + [cAM × s(t)] cos(ΩC t)       carrier

(14.9)

DSB

Figure 14.5 on the left shows a typical time history for the AM. Here the (upper) envelope follows exactly the time curve of the message signal s(t). The amplitude of the carrier is normalized to Vˆ C = 1. The typical time course of a double side band (DSB) modulation is shown on the right. Here the upper and lower envelopes overlap, resulting in phase jumps of the RF signal. In the case of digital modulation, the DSB is therefore called PSK (phase shift keying). A PSK with 2 phase states is more precisely called 2PSK. It is common to explain amplitude modulations at the example of a sine or cosineshaped signal. This has the advantage that with this choice the conditions in the time domain can be represented quite simply and clearly.

14.6.2 Block Diagram AM Modulator The AM can also be called multiplicative modulation, since the cos-carrier is multiplied by an amplitude factor which depends on the modulating signal s(t), marked by ⇓ in Eq. (14.9). This equation can also be multiplied out. This immediately results in two variants for the block diagram for a realization of a common AM by means of a multiplier, Fig. 14.6. If the addition of the carrier Vˆ C

14 Modulation Methods

1311

uAM (t ) = [VC + cAM s(t )] cos(ΩCt )

uAM (t ) = [VC cos(ΩC t ) + cAM s(t ) cos(ΩCt )] uAM (t )

s(t ) cAM

uAM (t )

s(t ) cAM

Σ

AF

AM

Σ

AF

AM

VC DC

VC uC(t ) = cos(ΩC t ) RF

uC (t ) = cos(ΩCt ) RF

Fig. 14.6 Block diagrams of the amplitude modulator [according to Eq. (14.9)]

is omitted, a double sideband modulation (DSB) is obtained. cAM is the modulator constant (gain factor) of the DSB modulator. Characteristic for all “multiplicative” modulations are the absolute equidistant zero crossings of the modulated high-frequency oscillation (in time domain), compare Fig. 14.5.

14.7 Spectrum of Amplitude Modulation The spectrum is obtained with the help of the convolution theorem or the modulation theorem of the Fourier transform. It reads in case of a generalized signal spectrum S(ω) (cf. Eq. (14.9). cAM [S(ω − ΩC ) + S(ω + ΩC )] VAM (ω) = π Vˆ C [δ(ω − ΩC ) + δ(ω + ΩC )] +    2    carrier DSB

(14.10) To display the spectra of amplitude modulation it is common to use symbolic forms, from which the conversion in the frequency domain can be clearly seen, e.g. a kind of “butterfly form”. The spectral density of the AM derived corresponding to the block structure on the right in Fig. 14.6, is shown in Fig. 14.7. As can be seen from Fig. 14.7, the AM in the spectrum consists of the following parts: • Carrier lines at ±ΩC (Here the two sided spectral representation is used.) • An upper and a lower sideband (upper side band: USB, lower side band: LSB). Both sidebands contain the same information.11 In USB the information is in regular position, i.e. in the same relative frequency position as in the AF range. In

11

Therefore only one sideband can be transmitted (without loss of information), resulting in single sideband modulation (SSB).

D. Rudolph >

1312 S (w )

VC 2pd (w ) +

1 w

*{1/2p} VC (w )

VC (w )

p

p

C

C

p w



p

C

C

w

C

w

>



w

*{1/2p}

pVC d (w +

1

/2 LSB



C

pVC

>

+

>

VDSB (w)

C)

pVC d (w –

C)

USB C

w



C

VAM (w) LSB –

C

USB C

w

Fig. 14.7 Typical spectral densities of an AM; the AM spectrum has a carrier line and an upper (USB) and a lower (LSB) sideband (two-sided spectral representation)

the LSB the information is in inverse position, i.e. the relative frequency position is reversed to the AF range. The RF bandwidth of amplitude modulations is equal to the doubled AF bandwidth. Thus these modulation types belong to the bandwidth-saving types. However, this advantage is offset by the disadvantage that these modulation modes require a larger high-frequency signal-to-noise ratio in order to achieve the same signalto-noise ratio after demodulation than a modulation method with a larger RF-side bandwidth, e.g. frequency modulation. Since the carrier frequency line is present in the AM spectrum, it is also called DSB-LC (double side band—large carrier), unlike double sideband modulation (DSB), where no carrier line is present in the spectrum, Fig. 14.7 (left side).

14.8 AM Modulation Degree 14.8.1 Compatibility The condition at AM is that the information can be recovered from the envelope of the modulated oscillation. The envelope of the AM may therefore touch the zero

14 Modulation Methods

1313

line at best, but may not intersect it. The modulation degree m was introduced as a measure for this. This condition results from the history of radio: At the beginning of the Radio transmission of music and speech there was only the detector as demodulator, with whose help the envelope of the AM could be detected. The tube detector is indeed older (1904) than the crystal detector. The term detector (today) means especially: crystal with wire tip for HF rectification. This can be seen as a precursor of the semiconductor diode. Detector in general is a tunable oscillating circuit with HF a rectifier, a so-called detector apparatus. All improvements and refinements of the technique of amplitude modulation had to take into account this (once made) specification, so that the compatibility is retained. New transmission methods in broadcasting (FM, DAB, DVB) therefore require new frequency ranges. However, the old methods cannot be simply given up but usually continue to run in parallel for years until they finally can be discontinued due to a lack of demand.

14.8.2 Definition of the Degree of Modulation The modulation degree m is defined as a ratio formed from the maximum and minimum values of the (upper) AM envelope. m=

Vˆ max − Vˆ min degree of modulation in general Vˆ max + Vˆ min

(14.11)

Equation (14.11) applies to any waveforms of the message. From a measuring point of view, a Cos-shaped message oscillation is used: s(t) = Sˆ cos(ωs t)

(14.12)

With Eq. (14.11) is then: ˆ ˆ Vˆ min = Vˆ C − S; ˆ  m = S Degree of modulation Vˆ max = Vˆ C + S; Vˆ C

(14.13)

Figure 14.8 shows the definition of the modulation degree m. If the modulation degree m > 1, overmodulation occurs. Sˆ > Vˆ C  m > 1 overmodulation

(14.14)

Overmodulation must be absolutely avoided with AM. Figure 14.9 shows their effect on the (detected) envelope. If the amplitude of the envelope curve is greater than the

1314

D. Rudolph

Fig. 14.8 Definition of a degree of modulation m for AM

2.5 m = 0.7

AM

2 1.5

VC = 1

Amplitude

1

Vmax = s + VC Vmin = VC – s

s = mVC –s

0.5 0 –0.5 –1 –1.5 –2 –2.5 0

1

2

3

4

5

6

7

8

Time

amplitude of the RF carrier (overmodulation), this results in (non-linear) distortion of the demodulated signal. Overmodulation in the form of Fig. 14.9 (left) also occurs on the radio path due to reflections at the ionosphere (multipath propagation), which leads to unpleasant (selective) distortions of the received signal. Since the reflection properties of the ionosphere change constantly, good and disturbed reception alternates, especially at night, sometimes in rapid temporal sequence. AM transmitters must absolutely prevent overmodulation because otherwise the maximum amplitude of the transmitted signal would exceed the modulation range (of the transmitter), Fig. 14.9 (right). This is done with the help of an amplitude limiter (or clipper) for the AF signal.

AM over modulated m = 1.3; Multiplier

AM m = 1.3; AM – TX

2.5

2.5 2

2 Detected envelope

1.5

m = 1.3

Detected envelope

1.5 1 Amplitude →

1 Amplitude →

max. allowed amplitude

0.5 0 –0.5 –1

0.5 0 –0.5 –1

RF phase jump p

–1.5

–1.5

–2

–2 –2.5 0

1

2

3

4 Time →

5

6

7

8

–2.5 0

1

2

3

4

5

6

7

8

Time →

Fig. 14.9 Impact of an overmodulation m > 1 on the demodulated signal depending on the modulator: multiplier (left), AM transmitter (right)

14 Modulation Methods

1315 VAm (w)

uAm (t ) m=1 2VC

VC ~ VC

t ~ mVC /2 w C

– ws

C

C

+ ws

Fig. 14.10 The current and average power of an AM signal

In addition, it is common with AM transmitters to increase the volume of quiet AF passages (Compander), which leads to a dynamic compression that is usually also frequency-dependent (Presence Filter).12 The purpose is similar to that of corresponding compression methods for tape recordings (Dolby, HighCom etc.) and serves to suppress interference noise that occurs on the RF path (interference on the transmission channel). However, the AM receivers have no expander, firstly because there is no standard for transmitter-side compression and secondly because a compressed AF sounds “better”, especially in the presence of background noise, e.g. in a car. The modulation level of the DSB according to Eq. (14.13) is m = ∞. An envelope demodulation of the DSB is therefore not possible. DSB requires a synchronous demodulator.

14.9 Power of AM For Cos-shaped signals, the conditions in the time- and frequency-range are shown in Fig. 14.10. Thus it is necessary to distinguish between momentary power and mean power. Both values must be taken into account when dimensioning the transmitter output stage, referred to the carrier power PC . The current power is taken from the time-domain signal of the AM. It fluctuates between a maximum and a minimum value depending on the modulation degree m. The maximum value of the power is decisive for dimensioning.

12

The “Optimod” method has become established (especially with FM) for dynamic influencing.

1316

D. Rudolph

Pmax (m) ∼ [(1 + m)Vˆ C ]2  Pmax (m) = (1 + m)2 × PC

(14.15)

For m = 1 the peak power is Pˆ Pˆ = Pmax (1) ∼ 4 × Vˆ C2  Pˆ = 4PC AM peak power

(14.16)

Exceeding the peak power could damage the transmitter. Therefore there is also an important reason on the transmitter side to avoid overmodulation m > 1. Average power P is, according to Parseval theorem of spectral distribution.     m2 ˆ 2 m2 PC P(m) ∼ 1 + VC  P(m) = 1 + 2 2

(14.17)

For m = 1 there is a maximum value of the average power P max P max ∼

3 ˆ2 V  P max = 1.5 × PC maximum average power of AM 2 C

(14.18)

Therefore the ratio of peak power to maximum average power becomes Pˆ P max

=

8 = 2.666 · · · 3

(14.19)

This means a maximum crest factor Cˆ F of the AM, defined as the ratio of peak value to RMS value of the voltage, of

8 2 × Vˆ C Pˆ ˆ CF = =√ = ˆ 3 3/2 × VC P max = 1.633  4.26 dB AM crest factor (m = 1)

(14.20)

The spectral distribution also shows how the average power is divided between the carrier power and the sideband power. 2 The information is within the sidebands. The relevant power (PSB = m2 2 × PC ) must be provided by the modulation amplifier and made available to the transmitter output stage (the actual modulator in the narrower sense). For (m = 1) half the carrier power PSB = 21 × PC is in the sidebands. There is a much higher power (PC ∼ Vˆ C2 ) in the carrier than in the sidebands. The ratio of carrier power to sideband power depends on the modulation degree: PC P SB

=

2 Carrier Power ≥2 ≥2 m2 Sideband Power

(14.21)

14 Modulation Methods

1317

Fig. 14.11 Sideband, carrier power and AM efficiency as a function of the modulation factor m (normalized representation)

AM side band power & Efficiency h Carrier power PC = 1

1

PC, PSB , h

0.8

0.6 0.5 Side band power PSB

0.4 0.33

Efficiency h

0.2

0

0

0.2

0.4 0.6 Modulation degree m

0.8

1

At AM, maximum 1/3 of the total radiated power is in the sidebands, Fig. 14.11. At an average modulation degree m = 30% the sideband power is only a few % of the carrier power. Also for this reason the LF signal is compressed on the transmitter side, so that the mean value of the modulation degree m increases. The radiated carrier power does not contribute to the transmission of information. This power is useless for transmission of information. However, the carrier power must be made available for AM, since the envelope demodulation is used for AM (for reasons of compatibility). The operation of AM transmitters is therefore unnecessarily expensive. In the AM receiver, however, the size of the carrier is used for gain control (fading control) and for field strength display.13

14.10 AM Demodulation The ideal demodulation of an AM oscillation considered here can be realized with today’s technology (integrated circuits or digital signal processing) quite precisely. In historic tube technology, compromises had to be made. The “ideal” AM demodulation is therefore the goal for the result that can be achieved with the current state of the art.

13

In the case of tube receivers, this display was made using a green luminous magic eye.

1318

D. Rudolph

a D ui (t )

wIF

C

b C ui (t )

wIF

C

choke D

R

uo (t )

C R

uo (t )

Fig. 14.12 Envelope demodulator: a diode and load resistance in series; b connected in parallel

14.10.1 Envelope Demodulator (Asynchronous Demodulation) The envelope demodulator (peak detector) scans the envelope of the AM oscillation. It can only be used as a demodulator for AM, because only here the envelope of the modulated oscillation corresponds to the communication signal. Overmodulation m > 1 must therefore be avoided.14 The conventional envelope demodulator corresponds to the detector circuit from the beginnings of the radio, Fig. 14.12 [1]. The envelope demodulator works “ideally” when the diode switches ideally, the time constant T = RC is correctly dimensioned and the amplitude of the AM oscillation is sufficiently high. These conditions can be approximated with semiconductor diodes as long as the modulation degree remains m < 100%. The rectifier diode separates the RF part (left) from the AF part (right) of the detector, Fig. 14.12a. • The RF part must be designed in such a way that no AF voltage and no DC voltage exists. This is achieved by the coil of the resonant circuit, which short-circuits DC and AF. • On the other hand, the AF part must be designed such that no RF voltage exists. This is achieved by the capacitor C. On the other hand, the AF must be accessible at this C. Therefore a discharge resistor R parallel to C is necessary. The time constant T = RC must be selected so that the discharge can follow the AF, Fig. 14.13 (left). Otherwise the result is “Diagonal Clipping”, Fig. 14.13 (right). This leads to strong nonlinear distortions of the demodulated signal. 14

With AM long-distance reception, overmodulation (at the receiving end) is practically unavoidable, since due to selective fading the carrier can be more or less weakened to erased at times.

14 Modulation Methods

1319

2

2 T = RC too large Diagonal Clipping

1.5

1.5

1

1 us (t )

us (t )

Correct T = RC

0.5

0

0

−0.5

0.5

0

1

2

3

4

5

6

7

8

−0.5

0

1

2

Time

3

4

5

6

7

8

Time

Fig. 14.13 The influence of the discharge time constant on the demodulated signal; left T = R × C correct; right T too large: diagonal clipping

The time constant of the RC circuit can be dimensioned (approximately) as follows. The LF voltage corresponds to the envelope of the AM and is (without the DC component): (14.22) s(t) = m × Vˆ C cos(ωs t) At the point of its largest negative slope, the envelope has the value Vˆ C . The RC element is recharged at each half-wave and then discharges exponentially to the next. The exponential discharge curve of the RC element can be approximated by a tangent, because the curvature of the e-function is still negligible. This results in the condition: dvRC (t) Vˆ C = (14.23) > Vˆ C × mωs dt T max In order that the discharge current can follow the AF voltage, it must be faster than the maximum slope of the envelope and thus be faster than s(t). This results in T for the size of the time constant and m for the permissible modulation depth: T = RC
1.16

15

The AM IC’s contain these function blocks, together with RF preamplifier, transistor ring mixer, IF amplifier, control voltage generation, AF preamplifier: a complete receive IC. As external circuitry remain: RF coils, capacitance diodes and (ceramic) IF filters. IC’s for radio receivers additionally contain the stages necessary for FM reception. 16 Not to be used with DSB (DSB–SC) because the carrier here is identical to zero: m → ∞. For DSB (digital: PSK, phase shift keying) the “Costas” PLL demodulator can be used.

14 Modulation Methods

1321 AM synchron demodulation

2

VC (1 + m cos[wst]) cos2 [

C t)

DC

1.5

AGC

VC (1 + m cos[wst ])/2

1

m = 0.7

Amplitude

0.5 0 –0.5 –1 –1.5 VC (1 + m cos[wst]) cos[ –2

0

1

2

3

4

C t)

5

6

7

8

t

Fig. 14.15 The temporal courses of the signals with synchronous demodulation of AM (“linear” demodulation)

14.10.2.1

Time Signals and Spectra for Synchronous Demodulation of AM

Figure 14.15 shows the timing of the signals resulting from synchronous demodulation (“linear” demodulation, ideal demodulation) of an AM signal. In Fig. 14.15 the AM oscillation is drawn with modulation degree m = 0.7. The product of the AM oscillation with the (receiving) auxiliary carrier oscillation is shown in bold. This is the output signal of the multiplier and has only positive values. It therefore contains a constant component (dashed) that is proportional to the amplitude of the carrier. This voltage vAGC is used for gain control (AGC: automatic gain control) of the receiver. This is superimposed on the demodulated message voltage s(t) (AF-voltage). The voltages vAGC and s(t) are behind the lowpass (LPF: low pass filter) following the multiplier, as vs (t), Fig. 14.14. • As can be seen from Fig. 14.15, the AF voltage is not obtained here by peak detection, but by temporal averaging. A “diagonal clipping” can therefore not occur here. Multiplication in the time domain corresponds to convolution in the frequency domain. In the case that one of these functions consists of δ lines (Dirac pulses), this is very easy to perform: The function to be convolved, here the AM spectrum, is

1322

D. Rudolph *{1/2p}

VAM (w)

VC (w)

1

/2



LSB C

C

pd (w +

USB w



C)

Auxiliary carrier

pd (w – C

C

C)

w

Vs (w) 1 –2

C

LPF 2

C

w

Fig. 14.16 Spectra of signals at synchronous demodulation of AM; LPF: low pass filter

moved to the position of each of the two δ lines and added. You get the representation { 2π1 } in Fig. 14.16. The convolution operation is represented here by ∗ .17 The low pass filter (LPF) after the multiplier lets only the direct component (AGC voltage) and the spectrum of the demodulated signal pass. Higher frequency components (on the double carrier frequency 2ΩC ) are suppressed.

14.11 Demodulation of DSB Since with DSB the message signal cannot be recovered by an envelope rectification, only a synchronous demodulation with a frequency and phase correct subcarrier is possible, whereby frequency and phase must agree with the values in the transmitter (modulator). If a DSB is multiplied by an auxiliary carrier vc (t) = cos(ΩC t) which is correct in the frequency and phase (receive-side), the AF can be filtered out of the product using a lowpass filter (LPF), Fig. 14.17. This kind of demodulation is called synchronous demodulation. For DSB, this is the only possible demodulation type. The representation in Fig. 14.17 corresponds to a direct demodulation of the highfrequency oscillation (synchrodyn receiver). Today, this type is used in receivers for digital modulations, but then a quadrature demodulation takes place. In traditional receivers, this multiplier is behind the last IF stage. The conditions for the auxiliary carrier then refer to the conditions in the IF. The time functions resulting from the synchronous demodulation of a DSB are shown here, Fig. 14.18. You can see the effect of the phase angle of the auxiliary carrier. The importance of the conditions for the auxiliary carrier vC (t), namely to be correct in terms of frequency and phase, can also be seen when looking at the demodulation process in the frequency domain. The multiplication of the time domain becomes a convolution, Fig. 14.19. As you can see, the demodulated message is composed of

17

Convolution see Appendix A.1.1.

14 Modulation Methods

1323

uDSB = s(t) cos(ΩCt )

s(t) 2

s(t ) [cos(ΩCt )]2

AF

DSB LPF

uC (t ) = cos(ΩC t ) Auxiliaryt RF carrier

Fig. 14.17 Block diagram of the multiplicative demodulator 1.5

1.5 uDSB (t) uC (t ) = s(t ) [cos(ΩCt )]2

1

uDSB (t) uq (t) = s (t ) cos(ΩC t ) sin(ΩC t )

s(t )

1

0.5 Amplitude →

Amplitude →

0.5

0

0.5

0.5

1

1.5

0

1

DSB 0

1

DSB 2

3

4 t→

5

6

7

8

1.5

0

1

2

3

4 t→

5

6

7

8

Fig. 14.18 Time histories in the multiplicative demodulation of DSB: (left) auxiliary carrier is phase correct; (right) auxiliary carrier is 90◦ phase-shifted: there is no demodulated message signal s(t) VDSB (w)

*{1/2p}

VC (w)

1

/2



C

LSB

p

USB C

w



Auxiliary carrier

p

C

C

w

Vs (w) 1 –2

C

LPF 2

C

w

Fig. 14.19 The multiplicative demodulator in the frequency domain: the auxiliary carrier is correct in frequency and phase

2 spectral components, which add up. By a low pass filtering (LPF) you get the message back. As a counter-example, the case should be considered in which the receiving auxiliary carrier is frequency correct, but is rotated by 90◦ in the phase, Fig. 14.20. No demodulated signal is generated. The two parts of the demodulated message result here with opposite sign, which results in deletion. This does not result in a demodulated signal s(t). This orthogonality is the key to quadrature DSB (QDSB), which uses both a cosine and a sine carrier.

1324

D. Rudolph VDSB (w)

Vq (w)

*{1/2p}

¬

1

/2



LSB

USB

C

C

jp

w



C

jp

C

w

Vs (w) = 0 j

LPF 2

–2

C

w

C

Fig. 14.20 The multiplicative demodulator in the frequency domain; the auxiliary carrier has correct frequency, but is rotated by 90◦ in phase. This makes the output signal zero

Orthogonality allows the modulation components to be separated on the receiving side. Especially the digital modulations make use of this property.

14.11.1 Carrier Recovery for DSB with Costas Loop If the two side bands of the DSB are identical, the carrier can be recovered by means of a phase lock loop, Fig. 14.21. The costas loop contains an “in phase demodulator” and a “quadrature demodulator”. The I-demodulator delivers the demodulated signal. The Q demodulator forms a phase locked loop (PLL) together with the voltage-controlled oscillator (VCO). If frequency and phase angle match, i.e. φ = 0, a cos signal is multiplied by a sin signal in the Q demodulator. This does not produce a constant component because the sin (0) = 0, see Fig. 14.18 (right). Therefore there is no tuning voltage (V0 = 0). A voltage V0 is observed when the phase angle φ changes. The sign depends on the sign of φ. Now the output voltage

s(t) cos(f)/2 I branch Loop LP s(t) cos(

Ct)

cos( C t + f) VCO –sin( C t + f )

V0

Q branch –s(t) sin(f)/2

Fig. 14.21 Costas Loop for carrier recovery and synchronous demodulation of DSB

14 Modulation Methods

1325

of the Q-demodulator is also influenced by the message signal s(t) and is therefore an alternating variable. This is not directly suitable as a retuning voltage. The remedy here is to multiply this output voltage by the output voltage of the I demodulator. This gives the squared voltage, s(t)2 , which contains a direct component V0 . The loop filter removes the remaining alternating part. This provides a correct control voltage. The Costas Loop has a phase uncertainty of π . If the phase is off by π , the voltages in both branches change the sign. The sign of V0 does not change, so that the Costas Loop can snap in even then.

14.12 Quadrature Double Sideband Modulation QDSB With QDSB, two carrier oscillations orthogonal to each other (cos(ΩC t); sin(ΩC t)) are used and each of these carrier oscillations is DSB modulated. The message si (t) in the I branch (I: in phase) is modulated on the cosine carrier cos(ΩC t) and the message sq (t) in the Q branch (Q: Quadrature phase 90◦ ) on the sinus carrier sin(ΩC t). I and Q therefore refer to the phases of the respective carriers.

14.12.1 QDSB Modulation and Demodulation Figure 14.22 is the block diagram for a QDSB modulation and demodulation as well as the principle diagram of many digital transmissions. The signal at the QDSB modulator output is:

QDSB Modulator

si (t )

– si (t )

Demodulator LPF cos(

Ct )

cos(

Ct

+ f)

–sin(

Ct

+ f)

Channel sin(

Ct )

Carrier recovery LPF

sq(t )

– sq (t )

Fig. 14.22 Block diagram for a QDSB transmission. I/Q modulator (left) and demodulator (right).  is the error angle for carrier recovery. Ideal is  = 0

1326

D. Rudolph

vQDSB (t) = si (t) × cos(ΩC t) + sq (t) × sin(ΩC t) = A(t) cos[ΩC t + ϕ(t)] (14.26) In this case: A(t) =



[si (t)]2 + [sq (t)]2 Amplitude;

ϕ(t) = − arctan

sq (t) si (t)

 Phase

(14.27) So the QDSB signal vQDSB (t) is Amplitude A(t), as well as Phase ϕ(t) modulated. The time course of phase ϕ(t) is not proportional to si (t) nor to sq (t). Finally, the modulation did not affect the angles but the amplitudes of the cosine and sine carriers. QDSB and the digital modulations derived therefrom are therefore no phase modulations (in the usual transmission sense).18 The locus curve of the QDSB shows Fig. 14.4. On the receiver side, the two auxiliary carriers must be recovered frequency and phase-correctly from the received QDSB signal: carrier recovery Fig. 14.22.19 This is a considerable effort for practical implementation. Here it is to be assumed again that the recovery has taken place. It is assumed that the frequency ΩC is recovered exactly, but there is a (small) error  at the phase angle.20 After the multiplier in the I branch of the receiver, the signal is generated: vQDSB (t) × cos(ΩC t + ) = [si (t) × cos(ΩC t) +sq (t) × sin(ΩC t)] × cos(ΩC t + ) = si (t) × cos(ΩC t) × cos(ΩC t + ) +sq (t) × sin(ΩC t) × cos(ΩC t + ) 1 = si (t)[cos() + cos(2ΩC t + )]    2 radiofrequency

1 + sq (t)[sin() + sin(2ΩC t + )]    2

(14.28)

radiofrequency

The two radio frequency components are suppressed by the lowpass filter and do not appear in the output signal s¯i (t) of the I branch. 18

In digital modulation, however, QDSB is called “phase modulation”, which can lead to misunderstandings. 19 Caution: On the modulator side, − sin(Ω t) is also used instead of sin(Ω t) for some applicaC C tions. On the demodulator side, this sign must then be observed! Some authors even use (in the transmitter) the sine carrier in the I- branch and the cosine carrier in the Q-branch. This must also be taken into account for the demodulator! Especially when introducing new systems (e.g. DAB, DVB-T, DRM), corresponding problems can otherwise arise: Receiver of company F only works with transmitters of company F, but not with transmitters of companies D or G. And everyone believes to have adhered exactly to the specifications of ITU or ETSI, but the others would have made a mistake…. 20 This corresponds to the practical conditions using a PLL.

14 Modulation Methods

s¯i (t) =

1327

1 1 1 si (t) cos() + sq (t) sin() ≈ si (t) + 0.5sq (t) for   1    2 2 2 error signal

(14.29) In the Q branch there are similar conditions. s¯q (t) =

1 1 1 si (t) sin() + sq (t) cos() ≈ 0.5si (t) + sq (t) for   1 (14.30)    2 2 2 error signal

If the receiving auxiliary carriers have a phase error  = 0, this results in crosstalk between the I- and Q-branches. For  = 0 you get: s¯i (t) =

1 si (t); 2

s¯q (t) =

1 sq (t) ideal QDSB Demodulation 2

(14.31)

14.13 Angle Modulation With this modulation type, the message signal influences the phase angle of the highfrequency carrier signal. Depending on whether the frequency change or the phasechange is proportional to the message, a frequency or phase modulation occurs. Both angle modulations are closely related and therefore always occur simultaneously. However, the name is given according to the parameter (frequency or phase), which is proportional to the message signal. The amplitude of the carrier signal remains constant during angle modulation.

14.13.1 The Angle Modulation in the Time Domain The high-frequency carrier is a Cos-shaped oscillation of the form ⇓



v(t) = Vˆ C cos(ΩC t+ ϕ (t)) = Vˆ C cos[ψ (t)] = {Vˆ C ej(ΩC t+ϕ(t)) }

(14.32)

Depending on how the message signal s(t) interferes with the angle ϕ(t) resp. ψ(t) (marked by ⇓), a distinction is made between frequency modulation (FM) and phase modulation (PM). FM: The Frequency Change (of the modulated oscillation) is proportional to the message signal s(t) PM: The Phase Change (of the modulated oscillation) is proportional to the message signal s(t). In complex notation, the cosine is replaced by ej(ΩC t+ϕ(t)) , making the (alternative) term exponential modulation understandable for angular modulation.

1328

D. Rudolph . s(t ) –sine

s(t ) cosine 1

1

0.5

0.5

0

0

−0.5

−0.5

−1

−1 −6

−4

−2

0

2

4

6

−6

−4

−2

FM

0

2

4

6

2

4

6

PM

1

1

0.5

0.5

0

0

−0.5

−0.5

−1

−1 −6

−4

−2

0 Time

2

4

6

−6

−4

−2

0 Time

Fig. 14.23 FM and PM for Cos-shaped message signal; the frequency-change of the FM oscillation is proportional to s(t), the frequency-change of the PM oscillation is proportional to s˙ (t)

14.13.1.1

Manipulation of the Angle of the Radio Frequency Carrier

The message signal s(t) influences the angle ψ(t) of the carrier. The amplitude of the carrier oscillation thus remains unchanged. The temporal sequences of phase and frequency modulations are shown as in Figs. 14.23 and 14.24, if a sinusoidal or a rectangular and a triangular voltage is used as the message signal s(t). Note that the amplitude of an angular-modulated oscillation is absolutely constant. The amplitude of the message signal determines the position of the zero crossings of the angle-modulated oscillation—but not its amplitude, as shown in Fig. 14.25 for a frequency-modulated signal.

14 Modulation Methods

1329

.

s1(t ) = s2(t ) square

s2(t ) =

s1(t ) triangle

2

1

1 0 0 −1

−1 −5

5

0

−5

FM

0

5

FM

1

1

0

0

−1

−1 −5

0

5

PM

−5

equivalent

1

1

0

0

−1

0

5

PM

−1 −5

0 Time

5

−5

0 Time

5

Fig. 14.24 FM and PM for square and triangular signals generated with a frequency modulator. Integrated message signal (dashed) for generation using a phase modulator

14.13.1.2

Controlling the Angle ϕ(t) ⇓

To influence the angle ϕ(t) of a carrier oscillation Vˆ C cos(ΩC t)+ ϕ (t)) the following possibilities exist: ϕ(t) = cPM × s(t)  Phase Modulation PM d ϕ(t) = Δ (t) = cFM × s(t)  Frequency Modulation FM dt t  ϕ(t) = cFM × s(τ )d τ  Frequency Modulation FM

(14.33)

0

cPM and cFM are the corresponding modulator constants. As this Eq. (14.33) shows, PM and FM are closely related, see also Figs. 14.23 and 14.24.

1330

D. Rudolph 3 2 1 0

Tm

s (t )

0 1 2 3 Tm

Fig. 14.25 The effect of the amplitude of the message signal on the time course of a frequencymodulated signal: the zero crossings of the FM change, the amplitude of the FM remains constant

• If the phase change is proportional to the message signal, the frequency change is proportional to the integrated message signal. • If the frequency change is proportional to the message signal, the phase change is proportional to the differentiated message signal.

14.13.2 Relation of Phase- and Frequency Modulation The analysis of time courses in Figs. 14.23, 14.24 and 14.25 shows the following: • A positive message signal s(t) results in higher “frequency” at the FM; a negative message signal results in a lower “frequency” at the FM. “Frequency” should be understood here as number of zero crossings per time unit. Since this “frequency” changes in time, it is referred to as “momentary” frequency (t), see Appendix A.3. • At PM similar behaviour is observed concerning temporal derivative of the data signal dtd s(t). • A square-wave message signal s1 (t) produces an FM that looks exactly like a PM with a triangular message signal s2 (t). The rectangle s1 (t) is derived from the triangle s2 (t). So the conditions are the same as in the previous case.

14 Modulation Methods

14.13.2.1

1331

Phase Modulation

With the definitions, Eqs. (14.32), (14.33), applies to a phase modulated carrier vPM (t): vPM (t) = Vˆ C cos[ΩC t + ϕ(t)] = Vˆ C cos[ΩC t + cPM × s(t)] PM

(14.34)

The modulator constant cPM has the unit [degree/volt] or [rad/volt], or [1/Volt], since degrees and radians are pseudo-units.

14.13.2.2

Frequency Modulation

For a frequency-modulated carrier vFM (t) with Eq. (14.33): ⎧ ⎨

vFM (t) = Vˆ C cos[ΩC t + ϕ(t)] = Vˆ C cos ΩC t + cFM ⎩

t s(τ )d τ

⎫ ⎬ ⎭

FM (14.35)

0

The modulator constant cFM has the unit [1/(volt second)] (or [kHz/Volt] for natural frequency).

14.13.3 Cosine Information Signal The information signal is now ˆ AF amplitude s(t) = Sˆ cos(ωs t); S:

(14.36)

It is just as possible to set the message signal as Sˆ sin(ωs t); after all, the carrier signal could also be assumed to be sinusoidal-shaped. This results in a total of 4 possible combinations. This must be taken into account for FM spectra that are given in the literature. For FM, by Eq. (14.33) the integral of Eq. (14.36) is required t 0

sin(ωs t) s(τ )d τ = Sˆ ωs

The FM time signal results from this with Eq. (14.35) to:

(14.37)

1332

D. Rudolph



Sˆ vFM (t) = Vˆ C × cos ΩC t + cFM × sin(ωs t) ωs   ΔΩ ˆ = VC × cos ΩC t + sin(ωs t) ωs vFM (t) = Vˆ C × cos[ΩC t + β sin(ωs t)]



(14.38)

In Eq. (14.38) the following abbreviations are introduced, which are of great importance for measurement purposes: ˆ angular frequency deviation ΔΩ = cFM × S: ΔΩ ΔF β=η = : modulation index ωs fs

(14.39)

The modulation index is the phase shift of the FM, so the following applies (with different terms commonly used in the literature): β = η = Δϕ = Δ =

cFM × Sˆ Phase deviation = modulation index ωs

(14.40)

14.14 The Angle Modulation in the Frequency Domain 14.14.1 Phase Modulation with a Frequency Modulator Since PM and FM are closely related, a PM can also be generated using an FM modulator. In order to generate a phase modulation with the help of a frequency modulator, the message signal s(t) has to be differentiated. This is done by means of a differentiator (D; control circuit element).21 : xa (t) = T ×

dxe (t) ◦−−−• Xa (ω) = T × jωXe (ω)  HD (ω) = jωT dt

(14.41)

Figure 14.26 shows the Bodediagram of a differentiator. As you can see from this, differentiating the message voltage is synonymous with a height-raise (and depth-lowering). If you differentiate the message signal s(t), you get T × s(t) . With Eq. (14.33) when using a frequency modulator: ds(t) d ϕ(t) = cFM × T dt dt 21

(14.42)

Compare the time-differentiation theorem of the Fourier transformation, see Appendix A.1. In control engineering, the notation HD (s) = sT (Laplace transform) is used for the differentiator.

14 Modulation Methods

1333

20 lg{⏐H (w)⏐dB }

ec B/d 20d

lg w /(1/s)

Fig. 14.26 BODE chart of the differentiator HD (ω)

s (t )

d dt

s (t )

PM F-Mod

P-Mod

s (t )

PM

s– (t )

F-Dem P-Dem

Fig. 14.27 Generating a phase modulation with a frequency modulator (left) and demodulating a PM with a frequency demodulator (right)

With reference to the message signal s(t), Eq. (14.42) must be integrated, resulting in phase modulation: ϕ(t) = cFM × T × s(t) = cPM × s(t)

(14.43)

The modulator constant of this phase modulator generated with the help of a frequency modulator is therefore: cPM = cFM × T Modulator constant

(14.44)

Figure 14.27 shows the corresponding structure for this modulator on the left and the corresponding demodulator on the right. This method is used in VHF FM broadcasting. No differentiators and integrators are used here, but highpasses or lowpasses that only raise or lower the higher AF frequencies, Fig. 14.28. This reduces the noise in the receiver, which is particularly disturbing at higher AF frequencies, Fig. 14.29.

14.14.2 Generation of FM with a Phase Modulator To generate a frequency modulation with a phase modulator, the message signal s(t) must be integrated. This is done by means of an integrating circuit. The following applies to the integrating circuit22 : 22

Compare the time-integration theorem of the Fourier transform. From control engineering the 1 Laplace notation HI (s) = sT is known for the integrator.

1334

D. Rudolph 20 PRE-Emphasis at Transmitter

15

Low Frequencies unaffected by PRE-Emphasis and DE-Emphasis

Relative Gain

10 5 0

Signal Characteristic after DE-Emphasis

−5

−10 DE-Emphasis at Receiver

−15 −20 101

10 2

103 Frequency/Hz

104

105

Fig. 14.28 Pre-emphasis and de-emphasis in American FM broadcasting 100 90 Noise Amplitude, arbitrary units

c

80

t=

70

se 0m

60 50 40 30 t = 50msec 20 t = 75msec

10 0

0

5 Frequency/kHz

10

15

Fig. 14.29 Effect of the de-emphasis on the noise of FM FM radio depending on the selected time constant: USA 75 µs; EU 50 µs

14 Modulation Methods

1335

20 lg{ H (w) dB } –20

dB/D

ec

lg w/(1/s)

Fig. 14.30 Bode graph of the integrator HI (ω)

1 xa (t) = T

t xe (τ )d τ ◦−−−• Xa (ω) =

Xe (ω) 1 1 ×  HI (ω) = T jω jωT

(14.45)

0

Figure 14.30 shows the Bode diagram of an integrator. As can be seen from this, integrating a message signal is equivalent to a height-reduction (and low-frequency boosting). t If you now integrate the message signal s(t), you get T1 0 s(τ )d τ . By Eq. (14.33) when using a phase modulator: ϕ(t) = cPM

1 × T

t s(τ )d τ

(14.46)

0

With reference to the message signal s(t), Eq. (14.46) must be differentiated: 1 1 d ϕ(t) = cPM × × s(t) = cFM × s(t)  cFM = × cPM : modulator constant dt T T (14.47) The block diagram for generating an FM using a phase modulator shows Fig. 14.31 on the left. Because of the quartz stability of the carrier oscillation, this modulator structure was popular at the beginning of FM VHF broadcasting. See Sect. 14.16.1.1 “Narrowband Phase Modulator”.

1336

s(t )

D. Rudolph

∫ s(t)dt P-Mod

FM

FM

∫ s(t)dt P-Dem

d

s(t )

dt F-Dem

F-Mod

Fig. 14.31 Generating a frequency modulation with a phase modulator (left) and demodulating an FM with a phase demodulator (right)

• The demodulation of an FM using a phase demodulator and subsequent differentiation is unfavourable in practice because the differentiation increases the interference (noise, etc.) in relation to the useful signal. The following example, Fig. 14.32, shows with an example how much a noise with very low RM value (0.1 and 2%) overlayed on the message signal is amplified behind a differentiator. This plays an important role in the synchronization of GSM mobile phones. Due to the close relationship between PM and FM, it is thus possible to use the associated modulators and demodulators alternately.

14.15 Spectra of Angle Modulation The frequency change over time is23 : Δ (t) = (t) − ΩC =

d ϕ(t) and |Δ (t)|max = ΔΩ  ΩC dt

(14.48)

The maximum (temporal) frequency change |Δ (t)|max = ΔΩ is called frequency deviation. ΔΩ = |Δ (t)|max

d ϕ(t) = = cFM |s(t)|max frequency deviation dt max

(14.49)

However, the frequency deviation is not a value in the Fourier spectrum of the FM, where a spectral line is necessarily located! As Eq. (14.49) shows, the frequency deviation is rather a measure for the maximum amplitude of the message signal s(t). For practical cases, however, it is apparent:

23

see Appendix A.3.1 to the term “instantaneous frequency” (t).

14 Modulation Methods

1337 s(t ) + n2(t )

2

2

1

1 Amplitude

Amplitude

s(t ) + n1(t )

0 −1 −2 0

0 −1 −2

5

10

15

0

5

10

d(s(t ) + n1(t ))

d(s(t ) + n2(t ))

dt

dt

15

40 20 Amplitude

Amplitude

2

0

0 −20

−2 −40 0

5

10

15

Time

0

5

10

15

Time

Fig. 14.32 Example of the effect of noise during differentiation: (top) sine wave with superimposed noise (left 0.1%, right 2%); the sine wave is clearly visible. (below) The same signals after they have been differentiated; the noise is extremely amplified by differentiation

• The bandwidth Bω of an angle-modulated oscillation is Bω ≥ 2ΔΩ. In the case of a cos-shaped message signal s(t) = Sˆ cos(ωs t), a modulation index (β) is defined.24 ΔΩ ΔF β= = modulation index (14.50) ωs fs

14.15.1 Classical Analysis of FM In the classical case, a Cos-shaped message signal is used to determine the spectrum of an angle modulation. It is also important because it is used for measurement purposes.

24

In the literature the terms η = Δϕ are also in use for the modulation index β.

1338

D. Rudolph

According to the relationship periodicity in time domain ◦−−−• equidistant lines in frequency domain will result in a line spectrum in this case. If Eq. (14.38) is represented in complex notation, both the spectrum and the pendulum pointer representation of FM can be derived in a simple way. s t) ejΩC t × ejβ sin(ω v FM (t) = Vˆ C ×   

rotation

14.15.1.1

(14.51)

pendulum motion

Pendulum Hand of the FM

The pendulum pointer is a graphical illustration of the FM oscillation, especially for small phase deviations. We come back to this with the digital modulations (Continuous Phase). One could imagine a plane, rotating with the frequency ΩC , corresponding to a stroboscopic image of a rotating disk with an arrow marking, which yields the image of a sin-shaped swing motion of the pointer, Fig. 14.33. The pointer length corresponds to the amplitude Vˆ C of the FM oscillation. ˆ for a fixed AF frequency ωs = const Since the maximum phase angle is β ∼ S, ˆ On the you get pendulum pointer deflections proportional to the AF amplitude S. other hand, since β ∼ 1/ωs , you can also get the various pendulum pointer diagrams of Fig. 14.33 for fixed AF amplitude, if the AF frequency is changed accordingly. A pendulum pointer diagram is of course only a snapshot from which the actual motion cannot be taken without further consideration. With broadband FM is β  1, therefore multiple full revolutions of the pendulum pointer are possible in the pendulum pointer image. In such a case one can assume that the angular velocity does not change noticeably per revolution. Thus one has at

d fast

d

b

b a

d b

c b

b b

b

b

b

c a

c

slow b small

a slow

b medium

b large

Fig. 14.33 Pendulum pointer diagrams for various maximum phase displacements

14 Modulation Methods

1339

these times practically a constant angular velocity and thus a constant frequency. In these cases the instantaneous frequency (t) can be replaced by the corresponding frequency ω. These assumptions are used to determine the spectral distribution of a broadband FM.

14.15.2 Spectral Distribution of the FM Signal for Cos-Shaped Message Signal The term for the pendulum Eq. (14.51) is called “complex envelope”. V FM (t) = Vˆ C × ejβ sin(ωs t) = I (t) + jQ(t) complex envelope   vFM (t) =  V FM (t) × ejΩC t

(14.52)

From Eq. (14.52) one takes directly: • The complex envelope V FM contains the complete information about the modulation process, because vFM (t) can be clearly recovered from V FM (t). • |V FM (t)| = Vˆ C = const., as it must be for an angle-modulated. • The complex envelope has the periodicity of the message signal, here a Cos oscillation, as you can see also from the pendulum pointer representation, Fig. 14.33. • V FM (t) is thus periodic and can therefore be developed into a Fourier series. The development into a (complex) Fourier series is: V FM (t) = Vˆ C × ejβ sin(ωs t) =

∞ 

Cn × ejnωs t

(14.53)

n=−∞

However, the coefficients Cn of this series development need not be calculated separately, because from the theory of Bessel functions the following relation is known (Jn (β): Bessel function 1st kind, n. order): ejβ sin(x) =

∞ 

Jn (β) × ejnx

(14.54)

n=−∞

Thus the coefficients are Cn of the series development become: Cn = Vˆ C × Jn (β)

(14.55)

1340

D. Rudolph

Consequently, for the complex envelope: V FM (t) = Vˆ C

∞ 

Jn (β) × ejnωs t

(14.56)

n=−∞

From Eq. (14.52) we obtain for the time function of the FM oscillation  vFM (t) = Vˆ C × 

∞ 

 Jn (β)ejnωs t × ejΩC t

n=−∞

= Vˆ C

∞ 

Jn (β) cos[(ΩC + n × ωs )t]

(14.57)

n=−∞

The 2nd expression in Eq. (14.57) can be directly Fourier-transformed to obtain the spectral distribution of the Cos-modulated FM. ∞ Vˆ C  Jn (β) {δ(ω − ΩC − n × ωs ) + δ(ω + ΩC + n × ωs )} 2 n=−∞ (14.58) An analysis of Eq. (14.58) shows that the FM spectrum of an FM oscillation modulated with Cos-shaped signal (depending on β and thus on the AF-Amplitude and/or the AF-frequency) consists of a carrier line and many pairs of sidelines. The size of these lines is defined (except by Vˆ C ) as follows:

VFM (ω) =

• • • •

carrier line: by J0 (β) 1st sideline pair: by J1 (β) 2nd sidelines pair: by J2 (β) etc. for all other sideline pairs.

From Eq. (14.58) it seems to follow at first that the FM spectrum obtained with the help of the Bessel functions theoretically consists of ∞ many lines. That this is not the case in practice, however, can already be seen from the previous estimates for the spectral distribution of the angle modulations. The apparent contradiction is solved when one takes a closer look at the Bessel functions.

14.15.2.1

The Bessel Functions

The 1st type of Bessel function (Jn : 1st type, nth order) of interest for the angle modulations can be calculated as follows: Jn (β) =

∞  k=0

(−1)k × k!(n + k)!

 n+2k β 2

(14.59)

Carrier and Sideband Amplitude

14 Modulation Methods 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 −1 −0.2 −0.3 −0.4 −0.5

1341

Carrier: J0 (b ) 1st Sideband: J1 (b) 2nd 3rd 4th 5th

0

1

2

3

4

5

6

6th 7th 8th th th 9 10 11th 12th

7

8

9 10 11 12 13 14 15 16

Modulation Index b

Fig. 14.34 Bessel functions 1st kind as a function of argument β

ˆ s the AF amplitude Sˆ is included directly and the AF frequency With β = cFM × S/ω ωs inversely in the value of β. The course of the Bessel functions can be seen in Fig. 14.34. A negative value of Bessel functions means that the associated lines are inverse-phase for these values of β. In the usual spectral display only amplitudes are drawn. This is done in accordance with the display of a spectrum analyzer. In a further representation, Fig. 14.35, one can finally see that the Bessel functions of order n only take noticeable values from β > n/2. Thus it becomes clear that the FM spectrum according to Eq. (14.58) practically consists of a finite number of lines. ˆ the FM spectrum Since the modulation index β depends on the signal amplitude S, has more and more sidelines with increasing signal amplitude, since then more and more higher order Bessel functions n are different from 0. On the other hand, the time function of the FM oscillation has a constant amplitude and thus a constant power. Since according to Parseval’s theorem (energy theorem) the power in the time domain is equal to the power in the frequency domain, the size of the (existing) lines cannot remain unchanged when new lines are added. For the entirety of all lines of an FM spectrum, the relationship applies: P ∼ VC2

∞  n=−∞

Jn2 (β) = const. 

∞  n=−∞

Jn2 (β) = J02 (β) +

∞ 

2 Jn2 (β) = 1

n=1

(14.60)

1342

D. Rudolph Bessel functions J1 to J10

0.06

J1 J2

Amplitude J1 to J10 Æ

0.05

J4

J3

J5

J6

J7

J8

J9

J10

7

8

0.04 0.03 0.02 0.01 0

0

1

2

3

4 5 bÆ

6

9

Fig. 14.35 Initial ranges of Bessel functions for small values up to amplitudes of 0.06

This explains qualitatively that – with increasing β – the size of all lines changes and in particular can also become 0 (zeroing the Bessel functions). Since the information during modulation is not in the carrier but in the sideband frequencies, the ratio of carrier power to sideband power is interesting, Fig. 14.36. The zeros of the carrier power for β = 2.4048; β = 5.5201; β = 8.6537 are of interest for determining the modulator constant cFM . The FM has a favorable sideband power ratio of to carrier power, especially since β is usually large with analog frequency modulations. An AM transmitter at m = 1 (100% amplitude modulation) only has a carrier/sideband ratio of 1:(0.5). In contrast to an AM transmitter, there is no “overmodulation” in this sense with an FM transmitter. However, the mandatory maximum frequency deviation ΔΩmax or ΔFmax must not be exceeded to avoid adjacent channel interference.

14.15.2.2

Determination of the FM Spectrum from the Bessel Functions

Based on the properties of the modulator, cFM , and the signal, Sˆ and ωs , the corresponding phase deviation β is obtained. β=

cFM × Sˆ ΔΩ ΔF = = ωs ωs fs

(14.61)

With the value for β thus obtained one reads the magnitude of the sideband spectral lines from the Bessel curves Fig. 14.37.

14 Modulation Methods

1343

1.1

Sideband Power Æ

1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 b Carrier Zero Æ 2.4048 5.5201 8.6537 11.7915

Fig. 14.36 Sideband power to carrier power as a function of phase deviation (modulation index β) 1.0 b = 0.2

B = 2(b + 1)fs

fC – fs

fC

f

fC + fs

1.0 b = 1.0

f 1.0

b = 5.0

f 1.0 b = 10.0

f

ˆ s Fig. 14.37 FM spectra for cosine signal at different amplitude; β = cFM S/f

1344

D. Rudolph 10 dB/

fc – 500kHz

fc – 100kHz

fc

fc + 100kHz

fc + 500kHz

Fig. 14.38 FM spectrum of a FM station with program. This can be understood as an average of different Bessel spectra with different values for fs and β. In quiet passages, β → 0

14.15.2.3

FM Spectrum of a VHF Transmitter

The form of an FM spectrum when modulating with “a program”, Fig. 14.38 can be explained approximately by looking at the FM spectra for a cosine oscillation at different amplitudes, Fig. 14.37, taking into account that the amplitude varies between zero and a maximum value. If the individual spectra for the different amplitudes are averaged, as a spectral analyzer does, an approximate spectral distribution of triangular shape is obtained. Lines are not visible, because the signal is not cosineshaped, but covers the whole AF frequency band. The frequency deviation ΔΩ can be determined quite comfortably in Fig. 14.37. With Eq. (14.61) is obtained by simple reassignment: ΔΩ = β × ωs  ΔF = β × fs

(14.62)

Since the modulation index β and the line spacing ωs are known, the frequency deviation ΔΩ is immediately known.

14.15.3 Spectral Distribution and Bandwidth of the FM Spectrum for the General Case of the Message Signal To estimate the bandwidth of the FM spectrum for a general message signal s(t), the signal is approximately described by a staircase curve, Fig. 14.39 [3].

14 Modulation Methods

a

1345

b

s(tk)

wi (w) = wi =

C

+ cFMs(tk)

t

c t tk

1 2B

8pB

s(t) –wi

w

wi

Fig. 14.39 Approximation of FM by bursts of different frequencies to estimate bandwidth

The width of the steps is selected according to the scanning condition: TA =

2π 2π 1 ≤ = ωA 2ωc 2B

(14.63)

The height of the step (amplitude) determines the instantaneous frequency (t), which may be set to frequency ωi , since the frequency within of each step is constant. This allows the FM signal to be composed of bursts of the respective frequency. The spectral analysis of each burst provides a (sinx/x) pulse shifted to the respective position ωi , the distance of the first zeroes of which allow to approximate the bandwidth. 2π = 2ωA = 8π B (14.64) 2 TA Since the current frequency is (t) = cFM × s(t), the spectral distribution for the staircase approach of the message signal is in the following frequency range, where smax should mean the maximum of |s(t)|: ΩC − cFM × smax − 4π B ≤ ω ≤ ΩC + cFM × smax + 4π B

14.15.3.1

(14.65)

FM Bandwidth with the Carson Formula

The required RF bandwidth BFM for the FM signal can be estimated for an AF bandwidth B according to: 2π × BFM ≈ 2cFM × smax + 8π B = 2ΔΩ + 8π B

(14.66)

1346

D. Rudolph 12 10

F

BFM

8 6 4 2 0 0.1

1

10

Modulation index b =

100

1000

F fAF

Fig. 14.40 Universal curve for the required 99% bandwidth BFM = B99 of an FM modulated signal

In natural frequencies this results in: BFM ≈ 2ΔF + 4B = 2(ΔF + 2B) BFM ≈ 2ΔF + 2B = 2(ΔF + B) Estimate according to Carson (14.67) Especially for a cosine-shaped signal s(t) = Sˆ cos(ωs t), taking into account the modulation index β, Eq. (14.50):   1 ; Carson Formula (14.68) BFM ≈ 2ΔF + 2fs = 2(ΔF + fs ) = 2ΔF 1 + β The graphical evaluation of Eq. (14.68) provides a universal curve for the bandwidth containing 99% of the spectral components of an FM signal (99% bandwidth B99 ), Fig. 14.40 [4, 5]. Many technically interesting signals s(t) have at least approximately a Gaussianshaped probability density function p(s) for the occurring amplitude values. From a measurement point of view, such signals can be simulated by noise. Since the spectral power density G(ω) of the FM has the same shape as the probability density p(s), a spectral distribution according to a Gaussean bell curve follows for this case. The following value can be obtained for the 98% bandwidth of a signal with Gaussian amplitude distribution [6]: √ B98 = 2 2 × 1.645ΔfRMS = 4.68ΔfRMS RMS: root mean square

(14.69)

14 Modulation Methods

1347

14.15.4 Narrowband Modulation Spectrum Equation (14.79) can be directly Fourier transformed, resulting in the spectral distribution of a narrowband angle modulation. The nomenclature ϕ(t) ◦−−−• (ω); s(t) ◦−−−• S(ω) and the modulation theorem are used. Vˆ C [δ(ω − ΩC ) + δ(ω + ΩC ) + j(ω − ΩC ) − j(ω + ΩC )] 2 (14.70) With Eqs. (14.33), (14.35) applies VW M (ω) ≈

ϕ(t) = cPM × s(t) ◦−−−• (ω) = cPM × S(ω) PM t S(ω) FM ϕ(t) = cFM s(τ )d τ ◦−−−• (ω) = cFM × jω

(14.71)

0

With Eqs. (14.70), (14.71) follows now for the spectral distribution of narrowband PM and narrowband FM, Fig. 14.41: Vˆ C [δ(ω − ΩC ) + δ(ω + ΩC ) 2 +jcPM S(ω − ΩC ) − jcPM S(ω + ΩC )] PM  S(ω − ΩC ) Vˆ C δ(ω − ΩC ) + δ(ω + ΩC ) + cFM VFM (ω) ≈ 2 ω − ΩC  S(ω + ΩC ) FM −cFM ω + ΩC VPM (ω) ≈

14.15.4.1

(14.72)

(14.73)

Narrowband WM Bandwidth

For narrowband angle modulation, therefore, the RF bandwidth is (essentially) determined by the AF bandwidth, and the following applies BRF ≈ 2 × BAF Narrowband WM

(14.74)

As you can see from Fig. 14.41, in the spectrum of narrowband FM the spectral components belonging to the higher signal frequencies are represented with a lower amplitude than those belonging to low-frequency signal components. Concerning the

1348

D. Rudolph S(w)

w ⏐VPM (w)⏐

–ΩC

ΩC

w

ΩC

w

⏐VFM (w)⏐

–ΩC

Fig. 14.41 Spectral distribution of narrowband PM and narrowband FM

carrier frequency a hyperbolic profile results, if the spectral density of the message is constant. Here you can already see that the signal amplitudes with higher message frequency are at a disadvantage in terms of noise (and other interference), which is why in practice a pre-emphase is used for analog transmission.

14.16 Modulators and Demodulators for PM and FM Of the numerous technical possibilities, only those are considered which • result in exact or precise modulation and demodulation, and which • can be realized both digitally in hardware and in software (and in principle also analog). These methods are therefore suitable for both analogue and digital communication signals.

14.16.1 Generation of Phase Modulation with I/Q Phase Modulator According to Eqs. (14.34), (14.35) it is possible to write for an angle-modulated oscillation: (14.75) vW M (t) = Vˆ C cos[ΩC t + ϕ(t)] With the angle addition theorem Eq. (14.75) is transformed as follows. vW M (t) = Vˆ C [cos ϕ(t) cos(ΩC t) − sin ϕ(t) sin(ΩC t)]

(14.76)

14 Modulation Methods

1349 Phase modulator cos(j)

j I (t )

X

j(t )

cos(ΩCt ) sin(ΩC t ) sin(j)

jQ (t )

PM [j(t)] –

X

Fig. 14.42 I/Q phase modulator for any value of ϕ(t)

This Eq. (14.76) provides the basis for the I/Q phase modulator. It can be transferred directly into a block diagram, Fig. 14.42. The input variable ϕ(t) is directly proportional to the message signal s(t). If the message signal ϕ(t) is integrated, an FM can also be generated. This type of implementation has been especially developed for modulation of digital signals and has become very important because the formation of cos(ϕ) and sin(ϕ) digitally can be realized very precisely. An angle modulation is then generated with the aid of 2 orthogonal (cos(ΩC t) or sin(ΩC t)) driven multipliers (DSB modulators!). Technically, this type of modulation can be realized completely digitally. This is the great importance of this method. The realization of a PM according to the I/Q method has the following characteristics, which are indispensable for a digital modulation: 1. 2. 3. 4.

The carrier frequency ΩC is stabilized through a quartz oscillator. The lower cut-off frequency for the message signal is 0. Even if the phase angle value ϕ is arbitrarily high, the modulator is not overdriven. The relationship between message signal and phase angle change is linear.

14.16.1.1

Narrowband Phase Modulator

If we restrict ourselves to small values of the phase angle, we get a narrow band angle modulation and the structure in Fig. 14.42 can be greatly simplified. This was the principle used by the first FM transmitters to operate on FM. Narrowband angle modulation is defined by the following: |ϕ(t)|  1  cos ϕ(t) ≈ 1; sin ϕ(t) ≈ ϕ(t)

(14.77)

For the special case of a cosine shaped message signal s(t) = Sˆ cos ωs t the additional condition can be specified: d ϕ(t) = Δ (t)  ωs dt

(14.78)

1350

D. Rudolph

cos(ΩCt ) sin(ΩCt ) j(t )

X



Σ

PM [j(t)]

Fig. 14.43 Phase modulator for small values of ϕ(t)  1

This gives for the angle-modulated signal vW M (t) ≈ Vˆ C [cos(ΩC t) − ϕ(t) × sin(ΩC t)]

(14.79)

The structure associated with Eq. (14.79) is shown in Fig. 14.43. The disadvantage that the phase change may only be very small is offset by the advantage that no nonlinear transmission blocks (cos(· · · ), sin(· · · )) are required. The disadvantage of the low phase excursion (and thus the small frequency deviation) can be overcome by frequency multiplication.

14.16.2 Generation of a Frequency Modulation The direct generation of a frequency modulation is done by means of a voltage- or current-controlled oscillator (VCO or CCO). The message signal therefore controls directly the frequency determining part of the oscillator, Fig. 14.44. A frequency modulator is therefore an oscillator with frequency control input. A change in the capacitance of the resonant circuit via the bias voltage of a capacitance diode CD1 leads only for small input voltages approximately to a proportional (linear) frequency change. The frequency stability of the carrier frequency can only be ensured by means of frequency control when the oscillator is implemented as an analog circuit. The digital variant is the numerically controlled oscillator (NCO), which has a quartz stable center frequency and whose frequency change is exactly proportional to the message signal. Figure 14.45 shows the block structure of an NCO (NCO: numerically controlled oscillator). The core of an NCO is a look-up table in which the basic values of the Cos or Sin oscillations are stored in high accuracy and in sufficient numbers. An appropriate step size is set in the phase accumulator for a desired frequency. The phase can be modulated via the Δ Phase register.

14 Modulation Methods

1351

+Vcc

R2 C5 R3

T1

L1 C4

uo

CD1

C1

C2

C3 R1

u in

Fig. 14.44 Generation of frequency modulation with voltage-controlled oscillator

– phase register

Adder

Phase Accumulator Latch

Sine Lookup Table

Output

Clock

Fig. 14.45 Block diagram of an NCO

14.16.3 Demodulation of a Phase Modulated Signal A phase demodulator needs a reference phase ϕ2 (e.g. ϕ2 = 0), since by definition, the phase is specified as the difference between two oscillations. In the demodulation of phase-modulated data, this reference phase must be generated e.g. by means of a circuit for carrier recovery. This is the only way to demodulate digital message signals. In case of analog signals, on the other hand, carrier recovery is difficult to achieve in practice (Fig. 14.46).

1352

D. Rudolph

uPM (t ) = V cos(ΩCt + j1(t ))

uo(t ) = f (j1(t ) – j 2)

uo(t )

s(t )

uref (t ) = Vref cos(ΩCt + j2)

Fig. 14.46 To demodulate a PM an oscillation with a reference phase ϕ2 is necessary A(w) A2

A0

A1 w (w) (

C)

1

0

2

w

Fig. 14.47 Differentiator for FM → AM conversion

14.16.4 Demodulation of a Frequency Modulation A frequency demodulator needs a reference frequency, which can be easily realised with a resonant circuit, which is technically very simple.25 One of the ways to demodulate an FM is to convert the frequency change of an FM signal into an (additional) amplitude change using a differentiator, Fig. 14.47 [4]. This amplitude change can then be demodulated using an envelope demodulator, as shown in Fig. 14.48. By definition, an angle-modulated signal has a constant envelope. In the practical case this must be enforced (on the receiving side) with the help of a limiter amplifier in advance.

25

In analogue VHF broadcasting, FM demodulators are therefore used, although the angle modulation used there is a PM for higher AF frequencies (due to the pre-emphasis used). The height reduction necessary in the receiver is called deemphasis.

14 Modulation Methods

1353

jFM (t ) Envelope

t

jFM (t )

d dt

jFM (t )

Envelope detector

s(t )

Fig. 14.48 Envelope demodulation of a differentiated FM signal

If a phase demodulator is used to demodulate an FM signal, the output signal of this phase demodulator must be differentiated, Fig. 14.31 on the right. This can be seen as a reversal of the modulation process to generate an FM using a phase modulator. Such a demodulator is usually unsuitable for practical use, since differentiation (treble boost) increases noise and other interference, see Fig. 14.32.

14.17 Noise in FM As already mentioned in Sect. 14.14.2, noise in the demodulated signal plays an important role. We aim at keeping it as low as possible. The noise that affects FM can be explained by the pendulum pointer (Fig. 14.33), see Fig. 14.49.26 The higher the phase deviation, the less the noise will have an effect. With FM, the frequency deviation ΔΩ is constant. As shown in Eq. 14.39, the higher the AF frequency, the smaller is the phase deviation (modulation index) β. The receiver-side noise voltage thus increases linearly with the AF frequency, Fig. 14.50. Because the frequency deviation is much higher in FM broadcasting with 75 kHz than the AF bandwidth with 15 kHz, the noise in the demodulated signal is reduced, Fig. 14.50, while there is no improvement in the demodulation of AM.

26

Noise is covered in detail in the digital modulations.

1354

D. Rudolph

b

b Noise

FM Pointer low AF Frequency

FM Pointer Noise Modulation

FM Pointer high AF Frequency

Fig. 14.49 Impact of a noise in the pointer image of FM. With larger phase deviations the noise has less effect

80 Noise Level %

AM Noise 60

40 20 FM Noise 0

20 10 15 kHz

30 50 40 Frequency / kHz

60

70 80 b = 75 kHz

Fig. 14.50 Triangular curve of the noise voltage at FM demodulation. At a frequency deviation of 75 and 15 kHz AF bandwidth only a small portion (FM noise) is left in the demodulated signalcompared to the noise voltage at AM demodulation (AM noise)

Basically all modulation methods using an increased transmission bandwidth have a corresponding gain. If the signal-to-noise ratio is below a system-specific threshold, demodulation is no longer possible, Fig. 14.51.

(S/N ) 0 dB

14 Modulation Methods

1355

FM System with conventional FM Demodulator

Linear Modulation System with ideal Product Demodulator Threshold of ”full Improvement” Noise Threshold 9 dB

(C/N )i dB

12 dB

Fig. 14.51 The FM shows a threshold below which no demodulation is possible

d(t )

Analog symbols

d1

s1 (t)

Digital mapping

d2

Digital interpolator

DAC

Data

Digital symbols

s2 (t)

Analog modulator I/Q or A/f

Modulated digital signal

Fig. 14.52 Block diagram of the digital modulator: (digital and analog part) i.e. data mapping, interpolation (and rounding), D/A conversion, and analog modulation

14.18 Digital Modulations 14.18.1 Block Diagram of the Digital Modulator As already explained in Sect. 14.1, there are only two possibilities to intervene in the parameters of an RF carrier to modulate it, Eq. (14.5). This is independent of whether the signal is analog or digital. Therefore, each “digital” modulator consists of two parts, a digital signal processing and an analog modulator [7], Fig. 14.52.

1356

D. Rudolph

The modulator in the narrower sense is a quadrature modulator, Fig. 14.22, preceded by digital signal processing. This signal processing determines what type of digital modulation is generated. In the following sections the digital signal processing is considered, as far as it is necessary for the understanding of the high-frequency characteristics of the digital modulations.

14.18.2 Information Transmission Analog and Digital The analog transmission considers in particular the waveforms in the time- and frequency domain. On the other hand, the interference on the transmission path (channel) is only considered in second place. There are only a few ways to do something about this, such as increasing the transmission power or using exponential modulations (FM, PM). This is completely different regarding digital transmission. Here symbols are used for transmission, which can be optimally adapted to the disturbances through the channel. The sent out time curves of symbols have no similarities with the time curve of the message to be transmitted. The advantages of digital transmission become particularly clear when it is compared with an analog transmission. An example of this is a transmission of audio signals, e.g. as music transmission in broadcasting.

14.18.2.1

Analog Transmission

Figure 14.53 shows the block diagram of an analog transmission system with an interference on the transmission channel. Qm is the message source emitting the signal s(t). Sm is the message sink receiving the g(t) signal consisting of s(t) and the interference n(t). With analog transmission, this interference is directly (fully or partially, depending on the type of modulation) fed into the received signal. The signal s(t) is adapted to the channel with respect to • frequency range (by modulation), • bandwidth (by filtering),

Information source Qm

Disturbance

Information

n(t )

sink r (t )

s(t ) Sender

Channel

Fig. 14.53 Analog transmission system with channel interference

g(t ) Receiver

Sm

14 Modulation Methods

1357

• interference of the channel (by selecting the transmission power and the type of modulation).

14.18.2.2

Task of the Analog Receiver

• Task of the analog receiver is to reconstruct the sent message signal s(t) on the receiving side as true to the original as possible. So g(t) = s(t) is desired, which is not exactly possible, because the analog modulated time function on the channel is closely related to s(t). If the received signal suffers an interference, this also affects the demodulated signal g(t). • In modulations with low bandwidth (AM, DSB, QDSB, SSB) the interference has a strong effect. • Modulation with enlarged bandwidth (FM, PM): the higher the bandwidth of the modulated signal (corresponding to the channel bandwidth BRF ), the less interference is generated. • This relationship is expressed by the “Information Capacity Theorem”, to which applies: (14.80) Tt · BRF · D = const Here Tt is the duration of the transmission (here: real time), and BRF is the bandwidth of the transmission and D the channel dynamics. The dynamic D of the channel is given by 

  PS 10 · lg(SNR) 1 = lg 1 + / lg(2) ≈ ≈ SNR/dB Pn 10 · lg 2 3 (14.81)  where PS is the signal energy and Pn the noise energy and PPSn = SNR is the signalto-noise-ratio. D = log2

PS + Pn Pn



• If the bandwidth BRF of the transmission is increased, a lower dynamic Dchannel is required in the channel for equal interference related to the demodulated signal. With a given signal energy PS you can allow a higher interference-energy Pn or with a given Pn the signal energy PS can be reduced accordingly.

14.18.2.3

Digital Transmission

The message signals to be transmitted are converted into digital symbols. Thus, the time curves on the transmission channel are totally different from the message signals at the input and output of the digital transmission system. There is no similarity between the two signal forms (message or symbols). A D/A conversion takes place at the output of the digital transmitter and an A/D conversion of the symbols takes place at the input of the digital receiver, Fig. 14.54.

1358

D. Rudolph

Information source s(t ) Qm

Disturbance

A D

Digital sender

D A

Information sink

n(t )

Channel

A D

Digital receiver

g(t )

D A

Sm

Fig. 14.54 Digital transmission system with channel interference. Digital symbols are transmitted via the channel, but they have analog time curves

• The digital symbols have analog time curves (in the form of a modulated oscillation). The digital symbols are selected so that they optimally match the properties of the channel with regard to • Frequency range (as digital modulation) • Bandwidth (by symbol rounding, therefore analog time curves) • Interference (due to the type of digital modulation and the error protection coding) The disturbances (in the channel) are e.g.: – – – – – – –

Noise (thermal) Echos (multipath propagation) Doppler shifts (moving transmitters, receivers, reflectors) Interferences (external channels) Man–made–Noise (electromagnetic interference due to sparking) Statics (electrical discharges in the atmosphere) Jammer (intentional jammers).

14.18.2.4

Task of the Digital Receiver

The task of the digital receiver is to recognize the sent symbols. In contrast to the analog receiver, the digital symbols in the receiver are not reconstructed. This is an advantage, because a digital symbol can be recognized correctly even if it has changed its shape (slightly) due to interference on the transmission path. If the received symbols are recognized correctly, the digital receiver assigns to them the agreed bit combinations and (despite disturbed symbol form) no receive side interference in the signal being played back. • The sent and transmitted symbols are analog time functions. This is necessary because they must be transmitted as bandlimited modulated signal. Due to the need to optimally adapt the symbols to the most diverse interferences in the channel, a “colourful range” of digital modulation methods, error protection codes and combinations of both has emerged. The typical advantages and disadvantages of digital transmission can be stated:

14 Modulation Methods

1359

• Recognition of (known) symbols is possible without errors (up to a system-related threshold). In contrast to this, an exact reconstruction of a disturbed analog signal is practically impossible. • If the interference becomes too large, too many symbols are not recognized correctly, the error rate increases and the transmission collapses. • Not compatible with analog transmission methods (e.g. in broadcasting new receivers are required). • Higher technical complexity (this does not matter, as customer-specific digital circuits are inexpensive for large quantities and the function is implemented by software). • Bandwidth requirement (lower in digital transmission due to source coding than in analog transmission, e.g. 4 DVB-T TV channels instead of 1 analog channel) • Quantization noise (can always be made lower than in the analog case the channel interference is).

14.18.3 Properties of Signals in the Physical Transmission Channel 14.18.3.1

Analog Signals

In an analog transmission, in principle, all time sequences are permissible that lie spectrally within the limit frequencies permitted by the respective analog system. In this sense, there are no impermissible time sequences. Therefore, a transmitted time sequence that is superimposed with a disturbance (noise, echoes, etc.) in the channel is also a permissible time sequence. The receiver has no possibility to recognize this as inadmissible and to eliminate the disturbance. With this problem analog broadcasting has been fighting since childhood.

14.18.3.2

Digital Signals

With a digital transmission, “only” exactly appointed (analog) time curves are transmitted between sender and receiver. These are composed of exactly defined symbols, and only these are “permitted” time courses. The symbols are selected in such a way that the transmission is optimized, i.e. maximum transmission rate with minimum error rate. The recipient knows all “permitted” symbol forms. It compares the received time function with all known symbol forms. This comparison is done by correlation (in the time domain) or by matched filtering (in the frequency domain). Due to interference, the received symbols are never 100% equal to the symbols stored in the receiver. Rather, there is generally a good agreement with one symbol form and a bad one

1360 Input from Source

D. Rudolph Source Encoder

Encryptor

Channel Encoder

Modulator

Band Spreading

to Channel

Fig. 14.55 Block diagram of a digital transmitter Channel Modeling

from Channel

Band Despreading

Equalization

Symbol Recovery

Channel Decoder

Decryptor

Source Decoder

to User

Demodulator

Carrier Acquisition

Pulse Synchronization

Message Synchronization

Fig. 14.56 Block diagram of a digital receiver; highlighted are the blocks necessary to obtain the parameters for demodulation and decoding (internal receiver)

with all the others. Thus, a decision threshold must be defined above which a “good match” is decided. If the energy in the received symbols is higher than the energy of the disturbances, this procedure will lead to a correct decision with very few exceptions. The symbol recognized in the receiver is assigned the agreed bit sequence and the receive-side bit stream is obtained. This is then error-free and the disturbances in the channel are then no longer noticeable. Disturbances are random variables. In some cases, these can become so large that the recipient decides on an incorrect symbol shape. Then a symbol error occurs. Depending on the bit sequence assigned, one or more bit errors can result. Bit errors can be corrected by error protection encoding (partially or completely). Depending on the structure of the bit errors, different coding methods are applied.

14.18.4 Block Diagrams of the Digital Transmission System The philosophy of digital transmission is to achieve a minimum bit error rate (BER), not the simplest possible hardware, as was the case with analogue transmission. Especially the digital receiver (Fig. 14.56) is always much more complex than the digital transmitter (Fig. 14.55). • The higher complexity in the digital receiver is due to the fact that the signals and characteristic values necessary for demodulation and decoding (e.g. carrier

14 Modulation Methods

1361

Information source

From other sources Message symbols

Source encode

Format

Channel symbols

Encrypt

Multiplex

Channel encode

Pulse modulate

ui

Digital input mi

Synchronization

Digital output mi ui Source decode

Message symbols Information sink

Decrypt

Channel decode

Demultiplex

Digital baseband waveform

z (T )

Detect

Frequency spread

Multiple access

X M T

Si (t )

gi (t )

Bit stream

Format

Bandpass modulate

Digital bandpass waveform

C h a n n e l

hc(t ) Channel impulse response

r (t ) Demodulate & Sample

Frequency despread

Multiple access

R C V

Channel symbols To other destinations

Optional Essential

Fig. 14.57 Block diagram of a digital transmission system; hatched blocks are optional

frequency, carrier phase, symbol clock, data clock, channel characteristics) must be obtained from the disturbed reception signal. • Without exact knowledge of these parameter values no demodulation and decoding of a digital signal is possible. • The more precisely these parameter values can be determined, the smaller the bit error rate (BER) of the recovered data stream will be. The blocks required to obtain the parameter values are also called “inner receiver” [8]. Although the function of this inner receiver is of elementary importance for a digital receiver, in many literature references these blocks are usually not or only very superficially treated. It is often assumed that the digital receiver knows the parameter values “from somewhere”. Then only the outer receiver has to be considered, i.e. demodulation and decoding. In the development of a digital transmission system, the necessary information will first be made available to the receiver via additional lines. However, this would give idealized results, e.g. for BER. Some system simulators also work in this way. The next block diagram, Fig. 14.57, shows a more complete digital transmission system [9]. The synchronization, an important part of the inner receiver, is shown as a freefloating block. The blocks of the outer receiver and the transmitter are shown very well in this figure. One can clearly see that the signal processing in the receiver takes place in the reverse order to the signal processing in the transmitter.

1362

D. Rudolph

There are blocks in digital transmission systems that are indispensable or essential. These are shown in Fig. 14.57 as not hatched. Other blocks are required depending on the application and the channel properties and optionally inserted. These are drawn in hatched lines and are designated as optional.

14.18.5 Channel Capacity and Shannon Limit According to Shannon-Hartley, the following relationships apply to the channel capacity C of a transmission channel disturbed by Gaussian noise, which are determined by the signal power Ps and the noise power N of white noise (WGN) in the channel [9–11].   Ps C = B log2 1 + (14.82) N Let Eb be the energy per bit and fb = R the bitrate. The spectral noise power density in the channel is N0 /2 and its bandwidth is B. With this the signal power is (14.83) Ps = Eb R and the noise power N = N0 B

(14.84)

Inserted in Eq. (14.82) one obtains for a digital transmission, which fully utilizes the channel capacity C:   Eb R C = R = B log2 1 + N0 B

(14.85)

The equation can be reformulated as follows: Eb B = {2R/B − 1} N0 R

(14.86)

Figure 14.58 shows this relationship. All realizable digital modulations in this graph are in the region R < C. In the area of R < C there are two sections. Bandwidth limited: Terrestrial transmission with limited bandwidth and theoretically unlimited power. Power limited: Interstellar transmission with limited power and theoretically unlimited bandwidth. For the last-mentioned range, Shannon has specified a lower limit for the permissible signal-to-noise ratio of Eb /N0 = −1.6 dB (Shannon Limit).

14 Modulation Methods

1363

25

20 Power limited

Bandwidth limited

10.lg(Eb /N0)dB

15

Capacity Boundary R = C 10

RC

0 Shannon Limit Eb /N0 = –1.6 dB −5 0.1

0.2

0.3

0.4 0.5 .6 .7 .8 .9 1 2 Bit Rate/Bandwidth: R/B (bit/sec/Hz)

3

4

5

6 7 8 9 10

Fig. 14.58 Shannon limit curves for channels disturbed by Gaussian white noise. The region R > C where data rate R is higher than the channel capacity C is not attainable

Shannon did not specify which measures would achieve the capacity boundary. Therefore, there are a large number of optional blocks in the block diagram Fig. 14.57 that all serve this purpose. In general, all blocks are never used together at the same time. However, an optimal solution is achieved by distributing the effort to achieve the capacity boundary over several blocks, whereby these can be realized more easily. This is the skill of the system developer.

14.19 Baseband Signals The preparation and processing of signals for digital transmission systems is largely done in the baseband. The baseband is a frequency range from the frequency ω = 0 to a (maximum) cut-off frequency ωc , which is determined e.g. by the applied digital signal processing and its digital processing speed. In this section, the principle of binary transmission in the baseband is presented using examples of binary transmission. The transmission system under consideration should be as simple as possible so that the essential principles of digital transmission can be clearly identified.

1364

D. Rudolph

2 Transmission Paths: I &Q RF Channel

I Symbols

I Symbols

Data

Data

TX

CX

RX

Q Symbols Splitter Mapping

Q Symbols Receiver Demodulator

Modulator Transmitter

Combiner Demapping

Baseband Channel

Fig. 14.59 Block diagram of the I/Q baseband channel

14.19.1 The Baseband Channel The baseband channel has the symbols in the I- and Q-channel and corresponding I and Q output signals as input variables, Fig. 14.59. The I- and Q-channels are orthogonal to each other and can therefore be transmitted in the same frequency range, which results in half the bandwidth in the radio channel. Since two symbol streams (I and Q) must be processed simultaneously in the baseband, they are treated as complex quantities and therefore calculated with complex calculations. • In order to emphasize the principles more clearly, only the (real) I-branch is considered. The symbols are also assumed to be binary symbols. The blocks Splitter (Mapper) and Combiner (Demapper) can therefore be simplified for the time being.

14.19.2 The Transmitter Side First, the symbol generation takes place on the sender side. The transmitted (and disturbed) symbols must be recognized and decoded in the receiver. The correlation (in the time domain) or matched filtering (in the frequency domain) serves for recognition. This is followed by a decision with which the digital information is recovered. The binary data are fed to the input of a pulse generator. This generates a δ pulse “↑” from a logical “L” and a −δ pulse “↓” from a logical “0”. This first creates a d (t) sequence of δ- pulses. d (t) =

∞ 

bi δ(t − iT )

i=−∞

bi is determined by the data bit i. The following shall apply

(14.87)

14 Modulation Methods

1365 δ Impulse Impulse Generator d (t )

Data an

t

Symbol Filter: s(t )

Data Symbols c(t )

t

t Ts

Fig. 14.60 Model of the transmitter side of binary data transmission in the baseband

bi =

+1 : the data bit i is 1 −1 : the data bit i is 0

(14.88)

In this example, there is one symbol for each bit or each symbol represents (only) one bit. These are binary symbols. For the succeeding shaping filter for symbols in this example the impulse response is selected such that a rounded data symbol s(t) in the form of a sin peak results from each input impulse. In total, such analog (time and value continuous) bipolar signal c(t) is created consisting of the rounded data symbols si (t).  c(t) =

∞ 

 bi δ(t − iT ) ∗ s(t) = d (t) ∗ s(t) =

i=−∞

∞ 

si (t)

(14.89)

i=−∞

The binary data, which are only defined at the clock times i · T and therefore time discrete, are mapped to time-continuous analog symbols s(t) through this mapping process. The symbol shape in this example is chosen so that the individual symbols do not overlap, Fig. 14.60.27 As can be seen from Fig. 14.60, only one symbol form s(t) is used for this digital transmission (shape: cosine cap resp. sinus cap). It can change its sign according to the incoming data bits. This is the (digital) information that the receiver must recognize and output.

14.19.3 The Receiver’s Side The digital receiver must know the exact form of the symbols in the time domain. It compares the received data symbols with the known symbol form and calculates a value for the similarity with this known form (demodulation). From this a decision is made with the help of a suitably selected threshold, whether the received symbol should be a “L” or a “0” (detection). The (here selected) symbol shape is a cosine cap. The data stream c(t) is therefore composed of ±s(t) symbols. The received symbols si (t) are therefore: 27

The symbol form selected in the example is time-limited. Therefore, it has (theoretically) an unlimited bandwidth. Data symbols whose spectrum is limited last (theoretically) ∞ long and lead to symbols that overlap.

1366

D. Rudolph n(t )

Receiver

Threshold comparison

Sample at t = T

Si (t ) c (t )

Linear Filter h (t )

r (t ) = Si (t ) + n(t )

Si (t )

H1 z (t ) = ai (t ) + n0(t )

g

z (T ) H2 z (T ) = ai (T ) + n0(T )

Fig. 14.61 The basic steps for the recognition and detection of digital signals

n (t )

Reset t = nT Synchronization u (t )

r (t )

c (t )

z (t ) u (t )dt

Symbol Generator

s (t )

Correlator Receiver

Channel

Fig. 14.62 Model of the receiver side for a binary data transmission in the baseband (correlation reception)

si (t) = +s(t) = s1 (t) or si (t) = −s(t) = s2 (t)

(14.90)

In addition, white Gaussian noise n(t) (AWGN additive white Gaussian noise) is added as an additive in the channel. This gives the digital receiver a block diagram according to Fig. 14.61 [12]. Since the channel adds interference n(t) (in the simplest case the AWGN), the receiver does not process the transmitted data signal c(t) (or the undisturbed symbols si ) available, but only a disturbed received signal r(t) = c(t) + n(t). As a result, the receiver’s decisions can become incorrect and the received data stream then contains symbol or bit errors.

14.19.3.1

Correlation Receiver

Since in a digital transmission the temporal course of the digital symbols is evaluated in the receiver (for demodulation), it appears appropriate to determine the similarity with the help of correlation. The receiver then contains a cross correlator, which is used to compare each received (distorted and disturbed) symbol form from r(t) with the (undistorted) symbol form s(t) stored in the receiver. This requires symbol generator and clock to be synchronized, Fig. 14.62.

14 Modulation Methods

1367

In general the correlation ρrs (τ ) (depending on the mutual time shift τ ) results as ∞ ρrs (τ ) =

r(t)s(t + τ )dt

(14.91)

−∞

The correlation ρrs (τ ) has double the width 2T , if the symbol duration is T . This is completely analogous to the width of the output signal in a convolution, and in fact a correlation can be calculated using a convolution when the first function is mirrored.28 On the other hand, for the purpose of identification of symbols not the entire course ρrs (τ ) of cross-correlation is of interest, but actually only the value, which results, if both symbols are synchronized, the mutual temporal shift is τ = 0, thus ρrs (0). ∞ ρrs (0) =

T r(t)s(t)dt  ρrs (0) =

−∞

r(t)s(t)dt; nT ≤ t ≤ (n + 1)T

(14.92)

0

The received symbols from r(t) and the symbol s(t) in the receiver may therefore have no mutual time shift τ . Therefore a perfect synchronism between the incoming symbols in r(t) and the locally created symbols s(t) must exist. In the correlator, the product of the two symbols to be compared is integrated during the period T . Figure 14.63 shows the sent data symbols s(t) without interference by the channel, the resulting time function v(t) after multiplication by the symbol form and the time function integrated over the cycle time T , with subsequent dump or reset. For the symbol shape in the transmitter s(t) was selected (sinus cap):   t ; 0 < t < T Dimension: Voltage s(t) = a sin π T

(14.93)

After multiplication with symbols s(t)/a of the amplitude 1 in the receiver (in undisturbed case):      t a t v(t) = s (t)/a = a sin π = 1 − cos 2π ; 0 ωc (1 + ρ) (14.167) The impulse responses hrrc (t) = hT (t) = hR (t) can be specified by formula hrrc (t) =

4ρ(t/T ) cos[π(1 + ρ)(t/T )] + sin[π(1 − ρ)(t/T )] π(t/T )[1 − (4ρ(t/T ))2 ] Impuls response RRC

(14.168)

Figure 14.86 shows these impulse responses for roll-off factors ρ = [0, 0.2, 0.5, form and for ρ = 1 the form g(t) from the cosine 1]. For ρ = 0 you get the sin(x) x cap. It can be clearly seen that the zero passes (for ρ = 0) here (unlike Fig. 14.84) no longer occur at the clock times t = k · T . The transmitter with pulse forms according to Fig. 14.86 works in the base band. The symbols shown are therefore not modulated on a high-frequency carrier. A data stream (in the base band) consisting of root-raised cosine (with ρ = 0.5) rounded data symbols is shown in Fig. 14.87, together with the resulting eye diagram [18]. It can be clearly seen that in root-raised cos smoothing the data symbols at the sampling times do not assume always the same value, in contrast to raised cosine filtered symbols, Fig. 14.90.

14.23.1 The Eye Diagram The eye diagram provides a good overview of the effect of a symbol smoothing. This occurs during an oscilloscopic measurement of the data stream if the time

1398

D. Rudolph Impulse Response of Root Raised cos Filter

1.2 hT (t ), hR (t ) 1

0.8

Amplitude

0.6

0.4 ϱ=1

ϱ = 0.5

ϱ=0

0.2

0

ϱ = 0.2

−0.2 −8

−7

−6

−5

−4

−3

−2

−1

0

1

2

3

4

5

6

7

8

t/T

Fig. 14.86 RRC data pulses with roll off factor [ρ = 0, 0.2, 0.5, 1]

deflection is selected so that one or two rounded data fit on the screen. For this measurement with the oscilloscope you need a data source with (quasi) random sequences (PRBS: pseudo random binary sequence) and an external trigger with the data clock, Fig. 14.88 [19]. Due to the triggering, the sum of the time courses of the symbols is written phase correct on the screen of the oscilloscope. The eye diagram provides criteria for evaluating the (received) data stream, Fig. 14.89 [14]. For each value of the roll-off factor ρ there is a calculable form of the eye diagram. The following can be read from the marked sizes: Vertical aperture a: The larger a is, the less the effect of noise, echoes, etc. will be. Horizontal aperture b: The greater b, the less the effect of a (constant) phase shift of the clock time. Gradient in eye c: The flatter c runs, the lower the sensitivity to clock jitter. Q-factor: From the value of a and the size of the noise σ0 , σ1 at the decision time follows the Q-factor with which the error rate can be determined.

14 Modulation Methods

1399 Root Raised Consine Signal, ϱ = 0.5

2

1

0

−1 −2

0

20

40

60 Symbols

80

100

120

Root Raised Cosine Eye Diagram, ϱ = 0.5

Fig. 14.87 Data symbols and eye diagram for RRC smoothing (ρ = 0.5)

PRBS

y

Data

LPF

Symbol Clock Trigger Input

Fig. 14.88 Block diagram for measuring the eye diagram with an oscilloscope. Data stream from digital pseudo random source (PRBS) an triggering with the data clock T (symbol clock)

QF =

a Q factor σ0 + σ1

(14.169)

Figure 14.90 [18] shows the eye diagrams of cosine roll-off rounded data symbols with ρ = [1, 0.5, 0], as well as the respective values of sizes a and b. The size Δx marks a clock offset of T /16.

1400

D. Rudolph 1.5

Eye Diagram r = 0.7

1 a

0.5 c 0 b –0.5

–1

–1.5 –0.6

–0.4

–0.2

0

0.2

0.4

0.6

t/T

Fig. 14.89 Assessment criteria for eye diagrams: a vertical opening, b horizontal opening, c gradient in the eye r=1

3

r = 0.5

1.5

1

r

0

2 1 0.5

1− x = 0.94

0

1

0.5

th/T=1

1– x = 0.87 th/T=0.8

0

0.63 th/T=0.32

0

−0.5

−1

−0.5 −1

−2 −1 −0.6

−1.5 −0.4

−0.2

0 t /T

0.2

0.4

0.6

−0.6

−0.4

−0.2

0

0.2

0.4

0.6

−3 −0.6

−0.4

−0.2

t /T

0

0.2

0.4

0.6

t /T

Fig. 14.90 Eye diagrams with roll-off ρ = 1 (left), ρ = 0.5 (center) and ρ = 0 (right); scales of vertical axes are different

14.24 Digital Single-Carrier Modulation Methods From the point of view of digital electronics, the signals only exist at the sampling times TA . For the description of digital properties of these signals it is quite enough. Since intermediate time values are not of interest, the exact course of the signals from one logical value to the next is also irrelevant. As long as the digital signals remain within a closed (and shielded) system (e.g. a PC) and the clock frequency is not too high, this approach may be sufficient. However, as soon as the digital signals are to be transmitted, the digital approach is no longer sufficient. Now it is important that the signals comply with a prescribed spectral bandwidth. Thus the signals must be considered and treated like analog signals. Thus also the times and the time courses of signals between the sampling times become important.

14 Modulation Methods

1401

For modulation, therefore, analog signals (with digital properties) rather than digital signals in the conventional sense are to be considered. To simplify matters, the amplitude resolution is assumed to be arbitrarily fine, so that strictly speaking not digital signals, but time discrete signals are assumed.

14.24.1 Model of the Digital Modulator The starting point is the I/Q baseband transmission block diagram, Fig. 14.59. The baseband channel is, according to Fig. 14.59, the part of the transmission link between the base-frequency input signals and the corresponding output signals, thus includes the high-frequency radio channel. The basic principle of radio data transmission can also be seen: • The data stream is divided into two to each other orthogonal symbol streams that are transmitted in the same frequency band, which halves the required bandwidth in the RF range. A modulator for digital signals always consists of • a mapper for the data to digital symbols, • a digital interpolator (with D/A conversion at the output) for obtaining the analog band-limited symbols and • a (Cartesian or polar) analog modulator Fig. 14.59. The analog modulator receives two analog symbol streams s1 (t) and s2 (t) as modulating signals. The digital modulation takes place in 2 steps: 1. Processing of the data d (t) (or a message signal m(t)) in the baseband. This is done according to the state of the art exclusively by digital signal processing. The result of this processing are 2 (analog) baseband signals (low-pass signals) s1 (t) and s2 (t), consisting of suitably shaped digital symbols with which the RF part (in Fig. 14.59: the analog modulator) is driven. 2. Influence of the two (analog) baseband signals s1 (t), s2 (t) on the RF carrier oscillation. This represents modulation in the more narrow sense. The two (analog) baseband signals (symbol streams) s1 (t), s2 (t) can be displayed as: • Cartesian signals I (t) and Q(t) (real and imaginary part) or as • polar signals A(t) and φ(t) (amplitude and phase). For each of these display modes there is a block structure for the modulator. • Any modulation type can be generated with either of the two modulator structures. • The achievable efficiency of the polar modulator is higher than that of the Cartesian. • Realization effort and quality properties are – depending on the modulation type – different for the two structures.

1402

D. Rudolph

14.24.2 Systematics of Digital Modulations In digital modulations, the states of amplitude and phase at the sampling times are of interest. This requires an intervention in the parameters of the high-frequency carrier. Many digital modulations use 2 orthogonal carriers (cos(ΩC t) and sin(ΩC t)). The following systematics exist: • The “effect” of the intervention in the parameters of the carrier (or the two carriers orthogonal to each other) interests in relation to the digital information always only at the sampling times. • With many digital modulations, it is common to modify the amplitude in order to realize a desired phase at the time of sampling. • Due to this fact, the analog and digital names for various modulation types are different, as shown in Table 14.1. • A variable amplitude of the modulated signal is only of interest digitally at the sampling times and is therefore often ignored or regarded as unimportant in between. • From the name of the digital modulation it can therefore generally not be concluded which parameter of the RF carrier oscillation was influenced in which way. • To maintain the bandwidth of the modulated signal, it is necessary to transmit it exactly, i.e. at all times and with all variations in amplitude. • All digital modulations that intervene in the amplitude, have a minimum bandwidth. In this respect, there is no difference to the corresponding analog modulations.

14.24.3 Quadrature Modulation Method: Intervention into the Amplitude of the Carriers Here the Cartesian signals s1 (t) = I (t) and s2 (t) = Q(t) are formed, Table 14.2. The actual modulation is done by multiplying the I (t) signal by a cosine carrier cos(ΩC t) and the Q(t) signal by a sine carrier sin(ΩC t), Fig. 14.91. These two carrier Table 14.1 Systematics of digital modulations (x = (2), 4, 8, 16, 32, . . .) Digital modulation type

Carrier

Intervention in

Effect on

Amplitude

Analog

x PSK (linear)

cos, sin

Amplitude

Phase

Fluctuates

QDSB

x QAM (linear)

cos, sin

Amplitude

Amplitude, Phase Fluctuates

QDSB

CPM (nonlinear)

cos

Frequency

Frequency, Phase Is constant

FM

Table 14.2 Mapping functions in Cartesian representation Modulation type

In phase signal I (t)

Quadrature phase signal Q(t)

Linear (like QDSB)

I (t) = mI (t) ) , t I (t) = cos KFM −∞ m(τ )d τ

Q(t) = mQ (t) ) , t Q(t) = sin KFM −∞ m(τ )d τ

Non linear (like FM)

14 Modulation Methods

1403

Baseband s1(t ) = I(t ) Message Signal



Carrier Oscillator

–90°

m(t )

cos ( sin (

I (t ) cos (

ct) ct)

 -

ct)

–Q (t ) sin (

ct)

s2(t ) = Q (t ) Generator

Fig. 14.91 Block diagram of an I/Q modulator (carrier amplitude Vˆ C = 1)

signals are orthogonal to each other. Thus only the amplitude of the carrier is affected. This is recognizable by the multipliers in the block diagram Fig. 14.91. This gives for the modulated signal: vBP (t) = Vˆ C · {I (t) · cos(ΩC t) − Q(t) · sin(ΩC t)} bandpass signal

(14.170)

There are two digital modulations, BPSK (2PSK, Phase Shift Keying) and OOK (On Off Keying), where Q(t) = 0. Since the modulation signals I (t) and Q(t) as well as the carrier signals cos(ΩC t) and sin(ΩC t) change their sign, four quadrant multipliers are required in an I/Q modulator. Four quadrant multipliers are built for low power only. The generated digital modulation signal has to be amplified in a linear amplifier to the required transmit level.

14.24.4 Amplitude-Phase Modulation Method: Intervention in Amplitude and Phase of the Carriers Amplitude signals s1 = A(t) and phase signals s2 = φ(t) are formed for this, Table 14.3. The actual modulation is done by multiplying the amplitude signal A(t) by a Cosshaped RF carrier, which was previously modulated by the phase signal φ(t) in its phase, Fig. 14.92. As output signal vBP (t) results:

Table 14.3 Mapping functions in polar representation Modulation method Linear (like QDSB)

Amplitude signal A(t) A(t) = mI (t)2 + mQ (t)2

Non linear (like FM)

A(t) = 1

Phase signal φ(t)   mQ (t) φ(t) = arctan mI (t) t φ(t) = KFM −∞ m(τ )d τ

1404

D. Rudolph

Baseband A (t ) Message

Carrier Oscillator

A (t ) cos {

ct

+ (t )}

Signal cos (

m(t ) (t ) Generator

Phase Modulator

ct)

cos {

ct

+ (t )}

Fig. 14.92 Block diagram of an A/ modulator: polar modulator (Vˆ C = 1)

vBP (t) = Vˆ C · {A(t) · cos[ΩC t + φ(t)]} bandpass signal

(14.171)

Table 14.3 shows that for nonlinear digital modulations the amplitude is A(t) = 1. Technically, A(t) does not have to be generated separately in this case, because a constant voltage (supply voltage) can be used in the RF part. The phase modulator in Fig. 14.92 is also realized as I/Q structure according to Fig. 14.42. Since the amplitude is always positive (A(t) ≥ 0), only one two quadrant multiplier is required for an A/ modulator. The technical realization of a two quadrant multiplier allows the generation of high transmission powers with high efficiency. Modern mobile phones therefore use polar modulators. This extends the service life of the battery.

14.25 The Complex Envelope According to Fig. 14.52, the main difference between analog and digital modulations is that a “digital preprocessing”, consisting of splitter, mapper, interpolator, digital to analog converter is applied before the actual modulator for the digital modulations. Prior to the actual modulation process, however, you are in the baseband. It is therefore quite common to look at digital modulations in the baseband only. This is used, for example, in the “complex envelopes” or the “vector diagram”. The modulated digital signal is a (real) bandpass signal vBP (t). Since the digital information usually in the amplitude A(t) as well as in the phase φ(t) is transmitted, the following applies: vBP (t) = A(t) cos[ΩC t + φ(t)] Polar representation

(14.172)

As an alternative to the polar, there is the Cartesian representation. vBP (t) = I (t) cos ΩC t − Q(t) sin ΩC t Cartesian representation

(14.173)

14 Modulation Methods

1405

Fig. 14.93 Locus curve (section) of complex envelopes of I/Q modulation

Quadrature Phase Qmax

Q (t )

A(

t)

(t )

I max

I (t ) In-Phase

In the (Cartesian) description, the phase angle φ(t) is taken into account as the angle of the complex envelope g(t). g(t) = I (t) + jQ(t) = vLP (t) complex envelope

(14.174)

So the complex envelope of the bandpass signal g(t) is a complex lowpass signal vLP (t). Thus vBP (t) can be represented as real part  of a complex entity g(t) · ejΩC t . vBP (t) = {g(t) · ejΩC t } Bandpass signal vBP (t) = {[I (t) + jQ(t)] · [cos ΩC t + j sin ΩC t]} = I (t) cos ΩC t − Q(t) sin ΩC t

(14.175) (14.176)

The conversion between Cartesian and polar can then be summarized. A(t) =

2 I (t)2)+ Q(t) ,

φ(t) = arctan Q(t) I (t) I (t) = A(t) cos φ(t) Q(t) = A(t) sin φ(t) g(t) = vLP (t) = A(t)[cos φ(t) + j sin φ(t)] = A(t)ejφ(t) vBP (t) = {g(t) · ejΩC t } = {A(t)ej[ΩC t+φ(t)] }

Magnitude Phase I Component Q Component complex envelope Bandpass signal (14.177)

If the time functions I (t) and Q(t) are plotted as g(t) = I (t) + jQ(t) (Y via X representation in the oscilloscope), Fig. 14.93, the locus curve of the complex envelopes of the I/Q modulation is obtained.

1406

D. Rudolph

From Fig. 14.93 the connection between I (t), Q(t) (Cartesian) and A(t), φ(t) (polar) is immediately apparent.

14.25.1 Representation of Modulation Schemes with the Aid of Complex Envelopes Depending on how the message m(t) controls the complex envelope g(t), different types of modulation result. For the mapping function g[m(t)] only those relationships are meaningful for which a unique inverse function m[g(t)] exists and which can also be realized.

14.25.1.1

Complex I/Q Modulator

Equations (14.175) and (14.177) lead to the block diagram for a complex I/Q modulator, Fig. 14.94. Starting from Eq. (14.174) follows: vLP (t) = g(t) = I (t) + jQ(t) complex envelope + (t) = vLP (t) · ejΩC t complex BP signal vBP = [I (t) + jQ(t)] · [cos ΩC t + j sin ΩC t] = I (t) cos ΩC t − Q(t) sin ΩC t +j Q(t) cos ΩC t + I (t) sin ΩC t       + {vBP (t)}=vBP (t)

(14.178)

+ {vBP (t)}

Thus the modulated signal becomes in accordance with Eq. (14.173): + (t)} = I (t) · cos ΩC t − Q(t) · sin ΩC t Bandpass Signal (14.179) vBP (t) = {vBP

Thus there is also a match between the real I/Q-modulator, Fig. 14.91 and the complex I/Q-modulator, Fig. 14.94.

Fig. 14.94 Block diagram of the complex I/Q modulator

complex Multiplication

Real Part + u BP (t ) +

u BP (t )

u LP (t ) e j Ωct

u BP (t )

Data

14 Modulation Methods Tb 1

0

0

1407

1

1

0

1

0

0

1

0

0

1

1

0

t

–A I Symbols

0

A

d1 (t ) A

t –A

Q Symbols

d2 (t ) A

t –A Ts = 2Tb

Fig. 14.95 Formation of (non-rounded) bipolar I and Q data symbols (d1 (t), d2 (t)); non-causal representation

14.25.2 The Vector Diagram According to the representation in Fig. 14.52 the incoming data stream is split into two partial streams d1 and d2 . In the simple case this is done bit-wise. This makes the symbol clock TS twice as long as the bit clock TS = 2Tb , if Tb is the bit clock. Since the data are arriving with the data clock Tb , you have exactly 1 value per clock Tb available. Therefore, the data is time-discrete. This also applies to the data symbols d1 and d2 , with the clock TS . This results in non-rounded bipolar symbols d1 (t) and d2 (t) with a symbol clock TS = 2Tb , Fig. 14.95.33

14.25.2.1

Constellation Diagram, Signal Space, Phase Star

If the (D/A converted, unrounded) symbols d1 are assigned to the Y input and the symbols d2 to the X input of an oscilloscope and the display is triggered to record a short time exactly at the symbol clock times TS , the constellation diagram (signal space, phase star) is displayed.

33

In the early days of digital transmission, these unrounded symbols (without interpolation) were fed directly to the modulator. A filtering took place (more badly than right) on the RF side.

1408 Fig. 14.96 Constellation diagram (signal space, phase star) of the QPSK (4PSK); At the sampling times the (normalized) amplitude is √ A = 2 and the phase has changed by n · π/2; (n = 0, 1, 2, 3)

D. Rudolph QPSK

1.5

1

0.5

t A(

)

(t ) 0

–0.5

–1

–1.5 –1.5

–1

–0.5

0

0.5

1

1.5

For the selected example you get a phase star according to Fig. 14.96. The associated digital modulation is called QPSK (quadrature phase shift keying) or 4PSK. The designation of the phase star is (usually) analogous to the respective digital modulation. Please note that the names for digital modulations are very different from the names for analog modulations. For example, “QPSK” is called quadrature phase shift keying. QPSK is a linear modulation (according to QDSB) and no (nonlinear) phase modulation, see Tables 14.2, 14.3 and 14.4. • The term QPSK is clearly explained by the phase star. The amplitude A always has the same value at the respective sampling times, but the phase angle  may have changed. If only the sampling times are considered (digital view), it became obvious that in the meantime, the phase is “toggled”. However, this approach does not take into account the spectral properties of the signals. However, they are responsible for ensuring whether the bandwidth of the channel is maintained or exceeded.

Table 14.4 Modulations and mapping functions Modulation methods Mapping function g[m(t)] Linear (like QDSB) Non linear (like FM)

g[m(t)] = [mI (t) + j mQ (t)] ) , t g[m(t)] = exp jKFM −∞ m(τ )d τ

Raised Cosine Signal, ϱ = 0.5, I (t ) I (t )

2 1 0 −1 −2

1409

0

2 1 0 −1 −2

20

40

60 Symbols

80

100

120

Raised Cosine Signal, ϱ = 0.5, Q (t ) Q (t )

Q (t )

I (t )

14 Modulation Methods

Symbols

2 1 0 −1 −2

2 1 0 −1 −2

Root Raised Cosine Signal, ϱ = 0.5, I (t )

0

20

40

60 Symbols

80

100

120

Root Raised Cosine Signal, ϱ = 0.5, Q (t )

0

20

40

60 Symbols

80

100

120

Fig. 14.97 Time courses and eye diagrams of I and Q symbols I (t), Q(t) example: QPSK, (Left: raised cosine; right: root raised cosine; roll-off factor ρ = 0.5)

14.25.2.2

Digital Interpolation

In the interpolator, mesh points must be formed between the clock times TS and the values of the rounded symbol shapes (e.g. corresponding to Root Raised Cosine) must be calculated. These initially appear in digital form as bytes at the output of the interpolator. This gives (after a D/A conversion) the analog symbol streams I (t) = s1 (t), Q(t) = s2 (t), Fig. 14.97. These are fed to the (analog) modulator, resulting in digital modulation, Fig. 14.91. In the modulator, the I (t) symbols are multiplied by a cosine carrier and the Q(t) symbols by a sine carrier, Fig. 14.91. This results in a quadrature double side band modulation (Q–DSB), which can be seen in the example Figs. 14.95 and 14.97 with QPSK (quadrature phase shift keying) or 4PSK (4 phase shift keying).

14.25.2.3

Vector Diagram

If the (rounded) symbols provided by the interpolator are given I (t) to the X-input and the Q(t) symbols to the Y-input of an oscilloscope, the transitions between the constellation points can also be seen. The resulting patterns are called vector diagrams. Figure 14.98 shows vector diagrams for QPSK symbols that are rounded according to Root Raised Cosine (transmitter) and those that are rounded according to Raised Cosine (on the symbol-decider in the receiver). A comparison with Fig. 14.93 shows that the vector diagram is identical to the complex envelope of the corresponding digital modulation when a complex I/Q axes of coordinates is assumed. In Fig. 14.99 further examples of vector diagrams (“raised cosine” rounded) are shown.

1410

D. Rudolph Root Raised Cosine QPSK

Raised Cosine QPSK

ϱ = 0.5

ϱ = 0.5

Fig. 14.98 Vector diagram for QPSK symbols “root raised cosine” rounded (left) and “raised cosine” rounded (right) Fig. 14.99 Vector diagrams of QPSK, OQPSK, π/4DQPSK, EDGE

QPSK DQPSK

OQPSK EDGE

The vector diagrams show exactly which values the two symbol streams can assume between the constellation points. Modifying the QPSK, the offset QPSK (OQPSK), avoids the zero crossings in the vector diagram, as can be seen in Fig. 14.99. The same applies to the modulations π/4DQPSK and EDGE.

14 Modulation Methods

14.25.2.4

1411

Polar Modulator and Vector Diagram

In particular, it is of great interest to the sender whether the modulating message can assume the value zero. Since digital transmitters should have a high efficiency, they are often realized as a polar modulator, Fig. 14.92. This requires the conversion of the Cartesian I and Q signals into polar A and P = φ signals, Eq. (14.177), in baseband signal processing. This conversion is a non-linear operation. As a result, band-limited I (t) and Q(t) signals become no longer band-limited amplitude signals A(t) and phase signals P = φ(t), as can be seen in the example of band-limited (complex) noise as a message signal, Fig. 14.100. As can be seen from Fig. 14.101, the phase-modulated RF signal also has a significantly increased bandwidth. And only when the (complete) RF − P signal is multiplied by the (also complete) A signal the bandlimited digital RF signal is restored. The spectral widening therefore requires a correspondingly high bandwidth within the polar modulator so that its (modulated) output signal reaches the same bandwidth as with a Cartesian modulator [20, 21]. To limit the required higher bandwidth, there is another requirement regarding the type of digital modulation as shown in the vector diagram. Avoid all modulation types where the paths in the vector diagram go through the point 0/0 or run close to it, e.g. QPSK, Fig. 14.98. With each narrow pass, the phase signal φ(t) has a fast phase change, Fig. 14.103, which becomes noticeable as a “needle-shaped” frequency change, increasing its bandwidth. This can be explained using the example of bandlimited noise (complex noise) for the I and Q signals, Fig. 14.102. The complex noise is a “model signal” for a multicarrier signal, such as COFDM. If, for example, the bandwidth required for a multicarrier signal in a polar modulator is technically impossible or difficult to achieve, the digital signal can be modified to create a “hole” in the vector diagram as a remedy. For this purpose, a threshold TC is defined for the amplitude signal A, which prevents A < TC , Fig. 14.104. This

Fig. 14.100 Typical spectra of I and Q and A and P = φ(t) signals in base band

20 0 P Signal −20

dB

−40 A Signal

−60 −80

I / Q Signal −100 −120

0

2

4

6

8

Frequency x 104 / Hz

10

12

1412

D. Rudolph

Fig. 14.101 Typical spectra of the phase-modulated RF signal and the digital modulated RF signal

0 –10 –20

RF-P Signal

–30

dB →

–40 –50 –60 –70 –80

Digital RF signal

–90 –100

0

2

4

8

6

10

12

14

Frequency ×104/Hz →

Fig. 14.102 Vector diagram of bandlimited complex noise

1

Vector Diagram of Complex Noise

0.8 0.6 0.4 0.2 0 −0.2 −0.4 −0.6 −0.8 −1 −1

−0.8 −0.6 −0.4 −0.2

0

0.2

0.4

0.6

0.8

1

results in soft clipping of the multicarrier signal and a “hole” in the vector diagram, Fig. 14.105. By inserting the “hole” into the vector diagram, errors are introduced into the digital signal. However, these can be corrected by software if the clipping information is transmitted together with the transmitted data. Another critical point of the polar modulator are the signal propagation times in the phase and amplitude branches. These must be identical. How strongly differences in the two branches affect, shall be shown by the example of a QPSK, Fig. 14.106. The mean slope of these out of band emissions is a function of the size of the “hole”

14 Modulation Methods 20 Phase [rad]; Normalized Frequency Deviation

Fig. 14.103 Phase signal and frequency jumps of bandlimited complex noise

1413

Frequency Deviation

10 0 −10 −20 −30 −40

Phase Signal

0

0.002 0.004 0.006 0.008 0.01 0.012 0.014 0.016 0.018 0.02

Normalized Time

Fig. 14.104 A signal, clipping threshold and soft clipping signal

1 A Signal

0.8

Normalized Amplitude

0.6 0.4 0.2 0

Clipping Threshold

−0.2 Soft Clipping Signal

−0.4 −0.6 −0.8 −1

0

0.002 0.004 0.006 0.008 0.01 0.012 0.014 0.016 0.018 0.02

Normalized Time

in the vector diagram, Figs. 14.107 and 14.99. The average slopes of the modulated RF signal and the RF − P signal match. The smaller the delay in the polar modulator and the steeper the slope of the typical spurious emissions for the modulation type, the easier it is to comply with the requirements for the out of band emissions. A polar modulator works particularly well with modulation modes providing constant amplitude or envelope, because in these cases the A signal will be a constant value.

1414

D. Rudolph Vector Diagram of Complex Noise with Hole

1 0.8 0.6 0.4 0.2 0 −0.2 −0.4 −0.6 −0.8 −1 −1

−0.8 −0.6 −0.4 −0.2

0

0.2

0.4

0.6

0.8

1

Fig. 14.105 Vector diagram of the bandlimited complex noise with “hole” Spectrum of QPSK with Delay between A & RF-P Signal Delay = [0,1,2,4,8,16,32,64,128]/(128BRF) 0 −10 −20

mean slope 3.8dB/BRF

Delay

Power/dB

−30 −40 −50 −60 −70 −80 −90 −8

−6

−4

−2 0 2 Normalized Frequency

4

6

8

Fig. 14.106 Spurious emissions at QPSK depending on delay between A signal and RF − P signal

14 Modulation Methods

1415 Spectra of RF – P Signals

0 −10 EDGE QPSK −20

Power/dB

−30 −40

Slope

−50

3.8dB/BRF

−60

4.4dB/BRF

−70

5.9dB/BRF

−80 −90

p/4 DQPSK OQPSK

−8

−6

−4

−2

11dB/BRF 4

2

0

6

8

Normalized Frequency

Fig. 14.107 Spectra of RF − P signals from QPSK, EDGE, π/4DQPSK and OQPSK

t

DC Supply UD

Envelope Detector RF Input

Amplitude Modulator

t RF Output

Limiter

RF Amplifier

Fig. 14.108 Block diagram of a polar power amplifier using the EER method

14.25.2.5

Polar RF Amplifier

Polar modulators are used because of their high efficiency. Ready-made ICs are available for mobile radio applications with portable devices. For higher power transmitters, the polar modulator is replaced by an I/Q modulator, followed by an EER (Envelope Elimination and Restoration) power amplifier, Fig. 14.108 [22]. Due to the I /Q → A/φ conversion also used here, it places correspondingly high demands on its internal bandwidth like a polar modulator. The EER power amplifier is de facto a realization possibility for a polar modulator.

1416

D. Rudolph

14.26 Quadrature Carrier System A cosine carrier Vˆ C cos(ΩC t) and an orthogonal sinus carrier Vˆ C sin(ΩC t) are used, see Fig. 14.91. The data stream d (t) is split into 2 sub-streams, which are combined to symbols dI and dQ (as bytes). This mapping of data to symbols is called Mapping. An optional pre-encoding follows the mapping, which is used, for example, for the difference encoding or for a time offset of Q(t) versus I (t). The following interpolation (with higher clock rate) forms the symbols I (t) and Q(t). The interpolator provides a low-pass filter and must be designed as a FIR filter, to make the I (t) and Q(t) symbols symmetric. After a D/A conversion, these are fed to the quadrature DSB modulator as analog signals. Thus a quadrature double sideband modulation (QDSB), vQDSB (t) is created (from a transmission point of view), Fig. 14.109. • The resulting linear digital modulation (e.g. 64QAM, 8PSK etc.) is only dependent on mapping and pre encoding. vQDSB (t) = Vˆ C · {I (t) · cos(ΩC t) − Q(t) · sin(ΩC t)}

(14.180)

The DSB modulated Q(t) signal has 90◦ phase rotation compared to the DSB modulated I (t) signal, so it is orthogonal to it. The two modulated oscillations thus generated have the same center frequency ΩC , but are orthogonal to each other. They can therefore be separated again on the receiving side. The QDSB thus allows twice as much information to be transmitted in the same frequency band as the DSB. This property can be seen in the block diagram Fig. 14.109. QDSB produces a modulation which is modulated both in amplitude and in phase (of the RF oscillation), see also the complex envelope Fig. 14.93. A trigonometric transformation of Eq. (14.180) gives the same facts. vQDSB (t) = Vˆ C ·



I (t)2

+

Q(t)2

dI



Interpolator

Pre-Coding

Mapping

DAC

−90° LP dQ

(14.181)

I(t) LP

d(t)

  Q(t) cos ΩC t + arctan I (t)

DAC

cos(ΩCt)

+

sin(ΩCt)



Σ

QPSK QAM

Q(t)

Fig. 14.109 Block diagram for generating QPSK and QAM (analog: QDSB). The baseband signal processing contains the blocks: mapping, pre-encoding, interpolator (with D/A conversion)

14 Modulation Methods

1417

Fig. 14.110 Constellation diagram (phase star, signal space) and decision limits of the QPSK (4PSK)

Q 01

11

j

Decision Line

2 A

=

φ = p/4

–1

–j

00

Fig. 14.111 Time course of QPSK (4PSK) for  shaped data symbols shows amplitude and phase jumps of the modulated signal

Data

0 0

1

I

1

1

0

1

10

1 1

0

0

0

0

I(t )

t

1

0

Q(t )

QPSK

0

0 t

t

However, the bandwidth of the QDSB signal is just as large as the bandwidth of a DSB, since the I and Q branch uses the same data smoothing (FIR filter with higher clock rate). The QDSB thus belongs to the bandwidth-saving modulation types. With the help of the phase star of the QPSK the designation of this digital modulation is immediately recognizable. At the decision times the amplitude A is constant, but the phase angle  has changed Δ from sample time to sample time, Fig. 14.110. Δ = n · π/2; (n = 0, ±1, ±2)

(14.182)

When mapping the data to I and Q, a gray encoding is selected that ensures that only a simple bit error occurs in case of a symbol error (in the receiver). If necessary, a pre-encoding of the data stream d (t) is performed. The change of the phase angle of the RF oscillation (from symbol to symbol) can be seen very clearly when, for didactic reasons,  shaped (non-rounded) data symbols are used for modulation, Fig. 14.111. In addition, in this case amplitude jumps occur at the symbol boundaries.

1418

D. Rudolph L = 23 Level Wave Form 7 Binary Wave Form T 5

t TS

L = 3 Bit DAC

t

3

1

TS

Fig. 14.112 Example for the formation of octavalent symbols (I or Q (unrounded) of a 64QAM)

14.26.1 Higher Level QAM If L bits are combined in the block structure for generating QAM, higher-level digital modulations with square symbol constellation can be generated. In such cases, not only the phase of the high-frequency oscillation changes from symbol to symbol, but also its amplitude. Square Constellations are called quadrature amplitude modulation (QAM). However, it should be noted that this is still a QDSB (in terms of transmission) and not an AM. There is no RF carrier line in the spectrum of a QAM. For a 16QAM, L = 2 bits are combined per branch, so that 4 valued symbols are created. For 64QAM, each constellation point contains the information of N = 6 bit, because 26 = 64. For addressing, L = 3 bits in I direction (real axis, (z)) and in Q direction (imaginary axis, (z)) are used, Fig. 14.112. In this figure, T is the bit clock in the I or Q branch. It is twice as long as the bit clock of the incoming data stream d (t). Figure 14.113 shows the constellation diagrams of 64QAM. It is accepted to specify these constellation diagrams together with mapping instructions. In practice, the mapping tables are often executed by software. It is therefore not surprising that in practice different mapping rules are used for different implementations of QAM. This is particularly important, as the digital modulation looks “correct” even if the mapping is incorrect, but the transmission system does not work in this case. In the case of digital transmission, it is a well-known fact that it must be agreed exactly how the bits read in are to be combined to the respective symbols, so that the receiver can then split again into the correct bit sequence.

14.26.1.1

Symbol Energy, Decision Limits, Phases Angle

If for 16QAM and 64QAM the same distances are selected for the points, the outer points of the 64QAM are further out by a factor of 7/3 = 2.33 · · · than for the

14 Modulation Methods

1419

Fig. 14.113 Square symbol constellations: 64QAM and example for bit ordering

64 QAm Q

q2 q1 q0 0 0 0 1 0 0 0 1 0 1 1 0 I

0 0 1 1 0 1 0 1 1 1 1 1

i2 i1 i0

1 1 1

0 1 1

1 0 1

0 0 1

1 1 0

0 1 0

1 0 0

0 0 0

Bit ordering {q2 q1 q0 i2 i1 i0}

16QAM. The outer points of the 64QAM therefore have 5.4 times the energy compared to the outer points of the 16QAM. If, however, 16QAM or 64QAM are emitted via the same transmitter, the outer points each have the same maximum energy. Consequently, the distances between the points a16QAM /a64QAM behave like 7/3. The 16QAM is therefore more robust to interference than the 64QAM. • Therefore, for more disturbed channels, a symbol constellation with fewer dots should be selected to reduce the error rate. On the other hand, fewer bits can be transmitted with lower-level symbols. Other display modes of 64QAM are shown in Fig. 14.114 [23]. Here you can see the phase star in the 1st quadrant, the relative phase angles of the signal points in the 2nd quadrant, the decision thresholds in the 3rd quadrant and the (relative) powers respectively energies of the signal points and in the 4th quadrant, the mean energy of the 64QAM (assuming that all symbols occur equally frequently during a transmission). Since the receiver must estimate the sent symbols from the disturbed received symbols, it is advantageous to set the minimum distance of the set points of the symbol constellations as large as possible. With a given number of points, these should be evenly distributed in the complex I/Q plane, if possible (however, it should be noted that a minimum distance to the point 0/0 is maintained in the vector diagram).

1420

D. Rudolph Q 45°

54,5°

66,8°

81,9°

35,5°

45°

59°

78,7°

23,2°

31°

45°

71,6°

8,1°

11,3°

18,4°

45°

–7

–5

–3

–1

–1

2

10

26

50

–3

10

18

34

58

–5

26

34

50

74

–7

50

58

74

98

I

mean power: 42

Fig. 14.114 Signal space of 64QAM. 1st quadrant: phase star; 2nd quadrant: relative phase angle of the signal points; 3rd quadrant: decision thresholds; 4th quadrant: (relative) powers of the symbol states and average power of the 64QAM

14.26.1.2

8PSK

The 8PSK is considered an example of a concentric arrangement. The distance of all constellation points from the origin is constant. The 8PSK is generated with the help of an I/Q modulator, according to Fig. 14.91. For 8 state points 3 bits are needed. The data stream d (t) must therefore be divided into 3-bit blocks. On the other hand, the phase star shows 4 values each for I and Q. Figure 14.115 shows the phase star for 8PSK compared to of 2PSK and √ those √ 4PSK. The normalized distance of the constellation points is ES = 2 for 4PSK and 8PSK respectively.

14 Modulation Methods

1421

Q

Q

I

√E

s

√E

s

Q

I

I

√Es

BPSK, 2PSK

QPSK, 4PSK

8PSK

Fig. 14.115 Phase stars of 2PSK, 4PSK, and 8PSK

14.26.1.3

Mapping Tables in Practice

This raises the question of a practical implementation of this mapper. In fact, except for very fast data transfers, these are not hardware solutions, as one might assume from the block diagrams, but software solutions. The mapping table is therefore set up in “float” or “double” format. For D/A conversion, 12 bits to 24 bits of DACs are used, depending on requirements. The higher-level constellations are handled accordingly. Since the software works with mapping tables, practically any assignment of the symbol points to bit combinations is possible.

14.27 Modulations with Constant Envelope All (linear) digital modulations that are transmitted as DSB or QDSB lead to modulated signals with a minimum bandwidth in the transmission channel, assuming appropriate data rounding. That’s advantageous. On the other hand, the RF signal of this bandwidth-saving modulation has strong fluctuations of its envelope. This is often disadvantageous because • linear amplifiers are required to amplify such signals. These have a low efficiency. • some types of RF amplifiers produce strong non-linear amplitude distortions and amplitude phase transformations due to their physical properties. For TWT’s (Traveling wave tubes) in satellite transponders in particular, suitable modulations with low envelope fluctuations were therefore sought at an early stage. A modulation that shows absolutely no fluctuations in the envelope curve is the exponential or angle modulation (FM, PM). The modulation would be in such a case 100% adapted to the properties of the transmitter side. However, exponential modulation is a non-linear modulation, which increases the effort in the receiver, especially when equalizing the received signals. A digital transmission system must therefore always be considered as a whole. This results in certain compromises, partly on the transmitter side, partly on the receiver side.

1422

D. Rudolph

14.27.1 From QPSK to Offset QPSK (OQPSK) For the QPSK, the symbols in the I branch and in the Q branch can change at the same time. With every change from (1; j) ←→ (−1; −j) or from (1; −j) ←→ (−1; j) the point (0; 0) in the vector diagram will either be passed through directly or very close by, Fig. 14.98. For the QPSK signal this means a strong variation of its envelope. Figure 14.116 shows the amplitude signal A(t) for both a raised cosine rounding and a root-raised cosine rounding (roll-off factor ρ = 0.5) of the data symbols. The fluctuations of the amplitude signal A(t) √ range from 0 to 2 for both cases, where the nominal value of the amplitude is 2. The transmitter amplifier’s output power therefore varies in a very broad range, which then leads to undesired amplitude and phase distortions of the output signal. Since the similarity of the received symbols with the undistorted symbols is checked in the digital receiver (matched filter, correlator), distorted symbols mean an avoidable increase in the error rate of the digital transmission. The representation in Fig. 14.117 [19] shows in principle the same relationship more schematically, whereby here the individual binary symbols are represented wider and differently rounded. Not I (t) and Q(t) are drawn, but (only) the respective upper and lower envelopes to the modulated signals. The corresponding modulated signal has the marked phase (I branch: 0◦ ; 180◦ , Q branch: 90◦ ; 270◦ , resulting: 45◦ ; 135◦ ; 225◦ ; 315◦ ). • As can be seen from this figure, the envelope fluctuation is much less if there is no sign change in the I and Q branches at the same time. The simultaneity of the sign changes in the I and Q branches can be avoided if for example in the Q branch is delayed by half a symbol TS /2 = Tb . Since the states in the I branch and in the Q branch cannot change at the same time, but a time condition must be met, the mapper for OQPSK becomes a finite state machine. The signal states of the OQPSK can therefore be displayed advantageously with the help of a state diagram (Trellis), Fig. 14.118. At odd times only the states in the Q branch can change, while the states in the I branch can only change at even clock times. Since the symbols in the I and Q branches no longer change simultaneously, there is no longer a zero crossing in the envelope of the modulated √ signal. The envelopes of the modulated signals have a nominal fluctuation of 1 : 2, Fig. 14.119 [19]. Figures 14.117 and 14.119 show that the smoothing of the symbol (at the beginning of the digital transmission) was not yet optimal. Rather, a rounded symbol was regarded only as a “necessary evil”. This is also expressed in the power density spectra for QPSK and OQPSK given in the literature, which are given there in   sin(x) 2 in dB, which belongs to unrounded symbols, compare the form 10 log10 x Fig. 14.124. • In terms of transmission technology, the OQPSK is also a quadrature DSB (QDSB), so that the spectral properties match the QPSK, same symbol rounding is assumed.

2 1.5 1 0.5 0

I (t)

Root-Raised-Cosine Phase Signal ϱ = 0.5

0

0

0

20

40

20

60

40

20

40

80

60

100

80

100

80

60 Symbols

120

2 1 0 –1 –2 2 1.5 1 0.5 0

120

100

2 1 0 –1 –2

Q(t)

2 1 0 –1 –2

1423

A(t)

Q(t )

2 1 0 –1 –2

A(t)

I (t)

14 Modulation Methods

120

Raised-Cosine Phase Signal ϱ = 0.5

0

20

40

60

80

100

120

0

20

40

60

80

100

120

0

20

40

80

100

120

60 Symbols

Fig. 14.116 I (t), Q(t) and A(t) time histories of QPSK with roll off factor ρ = 0.5 for root raised cosine rounding (transmitter) and raised cosine rounding (receiver) 1

0

1

0

1

01

0

1

0 1

I Channel

1

1

0

0

1

Q Channel

0

1

0

1

1 1

Channel

1

2

Fig. 14.117 Envelope fluctuations of QPSK and phase of carrier oscillation (schematic)

14.27.2 From OQPSK to MSK Compared to QPSK, OQPSK has a much lower amplitude fluctuation. However, there are also applications in which no amplitude fluctuation at all is permissible. The vector diagram is then an exact circle, e.g. at minimum shift keying (MSK). One such application is digital mobile radio (GSM: global system for mobile communication), which will be discussed in more detail later on. The modulation (GMSK: Gaussian minimum shift keying) with constant amplitude (or envelope) can be processed without distortion in a transmitter amplifier operating in class C mode. This means that the transmitter output stage can operate in switching mode and therefore has a high efficiency. Such a feature is of great importance, especially in mobile operation, because it reduces the power consumption and therefore increases the service life of the battery and, on the other hand, one gets along with smaller battery designs, which makes the mobile phones small and light. This is an example

1424

D. Rudolph

State 1

1+j 0

0 1

1–j 0

0 1

+1 + j 0

0 1 –1 – j

0

0 0

2Tb

Tb

3Tb

4Tb

5Tb

Time

Fig. 14.118 State diagram (Trellis) of OQPSK 1

0

0

1

0

1

1

0

0

1

0

1 1

I Channel

1

1

0

0

1

0

1

0

1

1

0

0

Q Channel

1

Channel

1

2

Fig. 14.119 Envelope fluctuations of OQPSK and phase of carrier oscillation Fig. 14.120 Typical vector diagrams for QPSK, OQPSK (schematically), and MSK

QPSK

OQPSK

MSK

of how the application layer in the ISO OSI model is directly influenced by the physical layer. The schematic vector diagrams of QPSK, OQPSK and MSK show Fig. 14.120.

14 Modulation Methods Fig. 14.121 Symbol shaping and timing in the I and Q branches of MSK

1425

I (t )

Tb

t

Q (t )

Ts

14.27.2.1

t

MSK as OQPSK with Data Symbols in the Form of Cosine Caps

The starting point for the MSK is the (non-rounded) OQPSK. In contrast to the OQPSK, the I and Q symbols in the MSK are rounded cosinusoidally before modulation. This turns each bit into a symbol in the form of a cosine cap, Fig. 14.121. The offset (delay) of the cosine peaks between the I branch and the Q branch by half a symbol duration TS /2 = Tb on the one hand, and the 0◦ or 90◦ rotation during modulation (with a cosine or sine carrier) on the other hand, yields precisely the parameter representation of a circle, see the vector diagram, Fig. 14.120 (right). This makes it immediately clear that the envelope of the MSK is constant, because it applies generally: cos(x)2 + sin(x)2 = 1 (14.183) This also shows Fig. 14.122, where the symbols in the I and Q branches and the resulting envelope of the carrier oscillation are shown. The information of the MSK is not in the amplitude, but in the angle  of the modulated oscillation. MSK is therefore an angle modulation and can therefore be amplified by means of a class “C” amplifier (with high efficiency) without suffering distortion. However, as the previous consideration shows, the MSK can be defined as linear modulation with cosine-shaped rounded symbols and therefore the MSK modulator can be realized correspondingly, Fig. 14.123. The symbol forming takes place in a digital interpolation filter. During demodulation in the receiver, the I and Q branches are demodulated separately. As an optimal receiver, a correlator can be used in each branch. Thus, in the special case of MSK, demodulation (and, if necessary, equalization) can also take place as with linear digital modulations.

1426

D. Rudolph 0

1

0

1

0

1

1

0

0

1

0

1

I Channel 1

1

0

0

1

0

1

0

1

1

0

Q Channel

S

Channel

f2

f1

f1

f2

f1

f2

f1

Fig. 14.122 Envelope fluctuations of MSK, phase of carrier oscillation and course of phase  I(t )

d(t )

Delay

DAC 0°

polator

Data

Inter-

2 bit S2P

LP

−90° Tb

LP

cos ( sin (

+

Ct ) Ct )

MSK

-

DAC Q(t )

Fig. 14.123 The MSK modulator as linear OQPSK modulator with cosine shaped symbols; symbol rounding in the interpolator

Since the symbols are time-limited, the spectrum of the MSK is not bandlimited. It has the form known from the time function “cosine cap”. It decreases for higher distance from the center frequency according to 1/ω2 , so (in amount) with 40 dB per decade, Fig. 14.124.

14.27.2.2

MSK as Frequency Modulation

The MSK can also be understood as frequency modulation with unrounded data symbols. For this one considers the pointer representation of the FM, Fig. 14.125. From the pointer graph you can immediately see that for a phase rotation of Δ = π/2 resp. generally Δ = nπ/2; n = 1, 2, . . .

(14.184)

I (t) = I (t) resp. Q(t) = Q (t) represent Cosinus resp. Sine caps if φ changes linearly with time t. I (t) = I (t) = A cos[φ(t)];

Q(t) = Q (t) = A sin[φ(t)]

(14.185)

14 Modulation Methods

1427

BPSK

Power Spectral Density / dB

0

QPSK & OQPSK

–10 –20 –30 MSK

Slope

–40 dB

–40

/ Deca

de

–50 –60 –70 –80

1 2Tb

3 4Tb

1 Tb

5 4Tb

3 2Tb

7 4Tb

2 Tb

9 4Tb

5 2Tb

11 4Tb

3 Tb

Frequency

Fig. 14.124 Spectral power density of MSK compared to QPSK resp. OQPSK (non rounded symbols) and BPSK (non rounded, same data rate) = p /2

:Quadrature Phase: sin Carrier :In-Phase: cos Carrier I (t ) = A cos[f(t )] Q (t )

Q (t )

A

= A sin[f(t )] Q (t )

f(t ) I (t )

A

f(t ) I (t )

Fig. 14.125 Pendulum pointer of FM and decomposition into I and Q components; left: general; right: Δ = π/2

The phase φ of the modulated oscillation changes by ±π/2 per bit duration Tb of the original data stream, depending on the sign of the bit. π is the phase angle between the beginning and the end of the cosine cap: cos(0) = cos(π ) = 0. This corresponds to the duration of 2 bits (TS = 2Tb ), since the symbol streams in the I and Q branches have half the speed of the data stream. • Logical “1” thus corresponds to Δ = π/2, logical “0” corresponds to Δ = −π/2.

1428

D. Rudolph

Fig. 14.126 MSK: phase change of the carrier oscillation per transmitted bit or dibit (bit duration: Tb )

f(t )

Tb

f(t )

2Tb

p

t

–p 2

00

11

p 2

t

–p

Tb

2Tb

Tb

2Tb

f(t )

f(t )

10

p 2

t Tb

2Tb

01

t p 2

Thus the digital information is contained in the direction of rotation of phase φ(t) of the RF carrier, Fig. 14.126. From Fig. 14.126 it can be seen that (in contrast to QPSK with non-rounded data symbols, Fig. 14.111 with MSK (considered as frequency modulation with nonrounded data symbols) no phase jumps occur. Modulations of this kind are therefore also called Continuous Phase Modulations (CPM). The phase values reached at the boundary symbol (or at the boundary bit) are of interest for data transmission. This corresponds to the energy of the symbol. According to Fig. 14.126 the phase progression φ(t) is proportional to the integral (with running upper limit) over the time function of the data d (t) (as bipolar signal). This means that the (instantaneous) frequency change (t) is proportional to the time course of the data is as shown in Fig. 14.127. Figure 14.128 shows the relationship between the current phase φ(t) and the complex envelope {sMSK } {sMSK } (see Fig. 14.121). Figure 14.127 clearly shows that a digital angle modulation is a Frequency modulation (FM), because the time course of the data signal is proportional to the frequency change of the modulated signal. In the literature, however, these digital modulations are usually referred to as “digital phase modulations” in the first place. This becomes understandable when one considers that the digital symbols (with running upper limit) are integrated, according to the matched filtering or correlation. The integration of the frequency change leads to a phase change.

14.27.2.3

Vector Diagram of MSK

As can be seen from Sect. 14.25.2.3 “Vector Diagram”, the vector diagram is identical to the Y over X representation of Q(t) over I (t) and thus represents the complex envelope of modulation. Figure 14.129 shows the vector diagram of the MSK in 3D view with the same data as in Fig. 14.128. One recognizes that the course consists of exact circular arcs (compare Fig. 14.120 right).

14 Modulation Methods

1429

d(t ) t w(t ) t

p

f(t ) p 2

t –p 2 –p

Tb

2Tb

3Tb

4Tb

5Tb

6Tb

7Tb

8Tb

9Tb

10Tb

Fig. 14.127 In MSK the frequency change is proportional to the data signal. MSK is therefore FM in terms of transmission. The phase changes linearly by ±π/2 on the bit limit MSK Momentanary Phase 1.5 1

(t)/π

0.5 0 0.5 1 1.5 2

0

5

10

15

20

25

20

25

t/T MSK Complex Envelope 1 Im{sMSK(t)}

Re/Im{sMSK(t)}

0.5

Re{sMSK(t)}

0

0.5

1 0

5

10

15 t/T

Fig. 14.128 Phase and complex envelope of MSK

1430

D. Rudolph MSK Vector Diagram; 3 dimensional

1 0.8 0.6 0.4

I(t)

0.2 0 −0.2 1

−0.4 0.5

−0.6 −0.8

0

−1

−0.5

0

5

10

15

20

25

−1

Q(t)

t/T

Fig. 14.129 Vector diagram of MSK in 3D view

14.27.2.4

Frequency Deviation and Modulation Index of the MSK

The instantaneous frequency (t) of an FM is the derivative of phase φ(t) by time t: (t) =

d φ(t) dt

(14.186)

Since the value Δ = π/2 is reached at the bit boundary, the magnitude of the frequency deviation ΔΩ = 2π ΔF can be calculated: ΔΩ =

π/2 Δ π π 1 1 = = =  ΔF = = Δt Tb 2Tb TS 4Tb 2TS

(14.187)

With the “Bit Frequency” fb = 1/(2Tb ) becomes ΔF = fb /2 Frequency deviation of MSK

(14.188)

The frequency deviation ΔF is therefore always equal to half the “bit frequency” for MSK.

14 Modulation Methods Fig. 14.130 Block diagram of an angle modulator for MSK (or CPM in general)

1431

Baseband

Carrier Oscillator

Data Signal cos (

d(t )

f(t ) Generator

Ct )

Phase Modulator

cos {

Ct

+ f(t )}

In the digital case, the modulation index is called m and is defined as m = 2ΔF · Tb =

ΔF 1 Modulation index of MSK m= fb 2

(14.189)

With Eq. (14.188) follows immediately that the modulation index (phase deviation) m at MSK always has the value m = 1/2. At the analog frequency modulation with cosine shaped message signal of frequency fN the modulation index is defined as β = Δ = ΔF (Eq. (14.40)) and thus fN formally the same as in the digital case. However, β can assume any value in the analog case.

14.27.2.5

MSK Modulator as Frequency Modulator

Although MSK is FM in terms of transmission, conventional (analog) FM modulators are unsuitable for this. These process the signal only beyond a lower cutoff frequency ωl = 0 for the message signal, which would lead to time-dependent potential shifts. In addition, the usual direct manipulation of the frequency means that the carrier frequency ΩC cannot be kept absolutely constant in an analog FM modulator, resulting in further potential shifts of the demodulated signal. A modulator for digital signals can therefore only be used with a method that • has a quartz stable carrier frequency ΩC and • a lower cut-off frequency ωl = 0 for the message signal is ensured. From Figs. 14.31 and 14.92 you can see how this can be achieved. In the structure Fig. 14.92 the A(t) branch and the multiplier can be omitted, since MSK has a constant amplitude. This results in a block structure according to Fig. 14.130.

14.27.2.6

Phase Modulator with I/Q Predistortion

The concept of a phase modulator can be derived from the evaluation of Eq. (14.184) and the pendulum pointer diagram of the FM, Fig. 14.125.

1432

D. Rudolph

Fig. 14.131 Obtaining the phase signals I (t), Q (t) [and the amplitude signal A(t)] from I (t) and Q(t)

I (t) I /A

I(t)

= cos{f(t)}

A(t) =

I(t)2+Q(t)2

I2 + Q2 Q (t)

Q(t)

= sin{f(t)}

Q /A

With Eq. (14.184) applies with Vˆ C = A: vC (t) = A{cos φ(t) · cos(ΩC t) − sin φ(t) · sin(ΩC t)}

(14.190)

= I (t) · cos(ΩC t) − Q (t) · sin(ΩC t) According to this, the angle φ(t) = arctan [Q (t)/I (t)] is clearly defined by the I component I (t) = A cos(φ) and the Q component Q (t) = A sin(φ). This gives a structure for the phase modulator which has a lower cutoff frequency ωl = 0 for the input signal φ(t) and a quartz stable carrier frequency ΩC , Fig. 14.42. However, the phase signal φ(t), which is intended as an input signal for the phase modulator, is not realized in practice, unlike an analog phase modulation. This has a very simple reason. If the data signal has a longer “0” or “1” sequence, the amount of the phase angle signal |φ| can increase so much that the input of the phase modulator can be overdriven as shown in Fig. 14.42, whereby the digital transmission would fail. One would then have to ensure here by coding procedures (e.g. scrambling, interleaving etc.) that never a “0” or “1” sequence with inadmissible length develops, or that the running digital sum assumes an inadmissibly large value. It should be noted that similar problems can arise in the demodulator of a receiver, for which reason when planning and defining a transmission system it must always be ensured that the current digital sum does not exceed a defined maximum value. Digital modulations are implemented in the baseband Cartesian as I (t), Q(t) and then the phase signals I (t), Q (t) are calculated directly from them, Fig. 14.131, whereby the problems described above are avoided. It follows from Eq. (14.177) and Fig. 14.93 or from Fig. 14.125: I (t) I (t) = cos[φ(t)] = A(t) Q (t) = sin[φ(t)] = Q(t) A(t) A(t) = I (t)2 + Q(t)2

(14.191)

In the case of MSK (or CPM) the amplitude is constant is |A(t)| = 1. The output for A(t) in Fig. 14.131 is then not required.

14 Modulation Methods

1433

14.27.3 CPM Methods with Rounded Data Symbols The outband radiation is still considerable at MSK, Fig. 14.124, but can be reduced if the data symbols are rounded before they are fed to the frequency modulator. Depending on the application, both the Root Raised Cosine rounding and a Gauss rounding are common.

14.27.4 The Gauss Rounding √ 2 The Gauss function has the form e−x /2 = exp{−(x/ 2)2 } and is specified depending on its application by means of its average duration tm or ωm or by means of its dispersion σ . The impulse response hG (t) of the Gauss low pass HG (ω) is also a Gauss bell.  

√  2 2 πt 1 t 1 = 2tm exp − 2tm hG (t) = 2tm exp −π 2tm 

 (14.192)  2 2 1 1 t 1 t = √2πσ exp − √2σ = √2π σ exp − 2 σt t

t

t

The relationship between mean duration tm and dispersion σt follows from this:

√ √ π π 1 σt =√  2tm = 2π σt  tm = 2tm 2 2σt

(14.193)

The transfer function HG (ω) is also a Gauss function, so you get: 

√

 2 ω = exp − 2ωπω HG (ω) = exp −π 2ωm m 



 2 ω = exp − √2σ = exp − 21 σωω

2



2

 Gaussian bell curve

ω

(14.194) For the relation of mean width ωm and dispersion σω results: √ 2ωm = 2π σω  ωm =



π σω 2

(14.195)

For products of tm with ωm and σt with σω (time-bandwidth law): tm · ωm =

π = 2



π σt · 2



π π σω = σt · σω  σt · σω = 1 2 2

(14.196)

1434

D. Rudolph

Fig. 14.132 Gaussian filter impulse responses hg (t) for the dispersion √ σt = [0.3, 0.5, 1/ 2, 1, 2]

hG(t) = exp{−(t/st )2 /2} st 2

1.2 1

Ú

st =0.3

hG(t)dt = 1

hG(t)

0.8

0.4

st =0.5

tm = 1.2533

0.6

st = 1 2

Area = 1

st =1.0 st = 2

s(t)

0.2

st =2.0

0 −6

−5

−4

−3

−2

−1

t

0

−tm

Fig. 14.133 Gauss filter transfer curves HG (ω) for σω = 1/σt

1 0.9

1

2

3

4

5

6

tm

HG(0) = 1 sw =3.333...

HG(w) = 2 exp{−(w /sw) } 2

0.8 0.7

equal Area for sw = 1

sw = 2

HG(w)

0.6

sw = 1 st

sw = 2

0.5 0.4

sw = 1

0.3 0.2

sw =1/

0.1 0 −6

2

sw = 1/2 −5

−4

−3

−2

−1 0 -wm w

1

2 3 4 5 wm = p/2 = 1.2533

6

Figures 14.132√and 14.133 show the impulse responses hG (t) for the dispersion σ = [0.3, 0.5, 1/ 2, 1, 2] and the associated transfer functions HG (ω) (with σω = 1/σt ). It follows from Eqs. (14.192) and (14.194) together with Eq. (14.196):

14 Modulation Methods

1435

d(t) GMSK

Gaussian Low Pass

t

NCO

GMSK Modulator

Fig. 14.134 Block diagram of a GMSK modulator

1 2ωm ↔ hG (0) = = 2tm 2π

∞ HG (ω)d ω = −∞

2π π = = 2ωm 2tm tm

Central ordinate (time) ↔ Area (frequency) ∞ hG (t)dt = 1 ↔ HG (0) = 1 −∞

Area (time) ↔ Central ordinate (frequency)

14.27.4.1

(14.197)

GMSK Modulation

If the (bipolar) data bits d (t) are rounded using a Gaussian filter, Gaussian Minimum Shift Keying (GMSK) is obtained. This modulation type is used for mobile radio, e.g. GSM (Global System for Mobile Communication) or DECT (Digital European Cordless Telecommunication). The block diagram of a GMSK modulator shows Fig. 14.134.

14.27.4.2

Symbol Shaping for GMSK and DECT

For GMSK and DECT it was decided that the shaping of the T /2 (t) data bits should take place by passing them through a Gaussian low pass HG (ω). In the time domain this is the convolution of T /2 (t) with hG (t). The lower the cut-off frequency ω3dB of the LP filter HG (ω) is, the more the bits are rounded. By definition, it is specified for GSM that the product should result in the value 0.3 from the 3 dB cut-off frequency f3dB of Gauss low-pass HG (ω) and the data clock T . Accordingly, the value 0.5 applies to DECT. (14.198) f3dB · T = 0.3 GSM f3dB · T = 0.5 DECT In Fig. 14.135 various rounded data symbols are displayed. The product f3dB · T is a parameter with the following values: √ f3dB · T = [0.1; 0.2; 0.3; 0.5; 1/ 2; 1.0]

(14.199)

1436

D. Rudolph

Fig. 14.135 Gaussian rounded data with data clock T for f3dB · T = √ [0.1; 0.2; 0.3; 0.5; 1/ 2; 1.0]

1

0.8

1.0 1 2 Tf3dB = 0.5: DECT

0.5

Pulse Amplitude

Pulse Tf3dB = 0.3: GSM

0.6

0.3

0.5

0.2

0.4 0.1 0.2

0 −2

−1.5

−1

−0.5

0

0.5

1

1.5

2

t/T

For f3dB · T  1 practically only the corners of the data pulse are rounded. To find the appropriate values of tm or σt , an interim calculation is required. For the 3dB cut-off frequency:     1 ω3dB 2 HG (ω3dB ) = √ = exp −π 2ωm 2

(14.200)

Resolved results from this: % √ 1 ln( 2) ω3dB ≈ = 0.664 =2 ωm π 1.5054

(14.201)

The mean cut-off frequency ωm is ≈1.5 greater than the 3 dB cut-off frequency ω3dB . With Eq. (14.196) follows: % f3dB

ωm = π

% √ √ 1 ln( 2) ln( 2) = π π σt 2

(14.202)

Dissolved according to σt and with the specification according to GSM: % σt =

1 π f3dB



T ln( 2) = 2 0.3π

%

√ ln( 2) 2

(14.203)

A Gaussian lowpass has a Gaussian-shaped impulse response shown in Fig. 14.136 (top) for the case Tb · f3dB = 0.3 (GMSK). In the same figure the eye diagram of the Gaussian rounded (bipolar) data is shown (bottom). If the consideration of 3 bits

14 Modulation Methods

1437

GSM Pulse f3dBTb = 0.3 1 previous Bit

actual Bit

following Bit

hGSM (t)

0.8 0.6

GSM Pulse f3dBTb = 0.3

0.4 0.2 0 −2

− 1.5

−1

− 0.5

0

0.5

1

1.5

2

t/Tb

GSM Eye Diagram 1

0.5 0 −0.5 −1 −0.5

0

0.5

t/Tb

Fig. 14.136 Gaussian filter pulse response and eye diagram of Gaussian rounded (bipolar) data for GSM

(precursor, current bit, trailing bit) is sufficient, the eye diagram consists of 23 = 8 different curves. Figure 14.137 shows the momentanary phase of MSK, GSM and DECT. The Gauss rounding of the data reduces the out-of-band radiation. Figure 14.138 shows this by measuring the spectra of CPM signals with different degrees of rounding. A comparison of the bandwidth of QPSK with MSK or CPM, measured between center frequency and the first zero in the spectrum, shows that the bandwidth required for this main maximum is significantly wider for (exponential) CPM modulation than for (linear) QPSK modulation.

14.27.4.3

EDGE

The modulation called EDGE (Enhanced Data Rates for GSM and TDMA/136 Evolution) was proposed because it should open up the possibility of significantly increasing the data rate compared to GSM.

1438

D. Rudolph 0.5

f(t)/p

0 fGSM −0.5 fMSK −1

0

2

4

6 t /Tb

8

10

12

4

6 t /Tb

8

10

12

0.5

f(t)/p

0

fDECT

−0.5 fMSK −1 0

2

Fig. 14.137 Course of the momentary phase φ(t) for MSK, GSM and DECT GMSK–Spectra 10 0 −10 f3dB T=1.0

−20

MSK

SSS( )/T/dB

−30 −40 0.4 −50

0.3 f3dB T=0.1

−60

0.2 0.5

−70 GSM

DECT

−80 −90 −100

0

0.2

0.4

0.6

0.8

1 fTb

1.2

1.4

1.6

1.8

2

Fig. 14.138 Measured spectra of CPM signals and comparison with the spectra of QPSK and MSK signals (all modulated with pseudo-random data)

14 Modulation Methods Fig. 14.139 Vector diagram of EDGE: root raised cosine, ρ = 0.6

1439 1.5 EDGE 1

0.5

0

−0.5

−1 RRC −1.5 −1.5 −1

= 0.6 −0.5

0

0.5

1

1.5

Edge is a modification of the 8PSK, 14.26.1.2. Between the 8 points • of the 8PSK are inserted 8 more points ◦, so that 16 points in total. The coding rule is: • If the current symbol point d (2i + 1) is a •, the next one must be a ◦, which is obtained by rotating by the angle 3π/8 further than the corresponding • for an 8PSK. • If the current symbol point d (2i) is a ◦, the next one must be a •, which is obtained by turning the angle 3π/8 further than the corresponding ◦ for an 8PSK The vector diagram of Edge gets a hole, Fig. 14.139. EDGE is an example of coded modulation.

14.28 Demodulation Techniques for Single Carrier Modulations In digital transmission the symbols must be identified and evaluated in the receiver. This is an elaborate procedure. The demodulation of digital signals therefore takes place in several steps. 1. Conversion from RF frequency to baseband by means of an I/Q demodulator. In this way, the I and Q symbols are obtained in “raw form”, i.e. without the associated clocks. 2. Extraction of the parameters for the local oscillator (frequency, phase) from the high-frequency signal.

1440

D. Rudolph

3. Extraction of parameters for the digital decoder (symbol clock, bit clock, clock phase) from the I and Q symbol streams. 4. Extraction of the parameters of the transmission channel (or radio channel), in particular the channel impulse response, the attenuation, the Delay Spread and the Doppler Spread. 5. Equalize the raw symbols. 6. Recognition or decision of the symbols. 7. Demapping of symbols to get bits. 8. Multiplexing I and Q bit streams to a total bit stream. The bits are now in “raw form”. This is then followed by decoding and error detection or correction. In practice, tasks 2–5 require the most effort. The required parameters are provided by the “internal receiver”, Fig. 14.56. This is decisive for the quality of the demodulation. However, this chapter assumes that the digital receiver or demodulator already has this information “from anywhere” and can therefore ideally demodulate it. When simulating digital transmission systems, it is easy to obtain the necessary information directly from the transmitter (using “cheat lines”). When comparing different system solutions, care must be taken to arrive at a relevant statement. The resulting values for the bit error rates (BER) are always (much) better than the actually achievable values.

14.28.1 Principle Structure of the Receiver Digital (radio) receivers are generally designed as superheterodyne receivers. This reception principle is also used with analog receivers. Unlike analog receivers, however, the received signal is not converted into an intermediate frequency, but directly into the baseband. The reason for this is the lower computing power required in the baseband. Figure 14.140 is an example of a corresponding block diagram (Silabs: SI4688). This is an IC in which the RF part, consisting of an LNA, an I/Q down-mixer and a conversion oscillator, is marked by a dashed rectangle. All other parts contain hardware for the digital processing of the (directly) baseband converted received signal. An IC (TI, TRF371135) containing an I/Q demodulator and the ADCs can be seen in Fig. 14.141. All level adjustments, gain controls and filtering necessary for the ADCs are performed internally.

14 Modulation Methods

1441

Si4688-A10 ADC RFREF

DAC

LOUT

DAC

ROUT

DSP

LNA

VHR ADC AGC DCLK I2S

RDS VHFSW

DFS DOUT

VHFSW

SCLK CLK Gen

LDOS

FLASH Interface

Control

SSB MSO

RSTB

INTB

MMSO

NVSSB

NVMOSI

NVSCLK

XTALO

XTALI

VMEM

VCORE

VA

VIO

MOSI

Fig. 14.140 A basic block diagram of a digital receiver

14.28.2 Equivalent Low-Pass Signals To limit the required computing power, bandpass signals are processed as (equivalent) lowpass signals ( lower sampling rate). Modulation processes are performed by multiplying by ejΩC t ( faster calculation) instead of cosine or sine oscillations. A demodulation by multiplying by e−jΩC t is obtained accordingly. For this, however, it is necessary to calculate with complex signals. A digital bandpass signal vBP (t) is a real time signal that is modulated in amplitude and phase. vBP (t) = A(t) cos[ΩC t + φ(t)] + = {vBP (t)} = {vLP (t) · ejΩC t } Bandpass signal

(14.204)

Real time signals vBP (t) have complex spectral distributions VBP (ω) with even real and odd imaginary parts. The following applies: VBP (ω) = VBPe (ω) + jVPBo (ω) Spectral density of BP signal

(14.205)

44

45

MIXIoutn

D. Rudolph MIXIoutp

1442

ADC Driver 33 VCCs

PGA

34

BBIoutp BBIoutn

GND 24

DC Offset Control I

MIXinp

6

MIXinn

7

30

0° 90°

31

VCM

LOin LOip

DC Offset Control Q

27 PGA

28

BBQoutn BBQoutp

ADC Driver CHIP_EN

3

Power Down

48

DC Offeset Control LPF ADJ Control

47 SPI

40

41

17

DATA STROBE READBACK

39 Gain_B2

Gain_B1

Gain_B0

MIXQoutp

MIXQoutn

16

PGA Control Q

46 37

CLOCK

B0385-01

Fig. 14.141 Block diagram of a digital IQ demodulator

14.28.2.1

Analytic Signal and Complex Envelope

+ (t), which has spectral components only at positive The complex-valued signal vBP frequencies ω ≥ 0, is called “analytical signal”. + (t) = vLP (t) · ejΩC t Analytical Signal vBP

(14.206)

Here vLP (t) = g(t) is the complex envelope, see Sect. 14.25.1.1 “Complex I/Q Modulator”. vLP (t) = I (t) + jQ(t) = A(t)[cos φ(t) + j sin φ(t)] = A(t)ejφ(t) Complex envelope

(14.207)

The extraction of the equivalent lowpass signal (complex envelope) vLP (t) will be graphically shown using the real component VBPe (ω) of spectral density, Fig. 14.142. In this figure only the real part {VBP (ω)} = VBPe (ω) is shown in order not to overload

14 Modulation Methods

1443

VBP(w) =VBP e

+

1

VBP (w)

VLP (w)

2 –

C

C

4

2

w

1

1

H VBP (w) 1

2

3

jH VBP (w) 2

1



1



C C



C



C

w

C

w

C

C

w

C

w

Fig. 14.142 Generation of the equivalent lowpass signal in the spectrum

the figure. The individual forming steps apply to the imaginary component in the same way. A symbolic form is chosen for the real part of the spectrum. It is even with respect to the axis at ω = 0, although it might be not even with respect to the carrier frequency ΩC . The steps to formation of the equivalent lowpass signal vLP (t) ◦−−−• VLP (ω) are: 1. Formation of Hilbert filtered spectrum H{VBP (ω)}. A Hilbert filter rotates all positive spectral components in the phase by −π/2 and all negative components by π/2.34 Formally, this filter corresponds to a Signum function multiplied by −j. 2. Rotation of the Hilbert filtered spectrum as a whole by −π/2 in mathematically positive direction. This is a multiplication by ejπ/2 . This results in a spectrum jH{VBP (ω)} that matches the spectrum of VBP (ω) for positive frequencies. 3. The addition of the bandpass spectrum VBP (ω) with the Hilbert filtered and phase rotated spectrum jH{VBP (ω)} clears for negative frequencies and doubles for + (ω). positive frequencies to VBP −jΩC t results in a shift to the lowpass range. 4. Multiplication by e VLP (ω) •−−−◦ vLP (t) is created. This spectrum VLP (ω) is complex because the original spectrum VBP (ω) was also complex. The steps to create the complex enveloping vLP (t) can be described in a basic block diagram, Fig. 14.143.

14.28.2.2

Complex Multiplication and Convolution

Complex baseband signals are processed digitally as two parallel data streams. Multiplication is therefore complex, which can be understood as partial mixing with 34

For Hilbert filter see Appendix A.4.

1444

D. Rudolph Complex Multiplication + (t) uBP

-uBP (t)

Hilbert Filter HH ( )

j

uLP (t)

uBP (t)

–j e–j

j

Ct

Fig. 14.143 Formation of the equivalent lowpass signal (complex envelope) vLP (t) using Hilbert allpass filter (HAP) HHAP (ω) Fig. 14.144 Structure for complex baseband convolution

x

t h

+

t

y

t

y

t



h

t

h

t

Complex Convolution

x

t h

t

+ +

subsequent separation of the data streams: (x1 + jy1 ) · (x2 + jy2 ) = (x1 · x2 − y1 · y2 ) + j(x1 · y2 +y1 · x2 ) complex multiplication

(14.208)

Equivalent to the complex multiplication in the frequency domain is a complex convolution in the time domain, Fig. 14.144. Since the bandpass filter must also be transformed into the baseband for this purpose, the equivalent impulse response heq (t) is also complex. heq (t) = hR (t) + jhI (t)

(14.209)

The equivalent lowpass signal x(t) = xR (t) + jxI (t) is to be filtered and then results in the corresponding output signal y(t) = yR (t) + jyI (t). This will result in a complex convolution: (14.210) y(t) = yR (t) + jyI (t) = x(t) ∗ heq (t) = {xR (t) ∗ hR (t) − xI (t) ∗ hI (t)} + j{xR (t) ∗ hI (t) + xI (t) ∗ hR (t)}

14 Modulation Methods

1445 Carrier sync

Quadrature Filter Structure 1 –j ( e 2

kTs cos(

t) hBP (t ) r (t ) hBP (t )

Ct+

)

r (kTs) Data Decision

r (kTs)

z(kTs)

dk(t)

j

Fig. 14.145 Quadrature filter structure of the digital receiver

Equation (14.210) corresponds formally to Eq. (14.208), which is also required for a complex multiplication. However, while a complex multiplication with many programs or simulators can usually be executed directly, the complex convolution must usually be split into 4 (real) convolution operations according to Eq. (14.210).

14.28.3 Block Diagrams of the Digital Demodulator Starting from Fig. 14.143 and Fig. A.16 you get the structure for a digital demodulator shown in Fig. 14.145 [24]. Compared to Fig. 14.143, this quadrature filter structure of the digital receiver takes other function blocks into account. 1. The (optional) frequency conversion with a frequency Ω1 is used to provide the digital receive signal r(t) in such a low frequency range, in which it can directly be digitally processed. This conversion is often not shown in block diagrams. 2. The BP filter hBP (t) ◦−−−• HBP (ω) is to be designed as matched filter (MF) for the used symbol form. 3. The Hilbert filter (HBP) hˆ BP (t) ◦−−−• Hˆ BP (ω) is the matched Hilbert filter (MHF) belonging to the Matched filter for the used symbol form. 4. The (complex) conversion oscillator is synchronized in its frequency and phase. The necessary√information is obtained from the decoded data dˆ (i). The factor 1/ 2 serves for normalization. 5. The demodulator structure is favorable for a practical realization, since the control loop for the carrier control can work at the symbol clock TS .

1446

D. Rudolph 2kTS 2e– j

Ct

hMSK(t ) r (t )

zI (t )

sgn()

a (2k) d(K)

(2k + 1)TS hMSK(t )

zQ (t )

DEC a (2k + 1)

sgn()

Fig. 14.146 Block diagram of a MSK demodulator/receiver

14.28.4 Synchronous Demodulation of MSK Signals Minimum Shift Keying (MSK) can be considered (1) as a special case of OQPSK with cosine rounded symbols or (2) as FM with modulation index m = 1/2 and unrounded data symbols. The block diagram of the MSK receiver is shown in Fig. 14.146 [24]. The receiver filter hMSK (t) must be adapted to the symbol form sMSK (t) so that the receiver works with matched filtering.35 The impulse response is then: 

π t hMSK (t) = sMSK (t) = cos 2 Tb

 Tb (t)

(14.211)

The output signal of the matched filter hMSK (t) in the I branch will thus becomes for a single cosine cap: (14.212) zI (t) = sMSK (t) ∗ hMSK (t); (π − x) cos(x) + sin(π − x) z(x) = ; 0 ≤ x ≤ π ; z(−x) = z(x) 2 The time function of a single bit, of the MSK symbol sMSK (t) (I or Q branch) and of the MSK symbol at the output of MF z(t) are shown in Fig. 14.147. In Fig. 14.148 the complex envelope of MSK is shown after the matched filter. (Real part {zMSK (t)} = zI (t), imaginary part {zMSK (t)} = zQ (t) and magnitude |zMSK (t)|) The time courses are compared with the complex envelopes of MSK before the MF or at the transmitter output {sMSK(t) }, {sMSK(t) } (dashed). Due to filtering, the magnitude |zMSK (t)| of the output signal after matched filtering is no longer constant. However, this is not problematic in the receiver. Although MSK can also be understood as FM, it still belongs to the linear modulation types, as the block diagram Fig. 14.123 clearly shows. The transmitter-side symbol filter has the shape of a cosine cap (sinusoidal pulse shaper) as a transmission curve. Accordingly, the receiver filter must also have this form for the condition for matched filtering to be fulfilled. 35

An example of this is also the correlator in Sect. 14.19.3.1 “Correlation Receiver”.

14 Modulation Methods

1447

1 shaped bit

0.9 0.8

MSK Symbol 0.7

cos Impulse r (t)

Amplitude

0.6 matched filtered 0.5 0.4

MSK Symbol zI (t); zQ (t)

0.3 0.2 0.1 0 –2

–1.5

–1

–0.5

0

0.5

1

1.5

2

t / Tb

Fig. 14.147 MSK Symbol and output signal of the matched filter (I or Q)

14.28.4.1

Demodulation of GMSK Signals

In contrast to MSK, GMSK is a “real” FM,36 which can no longer be represented as linear modulation. The analysis of the GMSK frequency pulse (GSM symbol) and phase progression, Figs. 14.136 and 14.137, give the following results. • The GSM symbol has the duration of (at least) 3 bits, Fig. 14.136. This creates an (intentional) intersymbol interference. • Unlike the GSM symbol, the MSK symbol does not generate interference. • Because the MSK does not generate any interference symbol, detection per symbol can take place on the receiving side. This can be seen from the phase progression of the MSK, Fig. 14.137. • With GSM (and DECT), however, a symbol decision can only be made later. The Viterbi algorithm is therefore used for detection.

36

FM is a nonlinear or exponential modulation.

1448

D. Rudolph

Fig. 14.148 MSK complex envelope (dashed) and complex envelope after the matched filter: real part {zMSK (t)} = zI (t), imaginary part {zMSK (t)} = zQ (t), magnitude |zMSK (t)|

14.29 Synchronization of the Digital Receiver For a digital transmission, all parameters (shapes, duration, phase angle, amplitudes, etc.) of the transmitted symbols must be “agreed upon” exactly between the transmitter and receiver. Since “bits” all look the same as such, their meaning in the receiver results only from their position within a bit sequence. Therefore it is necessary to give the transmitted bit sequence or symbol sequence a frame structure. The example of GSM transmission (with GMSK modulation) shows how a receiver synchronizes to a transmitted data stream and maintains this synchronicity. For synchronization purposes, GSM uses three types of frames that are sent periodically, the “Frequency Correction Burst”, the “Synchronization Burst” and the “Normal Burst”, Fig. 14.149. The Frequency Correction Burst contains an extra long sequence of 0 symbols and is used to synchronize the GSM receiver to the correct frequency for the first time. The synchronization burst is used to synchronize to the correct phase or the exact time. The normal burst contains a “training sequence” which serves on the one hand to maintain synchronization and on the other hand to measure the characteristics of the radio channel and to equalize it.

14 Modulation Methods

1449 Stealing Flag

Tail Bits

Normal Burst

3

Frequency Correction Burst

3

Synchronization Burst

Dummy Burst

Access Burst

Tail Bits Guard Period

26 Bit Training Sequence

57 Data Bits

57 Data Bits

3

8.25

3

8.25

39 Data Bits 3

8.25

3

8.25

142 Fixed Bits

3 39 Data Bits

64 Bit Training Sequence

26 Bit Training Sequence

58 Fixed Bits

3

8

41 Bit Training Sequence

36 Data Bits

58 Fixed Bits

3

68.25

Fig. 14.149 Burst structure of GSM FM Modulator

FM De-Modulator FM

d(t )dt = (t )

d(t )

PM Dem

PM Mod

dt

d(t )dt = (t )

GMSK

d (t )

d(t )

dt (t )

GMSK Modulator

Fig. 14.150 Block diagram for GSM before the receiver is synchronized

Only after the GSM receiver has been synchronized it can evaluate the data stream. It must therefore work like an analog FM receiver, more precisely, like an analog PM receiver. The transmission system can be sketched as shown in Fig. 14.150 [25]. This is almost the same block structure as for the generation and demodulation of an FM via phase modulation, Fig. 14.31, but with the essential difference that the output for the data d (t) cannot be used for synchronization, but the phase signal φ(t) must be evaluated. The reason for this is the strong increase of the noise present in the received signal when passing through a differentiator, Fig. 14.32. In this context, we must not forget that the GSM receiver still behaves like an analog FM receiver. And with FM, noise plays an important role in the received signal. The received data sequence resembles a random signal before synchronization. If a frequency correction burst is received, the phase demodulator returns a linear slope in the output signal, Fig. 14.151. As a result, the receiver experiences a quasi “metamorphosis”, which transforms it from an analog to a digital one. The next step is the exact synchronization of the time phase with the help of the synchronization burst.

1450

D. Rudolph

Fig. 14.151 Detected instantaneous phase; the linear increase of the phase is recognized as synchronization time

70 60 Random Data 50

Frequency Correction Burst

fGSM(t)/p

40 30 20 10 0 −10

0

50

100

150

200 t/Tb

250

300

350

400

Figure 14.152 shows the current phase φGSM (t) of the high frequency GSM signal during the synchronous burst. Therefore, the current phase can be used for synchronization without the need for prior decoding of the data. The exact course of the current phase is available in the GSM receiver, so that a correlation with the demodulated phase course can be formed. This correlation shows a clear maximum, even with noisy phase signals, Fig. 14.153, so that an exact synchronization is possible even in such a case. To maintain the exact synchronization, the training sequences of the normal bursts are used, resulting in correspondingly favorable results Figs. 14.154 and 14.155. • Since the test sequence in normal burst is shorter than in synchronization burst, the synchronization for the normal burst is no longer as robust as for synchronization burst, i.e. the disturbance must not be as large as for synchronization burst. However, this is practically no restriction, because the receiver is already in the synchronized state (after its “metamorphosis”). • Since the test sequence of the normal burst consists of a core, the cyclical continuation of this, both before and after, the auto- and cross-correlation of the normal burst has two clear secondary maxima.

14.30 Multicarrier Modulation The echo paths in terrestrial digital radio transmission have the consequence that the symbols arrive at the receiver more than once and with different amplitudes and phase positions, where they overlap each other vectorically. This distorts the shape of the symbols, which leads to difficulties with demodulation and thus to symbol and bit errors. If the echo times are longer than the symbol duration, not only are the

14 Modulation Methods

1451

Phase of GSM Synchronization Burst

4

without Noise

fGSM(t)/p

3 2 1 0 −1

0

10

20

30

40

50

60

70

t/Tb

20 with Noise

fGSM(t)/p

10 0 −10 −20

0

10

20

30

40

50

60

70

t/Tb

Fig. 14.152 (Top): the instantaneous phase of the high-frequency GMSK signal, if it is modulated with the synchronization burst (SB). (Below): current phase as demodulated in the mobile station, if it is disturbed by strong noise (RMS value = 5π )

individual symbols affected in their form, but intersymbol interference occurs (due to propagation). It is therefore necessary to analyse the characteristics of the terrestrial radio channel [26–28].

14.30.1 Terrestrial Radio Channel The disturbances on the transmission path are caused by the fact that • the received signal is weak and therefore noisy. In practice, the transmission power is high enough so that the influence of noise on the error rate can be neglected. • Several propagation paths of different lengths exist, whereby the different radio beams arrive with mutual delays. This is named Delay Spread, and results in – interference and – deformation of the data symbols by the echoes.

1452

D. Rudolph 3

Auto Correlation

ACF °ff ( )

2 1 0

−1 − 3000

− 2000

− 1000

0

1000

2000

3000

3

Cross Correlation noisy Sync Burst

CCF °fnf ( )

2 1 0

−1 − 3000

− 2000

− 1000

0

1000

2000

3000

Fig. 14.153 (Top): correlation of the instantaneous phase of the high-frequency GMSK oscillation in modulation with the synchronization burst, without perturbation. (Below): correlation of the undisturbed instantaneous phase with the disturbed phase. Strong noise as in Fig. 14.152

The delay spread results in a frequency-selective behaviour of the transmission channel. • Transmitters and/or receivers and/or reflectors are moving, causing Doppler shifts of the radio waves result in Doppler Spread. This will make the – the frequency bands (channels) of the transmission broader and – modulates the data symbols additionally in a random way. The Doppler spread results in a time-selective behaviour of the transmission channel. The principle of digital transmission is that the transmitter transmits exactly defined symbols known to the receiver. A symbol is an agreed waveform (in the time domain) that is rounded for bandwidth reasons, although -shaped symbol shapes are often selected for (graphical) simplification. Only a single point in the complex plane is then sufficient for graphical illustration, resulting in the constellation diagram of the modulation type used. Usually only one symbol form is used for every symbol. The transferred symbols differ only in their amplitudes and/or phase positions. In these differences the digital information is coded and thus transmitted. The task of the digital receiver is therefore

14 Modulation Methods

1453

Phase of GSM Normal Burst

0.5

without Noise

fGSM (t)/p

0 −0.5 −1 −1.5

0

5

10

15

20

25

30

35

t/Tb 10 with Noise s = 2p

fGSM (t)/p

5 0 −5 −10

0

5

10

15

20

25

30

35

t/Tb

Fig. 14.154 (Top): the instantaneous phase of the high-frequency GMSK signal when modulated with the Normal Burst (NB) #0. (Below): current phase as demodulated in the mobile station if it is disturbed by noise (RMS value σ = 2π )

precisely to detect the amplitudes and/or phase positions of the transmitted symbols and to return the bit combination agreed for this purpose. In order to recognize the amplitudes and/or phase positions of the received symbols, the receiver compares them with the known form of the symbols and the (permissible values for) amplitudes and phase positions. This comparison is done e.g. in the time domain by correlation or by matched filtering. As a result of the comparison, values are available at the sampling times, which are compared with the values stored in the receiver. The receiver selects the value that leads to the smallest difference. While an increase in transmission power reduces the impact of noise interference, this does not help against echo interference (and Doppler interference). An increase in transmission power also increases the amplitudes of the echoes, which is why practically nothing is gained. The only way is to compensate for the harmful effects of the radio channel. This leads to the requirement that the receiver needs an additional filter, which will correct all echoes and Doppler shifts. Such a filter is called a channel equalizer.

1454

D. Rudolph 0.4

Auto Correlation NB #0

ACF °ff ( )

0.3 0.2 0.1 0 −0.1 −1500

−1000

−500

0

500

1000

1500

0.4

Cross Correlation NB#0 with Noise s = 2p

CCF °f f ( ) n

0.3 0.2 0.1 0 −0.1 −1500

−1000

−500

0

500

1000

1500

Fig. 14.155 (Top): correlation of the instantaneous phase of the high-frequency GMSK oscillation during modulation with the normalization burst (NB)#0, without interference. (Below): correlation of the undisturbed instantaneous phase with the disturbed phase. Noise as in Fig. 14.154

• Since the receiver initially does not know the interference caused by echoes and dopplers – which are also constantly changing – a method is required to measure the channel characteristics, i.e. to determine its properties in real time. • Due to the random nature of the channel changes, the equaliser filter must be able to readjust its characteristics accordingly. Coefficients of the equalizer filter can be set in the following way. • The transmitter transmits (periodically repeated) a given data sequence (test sequence, training sequence, Universal Word), which the receiver knows exactly and uses to adjust the filter coefficients of the equalizer so that symbol shape and amplitude/phase positions optimally match. If the characteristics of the radio channel do not change extremely quickly, it can be assumed that these filter settings are also correct for symbols transmitted shortly before and shortly after. • With no special training sequences, the filter coefficients are adjusted based on the data symbols: adaptive equalizer filter with blind equalization. The channel estimation methods for obtaining the channel impulse response (CIR) discussed in the literature can be divided into the following groups. • Trainings based and decision based channel estimation procedures, of which there are three subgroups.

14 Modulation Methods

1455

– Parameterized model of the radio channel. The parameter values of the model using pilot symbols. – Channel model based on statistical methods such as correlation between received signal and/or pilot symbols on the one hand and known symbols on the other. – Adaptive channel model, which determines the parameter values of the channel model from both the pilot symbols and the detected data symbols. • Blind channel estimation procedures that cannot access pilot symbols.

14.30.1.1

The Problem Formulation

Radio signals reach the receiver terrestrially via several paths of different lengths (multipath). All these partial signals are superimposed at the receiving location. The superposition can be constructive or destructive, depending on the phase position of the partial signals (this kind of view assumes in principle that the transmitter emits a single carrier signal). With the digital transmission assumed here, however, modulated data pulses are sent, which then arrive with a time delay due to the different distances. To simplify matters, the data pulse with the shortest running time is referred to as the main pulse and all later arriving pulses are referred to as echo pulses or echoes. Figure 14.156 shows situations that can occur in mobile communications.

Local Dispersion

Re

fle

Diffraction

cto

r

Car

fle c

to r

Refle ctor

Mobile

Re

Fig. 14.156 Examples for the generation of echoes on the radio path

Base Station

1456

D. Rudolph

In digital transmission, the data pulses, which are also referred to as data symbols, follow without a gap in time, so that the echoes of the earlier data pulses disturb or distort the main pulses of the later data pulses. The digital receiver has a problem with distorted pulse forms. A digital transmission always uses only one (single) pulse form or symbol form. The different data values are transmitted as different amplitude values and/or phase positions of the symbols. All permissible amplitude values and phase positions are known to the receiver. The receiver’s task is to compare the received symbols with the known amplitudes and phase positions and to find the optimal match. The bit combination provided for this purpose can then be output. Without any interference on the transmission path, the procedure works without errors. In the case of terrestrial radio transmission, the following interference occurs at the receiving location: • Noise due to weak received signal • Multipath propagation due to echoes • Doppler shifts due to movements of transmitter or receiver or reflector. Due to these disturbances, the received symbols do not only change their amplitude and phase position, but also their shape, i.e. they look different. The receiver, which ideally only knows the expected symbol shapes and their amplitudes and phases, can therefore only compare the received symbols with them. It is not surprising that the decisions in the receiver are therefore not error-free. However, since for many applications a bit error rate of BER ≈ 10−4 , i.e. one error of 10 000 bits, already represents an upper limit, it is understandable why many different actions must be taken in a digital transmission to get below such an error rate. The theoretically simplest measure would be to increase the transmission power so that the noise at the receiving location would be negligible. Practical noiselessness, which is necessary for analog transmission, is not necessary for digital transmission. If broadband white noise (AWGN: additive white Gaussean noise) is the only interference, it is sufficient that (for 16QAM) the bit energy Eb ≈ 12 dB is exceeds the noise power density N0 , Fig. 14.157. However, if echo interferences are added, it is of little advantage to increase the transmission power. With increased transmission power the echoes also increase proportionally to the main pulse, so that practically nothing or not very much is gained, see Fig. 14.157 for examples of Flat Fading and Frequency-selective Fading [7, 29].

14 Modulation Methods

1457

Fig. 14.157 Bit error rates of 16QAM in channels with noise (AWGN) and fading by echo interference

100

Frequency-selective Fading

Bit Error Rate

10−1

Flat Fading

10−2

10−3

10−4

10−5

AWGN

0

2

4

6

8 10 12 Eb /N0 /dB

14

16

18

20

From the curves in Fig. 14.157 it can be seen that the increase in transmission power or energy per bit Eb • with flat fading has little effect • at frequency selective fading is practically ineffective. Flat Fading occurs when the echo times are so short that the echoes essentially affect the main pulse, whereby this changes its amplitude and shape. Frequency selective fading occurs when the echo times are so long that the echoes affect subsequent symbols and thereby change in particular their shape, which is clearly the worst case. The only effective countermeasure against echo distortion is equalization of the received symbols. However, in digital transmission one should not consider merely one single countermeasure, because this may require a disproportionately high effort. In practice, a whole bundle of strategies will always be used because thereby minimizing the total effort. This makes the task on the one hand more difficult but also more appealing.

14.30.1.2

Model of Digital Radio Transmission

The effect of the echoes and the Doppler effect on a digital radio transmission is explained in the baseband, for sake of simplicity. This means that the high-frequency part of the transmitter and receiver in strict sense does not occur in the model. This approach is justified, since (high-frequency) modulated symbols on the transmission path are characterized by their amplitude and their phase, corresponding to the digital modulations. This is taken into account in the model in the baseband by setting the symbols as complex-valued. This results in a more compact representation for the block diagram of the model, because the parallel structure of I branch and Q

1458 Fig. 14.158 Baseband model of digital transmission with AWGN channel

D. Rudolph n(t) m(t)

s(t)

r(t)

HT (w)

Fig. 14.159 Baseband model of digital transmission with radio channel and equalizer

x(t)

~ m(t)

HR(w)

n(t) m(t)

HT (w)

s(t)

C(w)

~ s(t)

r(t)

HR(w)

x(t)

E(w)

y(t)

branch does not have to be drawn separately. The block diagram becomes simpler, Fig. 14.158. First, the simpler baseband model is shown, in which only white noise (AWGN) n(t) affects the received signal r(t). The complex-valued baseband symbols are designated m(t). The filtering HT (ω) in the transmitter is taken into account by the impulse response hT (t), resulting in the (rounded) transmit symbols s(t). The receiver is simplified by a matched filter (MF), HR (ω), with the impulse response hR (t) = hT (−t). The output signals x(t) of the MF are fed (in symbol cycle) to a decision circuit, whereby then the receiving side estimates m(t) ˜ arise. In a terrestrial radio transmission, a block C(ω) •−−−◦ c(t) is added to the model on the transmitter side, which describes the effect of the radio channel. This results in an additional filtering of the transmit symbols, which can not be resolved with the MF on the receiver side alone. The output signals x(t) of the MF must therefore still be equalized, which is done by the equalizer E(ω), Fig. 14.159. Its output signals y(t) ◦−−−• Y (ω) can then be supplied to the decider. The measurement of the impulse response c(t) of a typical terrestrial radio channel, corresponding to the conditions in Fig. 14.156, gives echo structures |c(t)| (echo profile) as shown in Fig. 14.160 (left) as an example. The impulse response shown here also corresponds to a representation in the baseband. The individual echoes are therefore also of complex value. The right side shows the corresponding frequency response |C(f )| of this radio channel, related to the baseband. Since this frequency response is not constant, it is a transmission channel with frequency-selective fading.

14.30.2 Channel Equalization Methods The radio channel can be modeled as Finite Impulse Response (FIR) filter structure, Fig. 14.161 where the filter coefficients ci (t) are complex and also change in time due to the movements of e.g. the handheld. For equalization, the receiver needs a filter that can be configured to imitate the inverse transfer function E(f ) ≈ C(f1 ) of the radio channel C(f ). Such a filter can be designed as FIR filter or as IIR filter (IIR infinite impulse response).

14 Modulation Methods

1459

3GPP 6-Tap Typical Urban (TU6) Channel Delay Profile

Frequency Response of 3GPP TU6 Channel in 5 MHz Band 2.5

1 2 Channel Gain

Amplitude

0.8 0.6 0.4

1

0.5

0.2 0

1.5

0

1

2 3 Time / ms

4

0

6

5

0

1

2 3 Frequency / MHz

4

5

Fig. 14.160 Echo structure and frequency response of a typical terrestrial channel s (t )

Δ

v0

ci (t )

c0

Δ c1 +

Δ c2 +

Δ c3

Δ c...

+

+

cN +

s (t )

Fig. 14.161 Model of radio channel as FIR filter. The filter coefficients ci must be reassigned and loaded depending on the rate of change (Doppler) of the radio channel Fig. 14.162 Principle of an adaptive filter to equalize the symbols

y [n]

x [n] Filter

c0 [n]

c1 [n]

cN [n] g [n]

Control Unit

є [n] = y [n] – g [n]

The values of the coefficients of the equalizer filter (ci [n] in Fig. 14.162) are obtained in the receiver using a control loop, Fig. 14.162, which is called an adaptive filter. In principle, the mode of operation can be described as follows. Since all parameter values must be exactly defined for digital transmission, the receiver knows the shape of the symbols and their amplitudes and phases. In Fig. 14.162 the allowed symbols are marked with g[n]. The control loop now controls the filter coefficients ci [n] so that the equalized receive symbols y[n] deviate only by a small error ε[n] from the permissible symbols g[n]. This approach describes the digital transmission in the time domain. This is so far logical and consistent, as the digital receiver evaluates the form of the received symbols (including their amplitude and phase). The corresponding digital modulations

1460

D. Rudolph

are the single-carrier modulations, which traditionally only work in a receiver with adaptive equalizer structures according to Fig. 14.162, i.e. in the time domain. Digitally realized equalizer filters work in symbol clock or in increased clock rate (oversampling), to which the variable [n] refers. The number of taps N is therefore determined by the delay of the latest echo of the channel impulse response Fig. 14.160 related to the (temporal) duration of the symbols. With long echoes and fast data transmission with correspondingly short symbol durations, very long equalizers result in filters with large values for N . This results in computationally complex structures that are currently reaching the limits of technical feasibility. This is a disadvantage of time domain equalization. In principle the equalization could be done simply by adjusting the transfer function E(f ) of the equalizer to the inverse transfer function Hb1(f ) = C(f1 ) of the radio channel. This results in Hb (f ) · E(f ) = 1 or C(f ) · E(f ) = 1, which would eliminate the distortion effect of the radio channel. This method is called zero forcing. Equalization according to the zero forcing principle, however, has a decisive disadvantage. This is immediately obvious when you look at the block diagram Fig. 14.159. Since the noise n(t) is present at the input of the receiver, this is strongly amplified by the equalizer E(f ) in all the frequency ranges, where |E(f )| must become very large to compensate for the low values of |C(f )|, Fig. 14.160 (right). As a result, the signal/noise ratio deteriorates to such an extent that the equalized signal can no longer be evaluated without error. • In practice, therefore, a compromise between optimal equalization of the symbols on the one hand and permissible noise interference on the other has to be found.

14.30.3 Multicarrier Modulation As a remedy for this dilemma, multi-carrier modulations (OFDM or COFDM coded orthogonal frequency multiplex) are available. In many frequency-wise parallel subchannels, correspondingly (temporally) long symbols are transmitted, often also named subcarrier, whereby the echoes generated by the channel only affect the beginning of these symbols. In a representation of channel bandwidth BCH over time, the single and multicarrier modulation are compared with each other (initially on a general basis), Fig. 14.163. In Fig. 14.163 the multicarrier method uses 2N + 1 subchannels. The symbol duration Tm becomes (at least) one factor 2N + 1 times as long as the symbol duration Ts of the single carrier modulation. The limit for the length of Tm is given by the fact that during this time the properties of the channel must remain practically constant. Tm ≥ (2N + 1) · Ts

(14.213)

14 Modulation Methods

BCh

Single Carrier Transmission

Multi Carrier Transmission BsCh

Ts BCh

Fig. 14.163 Structures of single and multi-carrier modulations for equal symbol transfer rates. Shown is the frequency channel assignment depending on the transmission time (area made of bandwidth × transmission time)

1461

Tm

In the single carrier method, the symbols have the full bandwidth BCH of the channel. So they fill the transmission channel completely. The BsCh bandwidth of the subchannel of the multi-carrier method, on the other hand, has a smaller individual bandwidth. Because they can partially overlap each other: BsCh ≥

BCH 2N + 1

(14.214)

If an equal number of bits per symbol is transmitted for both methods, in both cases the same area, formed from the product (bandwidth × symbol duration), results in the representation in Fig. 14.163. BsCh · Tm ≥ BCH · Ts

(14.215)

Thus, the same amount of information may appear to be transferred for both methods at a given bandwidth BCH . For OFDM, however, the guard interval and the pilot symbols and for COFDM the necessary convolutional coding must still be taken into account.

14.30.3.1

Model Case: Only 1 Subchannel Is Active

In a (very) simplified case, in which a signal is only transmitted in one subchannel, we will show how the echoes affect the received signal, Fig. 14.164. De facto, these are conditions like a single-carrier transmission, where the echo disturbances are short compared to the symbol duration. The received signal consists of a main signal, 2 echoes and a common wave channel [23]. From Fig. 14.164 the following properties and relationships typical for OFDM can be seen: 1. The main signal indicates that no rounding symbol is applied. This is the case with OFDM in practice. The spectrum of the OFDM must therefore be filtered subsequently.

1462

D. Rudolph

2. The selective fading for the whole channel is converted by OFDM into a flat fading for the sub channels. 3. A range Tg (Guard Time) is defined with a duration Tg greater than the longest echo duration to be considered. Echoes with longer running time cause interference. 4. The total symbol duration Tm is in practice 4–8 times as long as the guard interval Tg . 5. During the (remaining) time Tu the symbol has a stationary value and can then be evaluated. 6. To prevent the Guard Interval Tg from losing anything of the symbol, a correspondingly long part of the end of the symbol is additionally added to the beginning of the symbol as an cyclic extension, so that, despite interference by echoes (at least) the full symbol duration as Tu is available, Figs. 14.165 and 14.166 [24]. 7. The signal energy sent during the guard interval is lost to the receiver. The actual required value of Eb /N0 for a certain bit error rate must therefore be T +T set higher in the ratio gTu u . In Fig. 14.163 a corresponding correction has to be made during the conversion. 8. The resulting phase of the carrier oscillation in the time period Tu differs from the phase of the main signal. 9. The resulting amplitude of the carrier oscillation in the time period Tu also deviates from the amplitude of the main signal. 10. A common wave channel (with the same program content) has the same effect as an echo. Therefore a single frequency network (SFN) is possible with such a system. 11. Synchronous demodulation with (frequency and phase correct) recovery of the RF carrier is required. Due to the necessity of a guard interval, the OFDM-transferable (gross) data rate u than the conversion to Eq. (14.213). Therefore, taking the is lower by the factor TgT+T u guard interval into account, an extension of the (emitted) OFDM symbols results. Tm = Tg + Tu = Tg + (2N + 1) · Ts =

Tg + Tu · (2N + 1) · Ts Tu

(14.216)

Since the area BCH · T is a measure for the transferred amount of information, the amount of information that can be transferred per time unit with OFDM is reduced by u compared to the approach according to Fig. 14.163. The receiver the factor of TgT+T u evaluates only time periods Tu , Fig. 14.165.

14.30.4 OFDM Time Curves With three active subchannels, each with real positive binary symbols, the form of the OFDM symbol is still immediately comprehensible, Fig. 14.166. A part of the end

14 Modulation Methods

1463

sOFDM (t )

Main signal t

Echo 1 t

Echo 2 t

Common channel t

Resulting signal normalized

t Tg

Tu Tm

Fig. 14.164 Combination of receive signal from main signal, echo signals and common wave signal (only 1 subchannel is active) Tg guard time, Tm symbol duration, Tu part of the symbol used on the receive side (k) Cyclic Extension

(k + 1) Cyclic Extension

(k + 2) Cyclic Extension

BCh

BsCh

Symbol (k – 1) Cyclic Extension

Tm

Tg

Tu

Symbol (k – 1) Lead in

Symbol (k + 1)

Symbol (k)

Lead out

Fig. 14.165 Structure of multi-carrier modulation with consideration of the guard interval

1464

D. Rudolph

Fig. 14.166 OFDM symbol consisting of 3 subcarriers with front-added guard interval (without echoes). In the interval Tu the sub carriers are orthogonal to each other

Tm Tg

Tu OFDM Symbol

t

Orthogonality Interval

of the OFDM symbol is added at the beginning as a guard interval, corresponding to the representation in Fig. 14.165. Orthogonality consists (in this example) of the fact that the frequencies of the signals in the sub channels behave like 1:2:4. Thus they are orthogonal to each other in the interval Tu . Sub channel 3 is not active.

14.30.4.1

High-Level Data Symbols in Many Subchannels

If higher-level complex data symbols (64QAM, pseudo random data) and many (approx. 280) active sub channels are used, time curves result, as they are shown in Fig. 14.167 for example. The OFDM symbols I (t) (real) and Q(t) (imaginary) also have a guard interval. You can recognize this by the fact that from approx. 280 of the selected time scale the time curve repeats itself from 0 to approx. 30 of the time scale. With 64QAM, there are 8 possible states for both I and Q in the individual subchannels and thus 4 possible amplitudes with 2 possible phase positions each (see Fig. 14.113). The superposition of all these possible signals leads to an apparently random course of the time functions I (t) and Q(t) in Fig. 14.167. The time curve of the OFDM symbols is therefore very similar to the time curve of (band-limited) white noise. The analysis of the amplitude distribution density of OFDM signals gives a good approximation of a Gaussian bell, regardless of whether e.g. 4PSK or 16QAM is used as modulation for the subcarriers. This means that OFDM has such a high crest factor of about 13 dB as noise with Gaussian shaped amplitudes density distribution.

14 Modulation Methods

1465 Cyclic Extension

OFDM Symbol I (t ) 6000 4000 Amplitude

2000 0

−2000 −4000 −6000 −8000

50

100

200

150

250

Time

Tg

300

350

Tg

OFDM Symbol Q (t )

6000

Amplitude

4000 2000 0

−2000 −4000 −6000

0

50

100

150

200

250

300

350

Time

Fig. 14.167 Principle time curves of complex OFDM symbols (with guard interval and without echoes)

14.30.4.2

Carrier Phases and Crest Factor

In Fig. 14.166 it can already be seen that a high crest factor CF can easily occur with an OFDM signal. This is defined as the ratio of peak value (of the voltage) to its effective value.

 speak (14.217) CF = 20 log10 seff A disadvantage of a high crest factor CF is that the transmitter has to be able to cope with very high peak powers, while on the other hand it can only deliver a relatively low average power. Obviously, a (particularly) high crest factor occurs when the phases of all carriers are equal because all I/Q symbols have the same phase, as shown as an example for 16 subchannels, where all symbols correspond to the (real) value 1, Fig. 14.168. This makes it possible to estimate which peaks could occur in an OFDM system if many subcarriers are in phase (due to the data symbols). In order that such a case does not occur due to the many required pilot symbols, see Fig. 14.170, the phase

1466

D. Rudolph

Fig. 14.168 OFDM Time function for an OFDM with 16 subchannel (subcarrier sinusoidal, all bits = 1)

15 10

Amplitude

5 0 −5 −10 −15 −1.5

−1

−0.5

0

0.5

1

1.5

t/T

positions of the individual pilot symbols are rotated against each other according to a certain algorithm.

14.31 The OFDM in the Frequency Domain The Effect of the Echoes on the Channel Transfer Function The interference caused by the echoes is very frequency selective. They therefore have very different effects within the bandwidth BCH of the channel and are additionally time-varying. For example, this results in a channel transmission function C(ω), as shown in Fig. 14.160. While the echoes “constructively” superimpose at one frequency, there are other (adjacent) frequencies where the echoes are superimposed “destructively” and thus lead to cancellations of the received signal. Therefore a part of subchannels only arrive very weakly at the receiver. Depending on the size of the interference in the channel, (at least) the affected subchannels can no longer be evaluated. While only individual channels are unusable in multicarrier transmission, a singlecarrier transmission (without equalization on the receiving side) delivers a “cluster of points” instead of a phase star and can therefore not be evaluated at all. At the same time, however, this example also shows the limits of OFDM: • E.g. 25% error means a symbol error rate (SER Symbol Error Rate) of 0.25. This is absolutely unusable for digital transmission! Practical applications usually require a bit error rate (BER) BER < 10−4 , where BER ≥ SER. This is remedied by an effective error protection coding, which results in COFDM (coded OFDM), see Sect. 14.34. Therefore only COFDM is used, because OFDM alone is worthless.

14 Modulation Methods

1467 Reference Point

Received Symbol

Fig. 14.169 Phase stars of a 16QAM after transmission via a (radio) channel. Shown are the (in each case assumed as identical) receive symbol and the currently valid phase star, which is different in its amplitude and phase rotation (per subchannel)

• As a result of the phase rotations of the subchannels, the receiving phase stars are (individually) rotated. Before the demodulation and decision a derotation (turn back to phase 0◦ ) must take place. Otherwise, with a 4PSK modulation in the subchannels, the size of the phase star, i.e. the amplitude, is irrelevant after derotation, as long as it is not so small that it is drowned in noise. This means that the symbol decision here is limited to a recognition of the quadrant in question. A (difference-coded) 4PSK modulation (DQPSK) is used for DAB/DAB+ (digital audio broadcast).

14.31.1 Higher-Level Symbol Constellations in the Subchannels With higher-level modulations in the subchannels (16QAM, 64QAM), higher data rates can be transmitted for a given total bandwidth BCH of the radio channel. This is used with DVB-T. In this case, the respective magnitude must also be known for demodulation on the receiving side. In an example of a 16QAM (per subchannel), for example, reception states as shown in Fig. 14.169 could result. To simplify matters, it should be assumed that the (currently) received symbol should be the same in each subchannel.

1468

D. Rudolph kmin = −3392

Subcarrier (Frequency)

kmax = 3392

Symbol: 95 0 1 2 3 4

Symbol (Time)

Data Zero CAZAC/M TPS Data

Pilot boosted Pilot TPS: Transmission Parameter Signalling no Carrier

Data CAZAC/M : Constant Amplitude Zero Autocorrelation

Fig. 14.170 Structure of the DVB-T frame with pilot symbols for channel measurement

14.31.2 Pilot Symbols Without knowledge of the phase rotation and the amplitude scale for each subchannel, evaluation is obviously not possible. Therefore, a de-rotation and an amplitude normalization is required per subchannel on the receiving side. To get the necessary information, suitable pilot symbols must be embedded in the data stream, Fig. 14.170 [23]. The pilot symbols must be arranged in the frequency-time plane in such a way that the sampling theorem is fulfilled, i.e. from the knowledge of the resulting sampling values for the amplitudes and phases of the frequency-time area, their course must be interpolable. Some pilot symbols are sent with increased power so that they are received with a correspondingly better signal-to-noise ratio. If the channel is “difficult”, many pilots have to be transmitted. In addition, you can then use only low-level modulations. The gross rate of the user data decreases accordingly. This occurs, for example, during a digital shortwave transmission. The transferable audio quality is correspondingly modest. If the channel is “simple”, fewer pilots are required, which means that more user data can be transmitted. In addition, higher-level modulation methods can then also be applied. This is the case, for example, with a digital long and medium wave transmission during the day, which makes good audio quality possible. In Fig. 14.170 it can also be seen that the transferred data is structured in the form of frames. This is necessary for synchronization on the receiving side. • The OFDM symbol #0 consists of individual boosted pilots only. Their distribution is used for synchronization of the respective transmitter (or SFN). • The OFDM symbol #1 represents a CAZAC/M sequence (Constant Amplitude Zero Auto Correlation) which is used for synchronization by means of correlation. • The OFDM symbol #2 is used to transmit control signals for setting the demodulator in the receiver (to the current transmission mode).

14 Modulation Methods

1469

0 −0.5

20 log(|C(w,t)|)/d B

−1 −1.5 −2 −2.5 −3 −3.5 50 40 Fre que n

30

cy

/M

Hz

20 10 0

0

5

10

15

20

25

30

35

Time

Fig. 14.171 Example of a time- and frequency dependent channel transmission function 20 log10 {|C(ω, t)|} (three ways propagation)

• Two to 3 subchannels transmit only pilot symbols (here the subchannels kmin and kmax are shown). This continuous pilots are used for frequency synchronization of the receiver.

14.31.3 Time and Frequency Dependence of the Channel Transfer Function The magnitude of the channel transmission function |C(ω, t)| to be determined by the interpolation is shown as area over frequency and time (frequency-time area), for example as in Fig. 14.171. Here, temporally unchanging reflection ratios are assumed, resulting in a regular structure of the transfer function, as can be seen from the contour lines. Since in digital transmission the signal-to-noise ratio is always selected as small as just permissible, the result is that—to use an image—the OFDM symbols at the place of the “break-ins” in the frequency-time area are “lost” in the interference. The data transmitted in the affected subchannels at these times thus are lost.

IFFT

MUX

Mapper

Data in

DAC

RF Mod

FFT

DEMUX

Data out

Decoder

CH MUX

Fig. 14.172 Basic block diagram of a digital OFDM modulator and demodulator, in which the modulation is done by an IFFT and the demodulation by an FFT

D. Rudolph DEMUX

1470

ADC

RF Dem

14.32 OFDM Modulators and Demodulators The Fast Fourier Transformation (FFT/IFFT) provides the algorithm that performs all the pre-modulation on the 2N + 1 subcarriers in one go. However, a compromise must be reached to keep the order of the FFT within reasonable limits and to allow it to run under real-time conditions: • The symbol shape is the rectangle shape , so there is only 1 point for each symbol; no symbol-rounding! This will have a corresponding effect on the OFDM spectrum. The digital OFDM modulator therefore differs from the analog modulator only in principle with regard to the way modulation is applied to the subcarriers. • In the OFDM modulator, the incoming data stream (as with the analog block diagram) is de-multiplexed. • Then complex symbols (non-rounded,  shaped) are formed (mapping) • These form the input variables for the IFFT (Inverse Fast Fourier Transform). • The IFFT transformed symbols are added together to form the OFDM time signal which, after a D/A conversion using a frequency converter (RF Mod), is transposed to the (nominal) transmit frequency. This provides the digital block diagram for OFDM transmission, Fig. 14.172. In the receiver, the corresponding steps are executed in the reverse order of the sender.

14.32.1 Why IFFT in the Transmitter and FFT in the Receiver? Since the IFFT is the (unique) inversion of the FFT, it would not really matter if the transmitter would execute the FFT and the receiver the IFFT. However, the definition made can be clearly explained as follows. The transmitted OFDM signal represents a time signal. Usually the output signal of an IFFT is also a time signal. So that’s where the definition comes from.

14 Modulation Methods

1471

The OFDM time signal has a spectral distribution corresponding to the shape of the signal at the input of the IFFT block. But this is the sum of the (rectangular) symbols in the sub channel.

14.33 Power Density Spectrum of the OFDM It is assumed that the data (and thus also the symbols) of the OFDM should be statistically independent of each other. However, this is not the case for the pilot symbols. Thus the ACF (Auto Correlation Function) of the symbols Rss (τ ) = δ(τ ), which is why their spectral power density Sss (ω) = 1 and thus becomes constant. The spectral power density in each subchannel ν of the OFDM thus depends only on the (rectangular) shape TS /2 (t) of the symbols. Thus, for the power density spectrum in each subchannel ν follows: sin((ω − ων )TS /2) 2 Sν (ω) = (ω − ων )TS /2

sNRZ (t )

(14.218)

Tu = 5T

1 0 s0 (t )

T 2T 3T 4T 5T

s1 (t )

1

s2 (t )

Tu

t –1

sOFDM (t )

s3 (t )

Tu t

–1

s4 (t )

1

1

1

–1

t

Tu t

–1

1 Tu t

–1

Tu t

sOFDM (f )

1

–1

Tu t

0

1 2 3 4 5 Tu Tu Tu Tu Tu

f

Fig. 14.173 Principle relationships between the data and the symbols of an OFDM and the OFDM sum signal and its spectral distribution (without guard interval, all the symbols Tu long)

1472

D. Rudolph

14.33.1 Power Density Spectrum in the Receiver and Orthogonality Simplifying the spectrum of OFDM can be clearly explained with the help of a graphic, Fig. 14.173 [23]. Here it is assumed that the symbols should have only the length Tu . This corresponds to the conditions in the receiver after elimination of the guard interval. To keep the relationships clear, only a total of 5  binary symbols are to be used to form an OFDM signal. The carrier frequencies ων of the subchannels are selected so that for the subchannel S1 (ν = 1) exactly 1 period of the carrier oscillation with the frequency ω1 fits into the time Tu . The subcarrier frequencies ων are selected to ν · ω1 , so that ν periods of the corresponding subcarrier oscillation fit into the time Tu . This results in bursts of the same duration (in the receiver) with sub-carrier frequencies orthogonal to each other. • The orthogonality of the sub-carrier frequencies is found again as “O” in the name of OFDM. . • The subcarrier spacing is fs = T1u or ωs = 2π Tu • Due to its orthogonality, each sub-channel can be evaluated without interference by the other sub-channels. • Orthogonality is given only if on the receiving side exact the length of use interval Tu is evaluated. • In the transmit signal there is no orthogonality, since Tm > Tu compare Fig. 14.166. The sum signal is considered (in this example), which actually corresponds to the received signal after separation of the guard interval, since it is limited to the interval Tu . sOFDM (t) =

N =4 

sν (t) =

ν=0

fν =

4 

Tu /2 (t − Tu /2) · sin(2π fν t);

ν=0

ν ν · 2π ; ων = Tu Tu

(14.219)

Each burst s1 (t) to s4 (t) represents a modulated square-wave pulse. The corcurves responding spectral distributions (in one-sided representation) are the sin(x) x shifted by fν in frequency, corresponding to the modulation theorem. • Orthogonality appears (after separation of the guard interval) in a spectrum of OFDM that sin(x) courses observe the first Nyquist condition. At each maximum x of one sin(x) all others have a zero. The maxima are therefore not distorted by the x other offshoots. Although the spectra of the subchannels partly overlap, the corresponding time functions can be separated again on the receiver side without interference due to the orthogonality.

14 Modulation Methods

1473

Fig. 14.174 Calculated spectra at the output of the OFDM Modulator. Here it is shown how favorable even a small roll-off rounding (ρ = [0, 0.02, 0.1]) can be

0 =0

Sss(f ) / dB

−20

−40

−60

= 0.02

−80

= 0.1

−100 −30

14.33.1.1

−20

−10 0 Frequency

10

20

30

Measured OFDM Spectra

As can be seen from Fig. 14.173, the spectrum of an OFDM (after the separation of the guard interval) is approximately the same as the data or symbol assignment at the input of the IFFT processor. Especially at the places where the symbols are 0, there are clear gaps in the spectrum of OFDM. With the OFDM spectra at the transmitter output, the individual subchannels are not so clearly visible, since there is no orthogonality here (due to the guard interval). Figure 14.174 shows calculated OFDM spectra [18]. With the unrounded form. Therefore, symbol form () the subchannels in the spectrum have a sin(x) x the shoulder distance is SD < 20 dB and the spurious emissions are very high. The shoulder distance is the difference between the amount of the spectrum in the channel and its value directly at its edge. Even a very small symbol rounding (roll-off ρ = [0.02, 0.1]) has a positive effect on the out-of-band radiation. With OFDM, however, no rounding of the symbols is usual, so that the spectrum is created according to the case with ρ = 0. In practice, the out-of-band radiation is eliminated by subsequent steep-flank filtering. In the OFDM spectrum of Fig. 14.175 an OFDM signal (with ρ = 0, i.e.  shaped symbols) was first filtered so that the spectrum marked “undistorted” is created. When this signal passes through a basically “linear” transmitter amplifier, the amplitude peaks are clipped due to the high crest factor of the OFDM. This manifests itself in undesired spectral components outside the useful channel, which are called secondary or interference emissions. This is obviously already the case if the transmitter amplifier still has 30 dB (factor 30 in the voltage) headroom (distance to the overload limit). The necessary headroom is also referred to as power back off for a transmitter amplifier.

1474

D. Rudolph 0 20 dB –10 20lg [Sss(f )] / dB

Fig. 14.175 OFDM spectra at the output of a transmitter amplifier. Even an intermodulation distance of 30 dB or 20 dB leads to strong spurious emissions

30 dB –20 >60 dB –30

–40 –3

14.33.1.2

–2

–1

0 f / MHz

1

2

3

Decrease of the Crest Factor of the OFDM Without Increasing the Spurious Emissions

Necessary back off factors of 20 dB (or higher) are not justifiable in practice. This 1 of its peak would mean that the effective power of a transmitter should be only 100 power! This would increase the investment costs of the broadcasters to an uneconomically high level. To increase the effective transmission power it is therefore recommended to limit the amplitude of the OFDM time signal specifically (and thus defined) so that sufficient effective power can still be generated at a given peak power of a transmitter amplifier. A “hard” clipping cannot be used because otherwise, as shown in Fig. 14.175, unacceptably high spurious emissions occur. There are various procedures which all have in common that they are time-consuming and computational. 1. Dividing each OFDM symbol into several (e.g. 4) equal sections and systematically twisting the phase positions (e.g. by multiples of π/2) and selecting those transformations that have the lowest crest factor. The information about the required partial rotations must also be transferred. This must happen before the rotated symbol is transferred, i.e. in the preceding symbol. This requires a corresponding intermediate storage, which means a time delay. 2. Increase the number of subcarriers by a number of “dummy” subcarriers. These are specifically selected so that the crest factor of the OFDM symbol is reduced. The “dummy” subchannels must also be transmitted, which increases the gross data rate or lowers the net data rate. In extreme cases, half of all subchannels (e.g. every second channel) can consist of “dummy” channels. 3. Set a threshold for the allowable crest factor and “bend” the vector diagram by adding shaped pulses of appropriate size and phase (soft clipping). Although no additional information has to be transmitted here, the added pulses mean an increase in interference noise in relation to data transmission. This means that you cannot “bend” the symbol and bit error rate at will, because otherwise the

14 Modulation Methods

1475

3 dB Threshold

2

1

1

[s (t )]

[s (t )]

3 dB Threshold

2

0

0

–1

–1

–2

–2 –2

–1

0

1

2

–2

–1

[s (t )]

0

1

2

[s (t )]

Fig. 14.176 Vector diagram of an OFDM symbol before and after the crest factor limit of 3 dB by “dummy” data Fig. 14.177 OFDM spectra with and without “dummy” data to reduce the spurious emissions, Back Off 3 dB (several iterations)

10 0 –10 Sss(f ) / dB

–20 0 Iterations –30

1

–40

3

–50

9

–60 –70 –30

No Amplifier –20

–10 0 10 Frequency / MHz

20

30

symbol and bit error rate will increase inadmissibly. This procedure is “lossy”, so it cannot be reversed in the receiver. Fig. 14.176 shows how with some effort the crest factor of an OFDM can even be reduced to 3 dB using the 2nd method. The OFDM symbol is strongly deformed, as can be seen from the comparison of the vector diagrams. In several iteration steps an increase of the shoulder distance in the spectrum to about 50 dB is achieved, even if the transmit amplifier (as in this example) only has a back off of 3 dB, Fig. 14.177 [24].

1476

14.33.1.3

D. Rudolph

The FFT in the Receiver

Since the processing is digital, the integration is replaced by a summation and thus approximated. The process that does this simultaneously for all subchannels is the DFT (Discrete Fourier Transform) that is executed in the receiver. An efficient and fast algorithm, the FFT (Fast Fourier Transform), is available for performing the DFT. Common FFT algorithms work with a power of 2 points, e.g. 2M . The received OFDM symbols are sampled and 2M points are formed. The resulting M 2 complex samples are the input variables for the FFT. The FFT calculates the same number of 2M complex values as frequency coefficients. These correspond to the 2M complex symbols at the output of the mapper on the transmit side and thus the demodulated data symbols. Figuratively speaking, the received signal is viewed through an analysis window and each of these sections is assumed to be periodically continued in itself. From a (now) periodic time function the Fourier series is calculated. This procedure is an illustration of how the FFT works. Orthogonality is also decisive for FFT. With practical realizations of an OFDM receiver the orthogonality can be lost e.g. by frequency and phase errors or by phase noise of the local oscillator, as well as by inaccuracies of the time clock. Only if a full period of an oscillation or multiples of it fall into the  shaped window of the FFT, no “leak effect” (“lattice fence” effect) occurs. • Only if the orthogonality condition is exactly fulfilled on the receiving side, the samples (sampling points) calculated with the FFT fall in the frequency domain shaped spectra of the individual subchannels and thus to the maxima of the sin(x) x simultaneously to the zero crossings of the sin(x) shaped spectra of all other (neighx bouring) subchannels. As a result of the sin(x) form a very exact synchronization x is necessary. In other words, if the orthogonality is not maintained, the samples—and thus the reception symbols—contain interferences that depend on all other symbols. This will cause errors when decoding the symbols.

14.33.2 Synchronization After switching on the OFDM receiver, a synchronization process must first take place. This consists of several parts. 1. Finding the limits of the OFDM symbols so that the FFT can be executed. Only then is it possible to access the data in the first place. 2. Detection of the continuous pilots and correction of the frequency. 3. Detecting the beginning of the OFDM frame and counting the OFDM symbols. 4. Fine correction of the time frame using ACF of CAZAC symbols. 5. Evaluation of the TPS (Transmission Parameter Signalling) Information.

14 Modulation Methods

1477

Fig. 14.178 Autocorrelation function of the OFDM signal

1.00

|R(τ)|

0.75

0.50

0.25

0.00 –2.0

–1.0

0.0

1.0

2.0

τ / ms

Most of these operations are performed using correlation (CCF or ACF). The method for finding the symbol boundaries is to be considered as an example. The guard interval plays an important role here. In Fig. 14.165 the guard interval represents a cyclic extension of symbols. Thus you have two identical sections at the beginning and end of the transmitted OFDM symbol. Therefore, if the received OFDM signal in the receiver is cross-correlated with the OFDM signal delayed by the time Tu − Tg , maximums result when the guard intervals coincide with the corresponding parts of the symbols. From this you get the information about the symbol borders. To illustrate this method, in Fig. 14.178 the autocorrelation (ACF) for an OFDM is shown. The maximum peak in the middle corresponds to the exact overlapping of the symbols, while the smaller peaks on both sides represent the congruity of the ends of the symbols with the respective guard intervals. The height of the peaks is proportional to the respective duration.

14.34 From OFDM to COFDM If you look at OFDM from the receiver’s point of view, you are particularly interested in the error rate that can be achieved on the receiver side, which should be as low as possible. Taking into account the propagation conditions in the radio channel, it already became clear in the Sect. 14.31.3 that the OFDM results in unacceptably high error rates in the order of 10−1 . Therefore, a powerful error protection coding (FEC Forward Error Correction) must take place.

1478

D. Rudolph

Fig. 14.179 Receiving power in the subchannels at 0 dB two-way propagation (detail)

Received Power

Mean Power

0

1

2

3

4

5

6

7

8

Carriers

14.34.1 The Need for Error Protection Coding A simple example serves to clarify the problem. For this purpose it is assumed that a 2-way propagation takes place in the channel, whereby each of these transmission paths leads to receive signals with the same amplitude at the receiver. So the direct path and the echo path are equally strong (difference is 0 dB) The delay TE of the echo should be TE = Tg = Tu /4 and thus just within the permissible range. With a 2-way propagation with 0 dB echoes, the channel acts as a comb filter. The individual transmission ranges of the channel transfer function take the form of a cosine cap | cos |. Looking at the received power, the result has cos2 shape, Fig. 14.179. Because of TE = Tu /4 every 4th subchannel will be will be erased [30, 31]. Even if the signal-to-noise ratio in the channel would otherwise be ∞, this results in a symbol-error-rate (SER symbol error rate) of 25%. Error protection coding that works with “hard” symbol decisions (hard decision) is not suitable here. The solution to this problem is convolutional coding with soft decision decoding. Soft decision means that it is not only decided whether a symbol is to be assigned on either side of a threshold, but also how safe this decision is.

14.34.1.1

A Comparison with a Single-Carrier Transmission

To illustrate the principle it is sufficient to consider a 2PSK transmission. The nominal values are +1 and −1 and the decision threshold is 0. A hard decision assigns all received symbols with values >0 to the value 1 and the same applies to receive symbols with values Tc is practically 0, since lim N →∞ N The ability of Spread Spectrum Modulation to handle echoes in the radio channel is based on this property. Only the receive signal from the path to which it is synchronized is despreaded and thus demodulated. The echoes (with runtimes TEcho > Tc ) are all not despreaded and are therefore (practically) inoperative. The ACF of a  shaped symbol is ∧ shaped, as you can easily figure out with the help of the “simplified convolution”, after the correlation “” has been replaced by a convolution “∗”.

39

14 Modulation Methods

14.37.4.5

1497

Exact Temporal and Local Resolution

The Global Positioning System (GPS), the most popular positioning system, also uses very long PN sequences. Here the receiver evaluates several satellite signals and can then calculate the position of this location with the signals arriving at a certain location at known times.

14.37.4.6

Spectral Power Density of a PN Sequence

According to the theorem of Wiener and Chintchine, the power density spectrum  2 shape for Pc (ω) (PSD) is the Fourier transform of the ACF, resulting in a sin(x) x the envelope to the spectral function in this case (for  shaped chips). Because of the periodicity of the ACF, Pc (ω) consists of equidistant δ lines, Fig. 14.193 (bottom). With correspondence 2π Tc

(14.229)

(N + 1) Ωc ⊥⊥⊥Ωc /N (ω) − Ωc ⊥⊥⊥Ωc (ω) N

(14.230)

⊥⊥⊥Tc (τ ) ◦−−−• Ωc ⊥⊥⊥Ωc (ω);

Ωc =

becomes Eq. (14.226): ρc (τ ) ◦−−−•

The transform of Tc ∧Tc (τ ) results in:   sin(ωTc ) 2 Tc Tc ∧Tc (τ ) ◦−−−• ωTc

(14.231)

From this follows for the (normalized) power density spectrum (PSD) Pc (n) = Pc (n/Tc ): ! "  sin(πn/N ) 2 N +1 for n = 0 N2 πn/N Pc (n) = (14.232) 1 for n = 0 N2

14.37.5 Cross Correlation of PN Sequences For shift registers with lengths m ≥ 5 there are several possibilities for taps, all of which have the maximum length of N = 2m − 1, Table 14.5, where each of these configurations leads to a different PN sequence. Each of these possible PN sequences has the same (not normalized) ACF, which has a maximum of N for the shift τ = 0.

1498

D. Rudolph

Fig. 14.194 ACF of a PN sequence of length 27 − 1 (for all allowed taps)

150 ACF PN sequence (x7 + x + 1)

ACF Rc (τ)

100

50

0 –100

Fig. 14.195 CCF of two PN sequences with length 27 − 1 = 127 (with taps [7, 1] and [7, 6, 5, 4])

–50

0 Delay τ

50

100

50 40

CCF PN sequence (x7 + x + 1) & (x7 + x6 + x5 + x4 + 1)

30

CCF Rij (t)

20 10 0

−10 −20 −30 −40 −50

−100

−50

0 Delay t

50

100

If one understands the generation of the ACF as a form of a “generalized resonance”,40 then obviously appropriately spread signals can be unambiguously recognized (and thus despread again) on the receiving side. The question that arises from this is whether the cross correlations (CCF) of the different PN sequences (same length) for each possible shift τ are sufficient small values, so that e.g. in an application with a CDMA system (CDMA Code Division Multiplex Access) the participants interfere with each other as little as possible. As an example, two shift registers of length m = 7 with taps [7, 1] and [7, 6, 5, 4] are considered, and their ACFs and the CCFs are formed, Figs. 14.194 and 14.195 [11]. The PN sequences are in polynomial form: 40

See Appendix A.2.5.

14 Modulation Methods

1

1499

2

3

4

5

6

7

Clock Gold Sequence 1

2

3

4

5

6

7

Fig. 14.196 Generator for gold sequences of length N = 27 − 1 = 127

x7 + x + 1 resp. x7 + x6 + x5 + x4 + 1

(14.233)

From this example one can see that the CCF can assume considerable amplitudes for certain shifts (delay) τ , here e.g. −41 (normalized: −41/127 ≈ −1/3).

14.37.5.1

Gold Codes

One of the ways to get better values for the CCFs are the gold codes [11]. Gold codes (Gold PN sequences) result from the EXOR combination of two PN shift registers of the same length, but with different taps. As an example the two previously used shift registers (SR) of length m = 7 are considered, Fig. 14.196. A gold generator has many degrees of freedom, because both SR can start with 2n − 1 different initial values, whereby it is important to consider the possible differences. However not every arbitrary initial value is permissible. This results in a total of (only) 2n + 1 (different) gold sequences, which can be generated by the generator Fig. 14.196. Gold has generally shown that the CCFs of all gold sequences that can be generated with it do not exceed the value 2(n+1)/2 + 1 for generators with an odd number of SR. In the selected example this is 24 + 1 = 17. Figure 14.197 [11] shows an example for the CCF of two gold codes generated with the gold generator according to Fig. 14.196. Remarkable for the CCF of two gold sequences is that there are only 3 different amplitude values.

14.37.5.2

Hadamard or Walsh Codes

The Walsh functions are periodic and represent an orthogonal function system. If 2 (arbitrary) different Walsh functions are multiplied by each other and then integrated over a whole period, the value 0 is obtained. If 2 (arbitrary) identical Walsh functions are subjected to this operation, a maximum (of the same size) is obtained.

1500

D. Rudolph Gold Code (x7 + x + 1)

(x7 + x6 + x5 + x4 + 1)

20 15 Cross−correlation function Rji( )

Fig. 14.197 CCF of two gold sequences of length N = 27 − 1 = 127. There are only 3 different amplitude values

10 5 0 −5 −10 −15 −20

−100

−50

0 Delay

50

100

This is the orthogonality condition. Technically this can also be understood as “generalized resonance”. Due to their orthogonality, Walsh functions are used as Hadamard spread codes in CDMA systems.41

14.37.6 Direct Sequencing Spread Spectrum The Direct Sequencing Spread Spectrum (DSSS) procedure has already been described in general terms in Fig. 14.189. After first the properties of the spread code were in the foreground, now further system aspects will be discussed.

14.37.6.1

Modulations for DSSS

2PSK and 4PSK are common digital modulations for DSSS. In principle, this is sufficient because the spectrum is then spread anyway. A rounding of the symbols is also of little interest due to the spectral spread. A higher-level modulation, which is otherwise used to reduce the transmission bandwidth, is not common with DSSS, as this is associated with increased effort on the receiving side. The advantage of higher-level modulation is its greater spectral efficiency, expressed in bit/sec/Hz. For the combination of Digital Modulation and Spread Code the following variants are common. • 2PSK with spreading code 41

See Appendix A.2.5.

14 Modulation Methods Fig. 14.198 2PSK with spread code, 2PSK with I/Q spread code (top line), 4PSK with spread code, 4PSK with I/Q spread code (bottom line). Left part each: modulation; right part: spreading

1501

a

ci (t )

b

d(t )

s(t )

i(t )

d(t )

s(t ) i(t )

c(t )

cq(t )

c

d i(t )

i(t )

di (t )

ci (t )

di (t ) s(t )

s(t ) dq(t )

dq(t ) c(t ) q(t )

q(t )

cq(t )

• 4PSK with spreading code • 2PSK with I/Q spreading code • 4PSK with I/Q spreading code. For the block diagrams, both the digital signals and the chips of the spread codes are used as bipolar time functions and the respective interconnection is assumed to be multiplicative. Since both operations can be represented as multiplications (or EXOR operations), the commutative law of multiplication applies, M · S = S · M . Thus, the order of multiplication M and spreading is S arbitrary and only a question of technical realization. In Fig. 14.198 the order modulation → spread is chosen. An I/Q spread code consists of 2 equally long but otherwise different codes ci (t) and cq (t). I/Q spread signals are harder to detect and less sensitive to several interferences.

14.37.6.2

Demodulation of DSSS

The spreading of the data signal in the transmitter must be cancelled again in the receiver. This is particularly easy when binary spread codes are used. To illustrate the effect of de-spreading, the data and the spread code are regarded as binary signals. This results in the following simplified block diagram (for a baseband representation), Fig. 14.199.

Fig. 14.199 Spreading and despreading via EXOR functions

EXOR

EXOR Bits

Bits

Channel Chips

PN Generator

Chips

1502

D. Rudolph

Fig. 14.200 DSSS receiver r(t ) BPFilter c(t )

PSK Demodulator

d(t )

Correlator

Concerning the useful bits, the 2-fold EXOR combination with the spread code provides a perfect recovery of the data signal. If a (unipolar) PN sequence is linked to itself by EXOR, this gives a constant value. The same applies if a (bipolar) PN sequence is multiplied by itself. c(t) ⊕ c(t) = 1, c(t) unipolar; c(t) · c(t) = 1, c(t) bipolar

14.37.6.3

(14.234)

Demodulation of the Despreaded Signal

In the receiver the despreading comes first and then the demodulation. The following block diagram results for the DSSS receiver, Fig. 14.200. The BP filter in the correlator has the bandwidth of the unspread digital modulation. This is subsequently demodulated in a digital demodulator.

14.37.7 The Processing Gain The digital signal has (before spreading) the bandwidth Bm corresponding to the data rate R. The spreading signal has the bandwidth Bs = Bc corresponding to the chip rate RCh . The bandwidth of the spread signal Bss can be used for practical cases where RCh  R, is estimated with sufficient accuracy by assuming a rectangular spectral coverage for both the digital signal and the spread signal. The multiplication of the data signal with the spreading signal leads to a convolution in the spectrum which can be carried out very easily with the help of the assumptions made and then leads to a trapezoidal shape. The bandwidth of the convolution product Bss , which is important here, is the sum of the bandwidths Bm and Bc = Bs . For large spread factors this is approximate Bc . Bss = Bm + Bc ≈ Bc for Bc  Bm

(14.235)

Since the symbol rate is Rs ≈ Bm and the chip rate is RCh ≈ Bc , it also applies: Bss ≈ RCh

(14.236)

As already mentioned in Sect. 14.37.1, an interference signal is simultaneously spread by the despreading of the useful signal on the receiving side. The energy of the

14 Modulation Methods

1503

a

M(f )

d

Jammer J(f ) S(f ) f Bss

b

Sd (f )

e

f

Bm

Bc

J(f )

S(f )

f f0

f

Sd (f ) Bm J(f ) Bc

f

f

Bm

c

Bm

S(f )

M(f )

g f f0 Bss

Bc

0

f

Fig. 14.201 Power density spectrum of data before and after spreading

interference signal is thus distributed over the full bandwidth and the amount of interference falling within the bandwidth of the filter in the correlator is correspondingly low. On the other hand, the useful signal is despreaded so that its energy falls completely within the bandwidth of the filter in the correlator and is therefore fully available at the demodulator. The processing gain, which is typical for the spread spectrum method, is based on this effect. This can easily be explained graphically by the spectra, Fig. 14.201. On the receiving side, the useful signal is completely covered by the interference and cannot be detected. After despreading, however, the useful signal is superior to the disturbance. The disturbance is spread during this procedure and its power density is is reduced accordingly. This statement is valid for interference disturbances (jammer), which have a finite bandwidth. The signal to noise ratio is mainly improved by the fact that the BP filter of the correlator is limited to the bandwidth of the useful signal. At the output of this filter only a fraction of the interference power is left, however the entire signal power. The resulting improvement of the signal to noise ratio is called Processing Gain G p . This is in first approximation proportional to the ratio of the bandwidths of spread to unspread signal. Bc RCh = (14.237) Gp ≈ Bm Rs

1504

14.37.7.1

D. Rudolph

Effect of Despreading on White Noise

From the point of view of the interference of a signal on the transmission path, the Gaussian distributed white noise (GWN) is a jammer with (theoretically) ∞ high interference power. This follows from the fact that the GWN (theoretically) has a ∞ wide bandwidth. Nevertheless, a meaningful transmission can take place in the presence of such an interference, since the useful signal limits its power to a finite bandwidth and thus exceeds the effective interference power in the receiver. The question arises whether a processing gain can be realized with regard to GWN with the help of a spread spectrum transmission. But this question must be denied. Since white noise (theoretically) has a ∞ wide bandwidth, this does not change if it is (again) subjected to a spreading process in the receiver. The spectral power density of white noise remains unchanged. The despreaded signal thus contains the same amount of noise power as an unspread signal in the receiver. The situation is different if an intentional (or unintentional) band-limited interferer acts on the transmission. A malicious interferer is assumed, as occurs in military applications. It has only a finite transmission power available. If a spread spectrum method is selected for a transmission, the interferer must decide whether to distribute his interference power over the entire bandwidth Bss = Wss (and thus reduce the power density J0 to J0 ) or whether to interfere in a part of the band (and thus increase his power density to J0 /ρ). Whatever he chooses, due to the receive-side spreading, part of the jammer power will be outside the passband of the BP filter of the correlator, weakening the jamming effect. Depending on the modulation parameters selected, one or the other strategy of the jammer may be more effective.42

14.37.8 Frequency Hopping Method While the previously discussed DSSS methods reduce interference (by jamming etc.), the FHSS methods (FHSS: Frequency Hopping Spread Spectrum) try to avoid interference. Frequency hopping methods are used for all applications working in the ISM bands (ISM: industrial, scientific, medical) for which no license fees are incurred. Examples are WLAN and Bluetooth. The initially generated digital modulation is spread by spectrally distributing it by means of a mixer and (agile) conversion oscillator in chronological order within a wide frequency range. PN sequences (e.g. gold sequences) are used to control the frequency of the conversion oscillator, Fig. 14.202 [11]. The BP filter at the transmitter output must therefore have a sufficiently large bandwidth. The receiver uses the same PN sequence to synchronously control its conversion oscillator. The BP filter of the receiver has only the (low) bandwidth required for 42

There is no optimal modulation or spreading procedure, but also no universal jamming strategy.

14 Modulation Methods

1505

Mixer

Mixer

Binary

M-ary FSK

Band-pass

Data

Modulator

Filter

FH / MFSK Signal

Received

Band-pass

Signal

Filter

Frequency

Frequency

Synthesizer

Synthesizer

PN Code

Local PN Code Generator

Generator

Noncoherent M-ary FSK Detector

Estimate of Binary Data

Fig. 14.202 Frequency hopping modulator and demodulator

digital modulation. The frequency synthesizer, mixer and bandpass modules work together as a correlator, corresponding to the DSSS method. Since transmitter and receiver must settle after each hop, it is not possible to use a modulation method that requires synchronous demodulation in the receiver. Therefore the asynchronous L-FSK (L step frequency shift keying) is used. With regard to the hopping frequency, there are 2 cases: Slow Frequency Hopping: During the duration of a frequency setting of the frequency synthesizer, or a hop, several symbols are transmitted. In this case, the symbol duration is shorter than the hop duration. The symbol rate is then referred to as the chip rate. Fast Frequency Hopping: During the duration of a symbol, the frequency of the synthesizer changes several times. Several hops are performed per symbol. Here the hop duration is shorter than the symbol duration. Therefore the hop duration determines the chip rate. In general, the chip rate RCh is determined by the larger of the two values of hop rate Rh and symbol rate Rs . (14.238) RCh = max(Rh , Rs )

14.37.8.1

FHSS Processing Gain

The processing gain of the FHSS method is calculated using the same formula as for the DSSS method. Bc RCh Gp ≈ = (14.239) Bm Rs Bc is the total bandwidth used for hopping, corresponding to the chip rate RCh . Bm is the bandwidth of the digital modulation, corresponding to the symbol rate Rs , here the L-ary FSK (L–FSK). The result appears paradoxical at the first moment, because with FHSS you “see” directly in which part of the spectrum at which time a signal power is present.

1506

D. Rudolph

However, if you understand a spectrum as the result of the measurement with the help of a filter bank with infinitesimally narrow filters, which swing in and out endlessly, you can see that the above approach applies to G p . The measurement with such a filter bank corresponds to a spectrum calculation according to Fourier. With DSSS the power is also not evenly distributed within Bs at all times. The changes are only much faster and can therefore be averaged more easily. The length of the PN segment used to control the frequencies is k. The total length of the PN sequence is N = 2m − 1. But this is not a power of 2. Since the number L of the hop frequencies should be a power of 2, a section of the PN sequence is used to control the frequency synthesizer. So the processing gain can be easily expressed in dB. Gp = 10 log10 2k ≈ 3k (14.240) dB The assumption here is that the jammer distributes its energy evenly over the entire bandwidth Bs . However, if the jammer concentrates his energy on a few of the hop frequencies used, the processing gain will be lower.

14.37.8.2

Slow Frequency Hopping

In this case, the chip rate RCh is equal to the symbol rate Rs . RCh = Rs

(14.241)

If L = 2l is the number of frequencies used by the FSK, the bit rate is Rb : Rb = Rs · log2 L = Rs · l

(14.242)

These frequencies must be selected in such a way that they are separated by a whole multiple of the chip or symbol rate RCh = Rs , whereby they become orthogonal to each other. This prevents crosstalk between the individual frequencies in the FSK demodulator. As an example the parameters should be selected according to Table 14.6. In this example, a new hop frequency is selected when 2 symbols (corresponding to 4 bits) are transmitted.

Table 14.6 Parameters of a FHSS system Number of L FSK tones Bits per L FSK symbol Length of the PN segment Number of hop frequencies

L=4 l=2 k=3 2k = 8

14 Modulation Methods

14.37.8.3

1507

Fast Frequency Hopping

With a Fast Frequency Hopping system the hop frequency is changed several times per symbol. The advantage here is that a deliberate jammer has less time to analyze the transmitted signal. The parameter values according to Table 14.6 also apply here. In contrast to the previous example, 2 hops per symbol are executed here, which means 1 hop per bit. The chip rate is thus equal to the bit rate. If the chip rate were to be increased further so that e.g. 3 hops per symbol occur, a majority decision could also be possible in the receiver if parts of a symbol are received disturbed. This would be a simple case of additional error protection coding.

14.37.9 Time Hopping With Time Hopping Systems (THSS), time frames of M time slots each are formed. Each frame is transmitted in a slot with a digital modulation. THSS is suitable for transmissions where the average transmit power is limited, but not the peak power.

14.37.10 Chirp Procedure In a DSSS method, the PN generator is replaced by a chirp generator. A chirp is a cosine shaped oscillation where the carrier frequency is increased linearly. Chirps can also be used to measure an impulse response instead of a ∞ high δ impulse.43 With the help of a matched filter, which has the time-mirrored chirp as impulse response, the received chirp can be compressed again to a needle impulse. Instead of a matched filter, a correlator can also be used.

References 1. Zinke, O., Brunswig, H.: Hochfrequenztechnik 2; Elektronik und Signalverarbeitung, 5th edn. Springer (1999) 2. Arguimbau, L.B., Ader, R.B.: Vacuum-Tube Circuits and Transistors. Chapman & Hall (1956) 3. Lathi, B.P.: Communication Systems. Wiley (1968) 4. Panther, P.F.: Modulation, Noise, and Spectral Analysis. McGraw-Hill (1965) 5. Tibbs, C.E., Johnstone, G.G., Sturley, K.R.: Frequency Modulation Engineering. Chapman & Hall (1956) 6. Taub, H., Schilling, D.L.: Principles of Communication Systems, 2nd edn., 4th printing. McGraw-Hill (1989) 43

Bat mice use chirps for echo measurement (“Chirp Radar”).

1508

D. Rudolph

7. Couch II, L.W.: Digital and Analog Communication Systems, 4th edn. Macmillan (1993) 8. Meyr, H., Moeneclaey, M., Fechtel, S.A.: Digital Communication Receivers, Synchronization. Channel Estimation, and Signal Processing. Wiley (1998) 9. Sklar, B.: Digital Communications, Fundamentals and Applications, 2nd edn. Prentice Hall (2001) 10. Hambley, A.R.: An Introduction to Communication Systems. Computer Science Press (1990) 11. Haykin, S.: Communication Systems, 4th edn. Wiley (2001) 12. Sklar, B.: Digital Communications, Fundamentals and Applications, 1st edn. Prentice Hall (1988) 13. Sheingold, D.H. (ed.): Analog-Digital Conversion Handbook. Prentice-Hall (1986) 14. Lee, E.A., Messerschnmitt, D.G.: Digital Communication. Kluver (1988) 15. Küpfmüller, K.: Die Systemtheorie der elektrischen Nachrichtenübertragung, vol. 3.A. Hirzel (1968) 16. Papoulis, A.: The Fourier Integral and its Applications. McGraw-Hill (1962) 17. Bracewell, R.N.: The Fourier Transform and its Applications, 2nd edn., revised. McGraw-Hill (1968) 18. Kammeyer, K.D., Kühn, V.: Matlab in der Nachrichtentechnik. Schlembach (2001) 19. Feher, K.: Digital Communications, Satellite/Earth Station Engineering. Prentice-Hall (1981) 20. Rudolph, D.: Out-of-band emissions of digital transmissions using Kahn EER technique. IEEE Trans. Microw. Theory Techn. 50(8), 1979–1983 (2002) 21. Rudolph, D.: Kahn EER technique with single-carrier digital modulations. IEEE Trans. Microw. Theory Techn 51(2), 548–552 (2003) 22. Raab, F.H., Sigmon, B.E., Myers, R.G., Jackson, R.M.: L-band transmitter using Kahn EER technique. IEEE Trans. Microw. Theory Techn. 46(12), 2220–2225 (1998) 23. Reimers, U.: Digitale Fernsehtechnik. Springer (1985) 24. Kammeyer, K.D.: Nachrichtenübertragung, vol. 3.A. Teubner (2004) 25. Bilitza, H., Gärtner, S., Neuner, H.: Synchronisationsverfahren für ein Mobilfunktelefon. Patentschrift DE 41 36 147 C2, Priorität, 02 Nov 1991 26. Lee, W.C.Y.: Mobile Communication Engineering. Mc-Graw Hill (1982) 27. Lee, W.C.Y.: Mobile Cellular Telecommunication Systems. Mc-Graw Hill (1989) 28. Lee, W.C.Y.: Mobile Communications Design Fundamentals. 2nd edn. Wiley (1993) 29. Yang, Y.: Frequency domain equalization for space-time block-coded transmissions over frequency-selective fading channels. Master thesis. University of Singapore (2003) 30. Stott, J.: Coded orthogonal frequency—division multiplexing (COFDM)—theory. In: IEE Summer School on “New Broadcast Standards and Systems”, July 1997 31. Stott, J.: Explaining some of the magic of COFDM. In: 20th Montreux International Television Symposium (1997) 32. Maddocks, M.C.D.: An Introduction to Digital Modulation and OFDM Techniques. BBC-RD Report 1993/10 33. Buzid, T.: Frequency domain equalization of modulation formats with low peak to average power ratio. Dissertation an der Technischen Fakultät der Universität Erlangen-Nürnberg (2010) 34. Myung, H.G.: Single Carrier FDMA (2008). http://hgmyung.googlepages.com/scfdma 35. Van den Enden, A.W.M.; Verhoeckx, N.A.M.: Discrete-Time Signal Processing, an Introduction. Prentice Hall (1989) 36. Zemen, T.: OFDMA/SC-FDMA Basics for 3GPP LTE. Forschungszentrum Telekommunikation Wien (2008) 37. Simon, M.K., Omura, J.K., Scholtz, R.A., Levitt, B.K.: Spread Spectrum Communications Handbook, electronic edn. McGraw-Hill (2002) 38. Kraniauskas, P.: Transforms in Signals and Systems. Addison-Wesley (1992) 39. Anderson, J.B., Aulin, T., Sundberg, C.E.: Digital Phase Modulation. Plenum Press (1986) 40. Meyr, H., Ascheid, G.: Synchronization in Digital Communications. Wiley (1990) 41. Hong, L.: Frequency-domain equalization of single carrier transmissions over doubly selective channels. Dissertation. Ohio State University (2007) 42. Huemer, M.: Frequenzbereichsentzerrung für hochratige Einträger-Übertragungsverfahren in Umgebungen mit ausgeprägter Mehrwegeausbreitung. Dissertation. Universität Linz (1999)

Appendix

A.1

Laws of Fourier Transformation

The following relationships of the Fourier transformation are applied with modulation. Theorem Fourier transform Central coordinate

Time domain 1  ∞ F(ω) · e jωt dω f (t) = 2π −∞ f (0) ∞ −∞ f (t)dt a · f (t) + b · g(t) f e (t) f o (t) f (t) = f R (t) + j f I (t)

◦−−−• = = ◦−−−• ◦−−−• ◦−−−• ◦−−−•

Frequency domain ∞ F(ω) = −∞ f (t) · e− jωt dt 1  ∞ F(ω)dω 2π −∞ F(0) a · F(ω) + b · G(ω) Fe (ω) j · Fo (ω) F(ω) = FR (ω) + j FI (ω)

f ∗ (t) = f R (t) − j f I (t) g(t) = F(t) f (at)

◦−−−• ◦−−−• ◦−−−•

Time shift Frequency shift Analytic signal f + (t)

f (t − t0 ) e+ jΩC t · f (t) f (t) cos(ΩC t) + j f (t) sin(ΩC t)

◦−−−• ◦−−−• ◦−−−•

F ∗ (−ω) G(ω) = 2π · f (−ω) 1 |a| F(ω/a)

Modulation

f (t) · cos(ΩC t)

◦−−−•

Differentiation

d dt f (t) t · f (t) t −∞ f (τ )dτ

◦−−−• ◦−−−• ◦−−−•

Linearity Symmetry: even Symmetry: odd Complex time functions Conjugated complex Transposition Similarity

Integration Hilbert-transform Convolution Multiplication Theorem of energy (Parseval) Sampled time function Auto correlation Cross correlation Correlation and convolution

f (t) ∗ (2/jω) f (t) ∗ g(t)

f (t) · g(t) ∞ 2 −∞ | f (t)| dt

e− jωt0 · F(ω) F(ω − ΩC ) F(ω − ΩC )

F(ω − ΩC ) + F(ω + ΩC ) 2 jω · F(ω) d j dω F(ω) 1 jω F(ω) + π F(0)δ(ω)

◦−−−• ◦−−−•

F(ω) · sgn(ω) F(ω) · G(ω)

◦−−−• ◦−−−•

1 ∗ G(ω) = F(ω) 2π F(ω) 1 ∞ 2 2π −∞ |F(ω)| dω 

1 2π





1 2π





G(ω)



[Ω · ⊥⊥⊥Ω (ω)]; Ω = 2π T

f (t) · ⊥⊥⊥T (t)

◦−−−•

F(ω)

f (t)  f (t) f ∗ (t)  g(t) f (t)  g(t) = f (−t) ∗ g(t)

◦−−−• ◦−−−• ◦−−−•

|F(ω)|2 F ∗ (−ω) · G(ω) F ∗ (ω) · G(ω)

© The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0

1509

1510

Appendix

The general symmetry relationships between time functions and spectral densities are given in Eq. (A.1). f (t) = f e (t) + f o (t) f (t) = f R (t) + j f I (t) ◦ | • F(ω) = Fe (ω) + Fo (ω) F(ω) = FR (ω) + j FI (ω)

A.1.1

= { f e (t)} = f Re (t) ◦ | • = {Fe (ω)} = FRe (ω)

+ j{ f e (t)} + j f Ie (t) ◦ | • + j{Fe (ω)} + j FIe (ω)

+ { f o (t)} + j{ f o (t)} + f Ro (t) + j f Io (t) ◦ ◦ | | • • + j{Fo (ω)} + {Fo (ω)} + j FIo (ω) + FRo (ω) (A.1)

Multiplication and Convolution

The modulation process often consists of the multiplication of two signals, e.g. the message signal and the carrier signal. Since multiplication in the time domain includes convolution in the frequency domain and, after the permutation theorem, multiplication in the frequency domain includes convolution in the time domain, simple examples of how convolution can be performed in a simple way are given here.

A.1.2

Derivation of the Simplified Method of Convolution in the Time Domain

Convolution (symbolic: convolution star ∗) in the time domain includes multiplication in the frequency domain. f 2 (t) = f 1 (t) ∗ h(t) ◦−−−• F2 (ω) = F1 (ω) · H (ω)

(A.2)

The expression in the frequency domain can be extended with jω/jω in 2 ways. Both types are equivalent, but you finally choose the one that leads most easily to δ functions in the time domain. F2 (ω) =

F1 (ω) H (ω) · jωH (ω) = jωF1 (ω) · jω jω

Extension with

jω jω

(A.3)

According to the time-differentiation theorem, respectively the time integration theorem, applies1 : In the time of the integration theorem, another term π δ(ω)X (0) occurs, here indicated by · · · , which, however, disappears again in the simplified convolution. The Simplified Convolution can also be applied to the Laplace Transformation, where no δ functions occur with the transforms.

1

Appendix

1511

jωX (ω)

•−−−◦

X (ω) + ··· jω

•−−−◦

d x(t) Time Differentiation dt t  x(τ )dτ Time Integration

(A.4)

−∞

However, this means that instead of a convolution with the original functions f 1 (t) and h(t) you can also perform a convolution with the same result if one is derived and the other integrated: d f 1 (t) f 2 (t) = f 1 (t) ∗ h(t) = ∗ dt

t

−∞

t h(τ )dτ =

f 1 (τ )dτ ∗ −∞

d h(t) dt

(A.5)

Symbolically you can write a  for the derivation and a dash beneath the function for the integration: (A.6) f 2 (t) = f 1 (t) ∗ h(t) = f 1 (t) ∗ h(t) The rule applies: • The function that most easily leads to δ functions is differentiated. • If necessary, approximate a function by a stepped curve and then differentiate.

A.1.3

Examples for “Simplified Convolution”

Figure A.1 shows an example of simplified convolution. Here also the units of the involved variables are considered. The graphical procedure in this example is as follows: • The left function is differentiated, the right one is integrated. • Shift the “y” axis of the integrated function to both positions of the δ. • Add both shifted and integrated functions to get to the function of the result. The simplification of the convolution results from the fact that the second (integrated) function only has to be shifted to the positions of the delta pulses. It is no longer necessary to shift the flipped second function, as is usually the case with a conventional convolution. This simplifies the convolution process.

A.1.4

Forming of Data Symbols: Roll-Off

Multiplication in the time domain means convolution in the frequency domain. According to the theorem of permutation, the same interrelationships apply here

1512

Appendix

Fig. A.1 Example for performing the simplified convolution u e (t) ∗ h(t) in the time domain

as for convolution in the time domain. Since the convolution integral is built over the 1 must precede the corresponding integral. circular frequency ω = 2π f , 2π 1 f 1 (t) · h(t) ◦−−−• 2π

∞ F1 (ν)H (ω − ν)dν = −∞

1 {F1 (ω) ∗ H (ω)} (A.7) 2π

{ 2π1 } = F1 (ω) ∗ H (ω) For the graphical execution of e.g. the simplified convolution in the frequency domain it is recommended, if the functions are graphically available, to write the { 2π1 } 1 factor 2π e.g. over the convolution star ∗ so that it is not forgotten. Apart from the 1 factor 2π the convolution in the frequency domain is identical with the convolution in the time domain. This also applies to simplified convolution. The generation of the transfer function Hv (ω) of a general roll off filter, which meets the Nyquist condition 1, is shown in Fig. A.2 with the help of the convolution in the frequency domain. For the data symbols to meet the first Nyquist condition, the transfer function Hv (ω) of the shaping filter must have symmetry points on its flanks (Nyquist flanks).

Appendix

1513

Fig. A.2 Principle of obtaining the transmission function ofa rounding filter Hv (ω) (Nyquist filter)  1 2π

for digital symbols using the simplified convolution Hi (ω) ∗ G(ω) in the frequency domain

As the construction shows, these symmetry points are created by convolving the transfer function Hi (ω) of an ideal low pass with an even function G(ω). This condition is sufficient. In practice, a cosine cap is taken for the even function G(ω), because the secondary maxima of the data symbols become smaller by this choice. • When describing the modulation methods, either the time or the frequency domain is taken into account, depending on what – is easier to describe, or – represents the properties more clearly. The properties of the Fourier transformation are assumed to be known. This is especially important for the convolution, which is used in various modulations.

A.1.5

RDS Symbol and Spectrum

RDS is a data service in FM broadcasting in which, for example, information about the broadcast program is transmitted. In the spectrum of the transmitted FM signal the RDS channel is above the stereo channel. In order that the transmitted data symbols

1514

Appendix

Fig. A.3 Spectral density of RDS symbol as defined from EBU: (imaginary: 90◦ )

do not interfere with the analog signal, they must be rounded off. The rules for this rounding are specified by the EBU and refers to the spectrum of the symbols. The symbol shape of the rounded Biphase symbol is defined by its spectral density. FBiphase (ω) = j cos[ωπ/(2ωg )] · sin[ωπ/ωg ] · ωg (ω) Spektralum of RDS Symbols (A.8) Figure A.3 shows the Spectral Density of the Biphase Symbol (Fig. A.3). According to Eq. (A.8), the RDS spectral distribution is the product of a cosine oscillation with an (imaginary, 90◦ rotated) sine oscillation with half the period duration. This product of two continuous oscillations is then multiplied by a rectangular pulse of width TB , which corresponds to windowing. A real cosine oscillation (in the frequency domain) has as Fourier transform two real even delta lines (in the time domain). An imaginary sine wave (in the frequency domain) has as Fourier transform two real odd delta lines (in the time domain). The multiplication of the two spectra corresponds to the convolution of the two corresponding time functions. Therefore two time functions, which consist only of delta lines, have to be convolved with each other. Mathematically, this seems to be complicated, but according to the graphical method of “simplified convolution”, it becomes quite easy. The procedure is exactly the same as described in Sect. A.1.2. In this way, the two antiparallel (real) delta lines of the sine now become four antiparallel delta lines. These four delta lines are now convolved with the six, which means that the sixwill be moved to the position of the delta lines and weighted with their area.

Appendix

1515

Fig. A.4 RDS symbol

sin(x + π/2) x + π/2 sin(x − π/2) six3 = x − π/2

six1 =

sin(x + 3π/2) x + 3π/2 sin(x − 3π/2) six4 = x − 3π/2 six2 =

(A.9)

Figure A.4 shows the result of this multiple convolution.

A.2 A.2.1

Frequency and Instantaneous Frequency Frequency

The term frequency is most easily explained by a method of measuring it.

A.2.1.1

Example: Vibrating Frequency Meter

Mechanical blade frequency meters were previously used to control the mains frequency, Fig. A.5 [1]. This example shows very easily what “frequency” means. The steel tongues of the frequency meter perform sinusoidal resonance oscillations. The blades whose natural frequency corresponds to a frequency component of the mains voltage are excited.

1516

Appendix

Fig. A.5 Principle of the blade frequency meter Fig. A.6 Amplitude response of the parallel connection of n subsystems. The level display is indicated for each subsystem

The magnitude of the deflection is a measure of the amplitude of the frequency component.

A.2.2

Filter Bank

An electronic realization consists of an arrangement of decoupled parallel connected resonant circuits (a filter bank), which cover the frequency band to be investigated without gaps, Fig. A.6. Each resonant circuit is excited by the input voltage. The amplitude of the resonance voltage generated by the frequency components present in the input voltage is displayed per resonant circuit, e.g. in an LED strip. In this way one obtains a statement about the amplitude of the frequency component present in the passband of the respective resonant circuit. The value of the frequency can only be determined with an accuracy corresponding to the bandwidth of the resonant circuit. One can now (only theoretically) imagine a limit transition in such a way that the individual resonant circuits are selected ever narrower and their Q-factors consequently become ever higher. In order to cover the frequency band to be inves-

Appendix

1517

Fig. A.7 Parallel connection of ∞ many infinitesimal narrow subsystems

Fig. A.8 The theoretically shortest settling time of a low-pass filter

tigated completely again, more such resonant circuits are needed. In order to obtain an infinitely fine subdivision, infinitely many resonant circuits are required in the ultimate case, Fig. A.7.

A.2.3

The Time-Bandwidth Law

The settling time tr of a system is inversely (reciprocally) proportional to its bandwidth B or Bω = 2π B, tr ∼

1 Settling time of the complete system B

(A.10)

This applies similarly to the subsystems of Fig. A.6. This system is a bandpass. Bn is its bandwidth. Compared to low pass systems the settling time is Bn /2. trn ∼

2 Settling time of subsystem n Bn

(A.11)

For low pass systems this statement is easy to understand. Instead of the bandwidth B, the cutoff frequency f c or ωc = 2π f c is considered here. Such a system can transmit cos(ωc t) as an oscillation with the highest frequency, i.e., when a step σ (t) is applied, the step response a(t) can at best increase according to cos(ωc t), whereby the theoretically shortest settling time trmin is determined, Fig. A.8. trmin =

1 π = Theoretically shortest settling time of a LP system 2 fc ωc

(A.12)

1518

Appendix

Equation (A.12) is always used in practice to estimate the settling time tr of real systems. The 3 dB cut-off frequency f 3d B , for example, is used as the cut-off frequency. The application to the considered transmission systems shows that the ∞ finely divided system has a ∞ long settling time. In this case the following applies: tr ∼ 1/0 → ∞ Settling time of a low-pass filter with cut-off frequency → 0 (A.13) Because of tr → ∞ only a periodic time function (i.e. from t = −∞ to t = +∞, endlessly long) can cause a complete transient oscillation of the subsystems (filters). On the other hand, an excitation with a (non-periodic, single) signal lasting only finite time will not cause a noticeable build-up. When calculating the spectral distribution according to Fourier, a re-normalization must be carried out in such a case: Spectrum =⇒ Spectral Density This is applied to the Fourier transform. The (reciprocal) relationship between settling time and bandwidth, Eq. (A.13), which is called the time-bandwidth-law, can be illustrated by another example: A musical instrument is to be tuned with a tuning fork. One compares the two oscillation frequencies with the help of the resulting beatings. The more exactly the frequencies match, the longer the period of the beating, the longer the measuring time has to be. (The measuring time here is equal to the settling time for a period of beating.) If both frequencies are to be exactly the same, you have to wait ∞ long for a minimum beat. One recognizes here a kind of uncertainty relation, which is called time-bandwidthlaw of communication engineering: Δt ∼ 1/Δω d. h. Δt · Δω = constant

time-bandwidth-law

(A.14)

For Δω → 0 you have an exact statement about the frequency domain, but no more statement about the time domain. Now there are only cosine-shaped continuous oscillations per ∞ narrow filter, because if both the settling time and the decay time go against ∞, only a continuous oscillation can exist.

A.2.4

Definition of the Term “Frequency”

If the parallel connection of the subsystems Fig. A.6 is described as a filter bank, the spectral decomposition of a time function in accordance with the Fourier decomposition can be interpreted easily, Fig. A.9. If a frequency axis is drawn along the filter bank, a spectral breakdown into frequency components is obtained.

Appendix

1519

Fig. A.9 Filter bank for defining frequency

Analysis: Which filter is excited how strongly by the time function s(t)? The amplitude and phase of the oscillation of the filters are determined. Synthesis: In which way does a time function s(t) resp. s˜ (t) consist of continuous oscillations? Frequency is thus defined in connection with the measuring instrument used for this purpose. This is immediately understandable if one considers that the definition of the frequency via Fourier decomposition leads in principle to the same result, since, as explained above, the measurement method (in the limit case shown) can be described exactly by Fourier analysis.

A.2.4.1

Contradictions in Other Definitions of Frequency

With the otherwise usual definitions of the frequency ω = 2 · π/T T: Cycle duration ω = dϕ/dt ϕ: Phase angle

(A.15) (A.16)

one encounters contradictions. The Definition according to Eq. (A.15) actually delivers only “samples”, as becomes clear from the following subsections. The definition by Eq. (A.16) gives a time-dependent frequency ω = ω(t) = (t) if the phase angle ϕ(t) does not increase linearly.2 However, the definition with the filter bank just shows that the frequency, as used in the Fourier analysis, has no time dependence at all. The definition according to Eq. (A.16) is applied to the “frequency modulation” and results in the so-called “instantaneous frequency” (t).

2

(t) is called instantaneous frequency, Appendix A.3.

1520

Appendix

Fig. A.10 Sinusoidal and rectangular oscillation of equal amplitude and equal period duration T

A.2.5

Relationship with the Natural Oscillation of the Measuring Instrument; Resonance

If one would define the frequency only by means of the period duration T , Eq. (A.15), then obviously a sinusoidal and a rectangular oscillation would have the same “frequency”, Fig. A.10. Physically interpreted is however: Sinus: Natural oscillation of an undamped LC-circuit Rectangle: Natural oscillation of an undamped line circuit. Lines are used in ultrahigh frequency technology as low-loss resonant circuits. However, digital applications, in which corresponding “rectangular oscillations” occur as natural oscillations or generalized “resonance” (in the form of codes), are of greater technical importance today. A typical application for this is CDMA (Code Division Multiplex Access), a technique used, for example, in the 3rd generation of mobile communications (UMTS, Universal Mobile Telecommunications System). When measuring with the filter bank, the filters in question obviously come into contact with the resonance and are rocked up (resonate). Resonance means that the stimulating time function and the natural oscillation must agree in all their parameter values (period T, curve form), whereby the agreement with the curve form is obviously particularly important here. Resonance in all its generalizations and manifestations is thus a technically elementary principle. In radio technology, the separation of many superimposed signals on the receiving side is caused precisely by this. In this respect, the “filter bank” can be regarded as a prototype of a receiver. Using the example of an oscillating circuit (with damping d = 0, i.e. bandwidth B → 0, quality Q → ∞, settling time tr → ∞) the resonance principle can be formulated as follows: • A stimulating function f n (t) can control the LC resonant circuit then and only then if f n (t) matches the natural oscillation f m (t) of the resonant circuit in all parameter values. f n (t) and f m (t) are cos-shaped in this case. The resonance phenomenon is not limited to cos-shaped time functions, but can also be applied to other forms, such as rectangular time functions.

Appendix

1521

Fig. A.11 Examples of orthogonal functions

Mathematically, this resonance can be expressed by means of an orthogonal condition: 1 T



T f n (t) · f m (t)dt =

1 for n = m 0 else

Orthogonality Relation

(A.17)

0

Examples for orthogonal sine and cosine functions or rectangle functions (e.g. Walsh functions) are shown in Fig. A.11 [2]. It shall now be assumed that “measuring instruments” (more generally: receivers) are available with two types of filter banks, namely LC circuits on the one hand and line circuits on the other hand. Both are excited with a sine wave or a square wave according to Fig. A.10. Figure A.12 illustrates which filters are excited by this. • If the eigenmodes of vibration are sine or cosine shaped, the corresponding axis is called “frequency”. The corresponding analysis is called “Fourier”. • However, if the eigenmodes of vibration are rectangular, the term “sequence” is used to differentiate and to clarify. The corresponding analysis is called “Walsh”. Only in the case where the natural oscillation of the filter also corresponds to the form of the exciting function, only one filter is excited at a time. Otherwise none or several filters will resonate as a result.

1522

Appendix

Fig. A.12 The dependence of the excited filters on their natural oscillation

• Fourier decomposition is a decomposition into sinusoidal or cosinusoidal continuous oscillations, which is why it physically corresponds to the filter bank with the LC circuits. • The Walsh decomposition is a decomposition into rectangular continuous oscillations, which is why the filter bank with the line circuits corresponds to it. Obviously the Fourier decomposition corresponds more to the problems of analog systems and the Walsh decomposition to those of digital systems. To draw the conclusion from this, however, that Fourier analysis would have become unimportant today, falls short of the mark: especially in digital transmission one always has only band-limited systems available and the cutoff frequency is a characteristic of analog systems, so that the digital signals are no longer rectangular but rounded, i.e. one indeed has analog signals with some digitally interpretable characteristics. For this reason, Fourier analysis retains its importance.

A.2.6

Walsh Functions as Prototype for Orthogonal Codes

In Code Division Multiplex Access (CDMA) radio systems, orthogonal codes are used to distinguish the transmission channels, which have similarities to Walsh functions as a time course. In terms of frequency, each CDMA channel occupies the entire available bandwidth. The receiver-side separation of the channels is therefore not frequency-related, but by means of the “generalized resonances” that can be generated by orthogonal codes,

Appendix

1523

Fig. A.13 Cosine oscillation from the projection of a rotating pointer onto the real axis

which are also called “spreading codes” here. Technically this is quite simple in this case, because a scrambling generated by a spreading code can be reversed by the modulo 2 addition (EXOR) of the same code on the receiving side. Channels scrambled with other codes are only scrambled additionally by this EXOR operation in the receiver and form an interfering signal.

A.3

The Instantaneous Frequency

The instantaneous frequency is a time-dependent quantity and therefore not a frequency in the sense of Fourier! The idea behind the instantaneous frequency is that of a rotating pointer whose projection onto the real axis produces a cosine oscillation, Fig. A.13. The rotation frequency of the pointer (here ω0 ) is determined by the size of the phase change (of the pointer) per time unit. If the pointer rotates uniformly, a pure cosine oscillation is obtained (as a projection). If, on the other hand, the pointer rotates non-uniformly, an angle-modulated cosine oscillation is obtained (as a projection). ⇓

The derivative of the phase ψ(t) of the modulated carrier VˆC cos[ψ (t)], Eq. (14.32), by time, the phase change velocity, is dimensionally a frequency called the instantaneous frequency (t). This instantaneous frequency (t) is time-dependent, since it physically represents a rate of change. In contrast, the frequency ω occurring in Fourier analysis, which can be physically defined using a filter bank, is not time-dependent.3 d{ΩC · t + ϕ(t)} dψ(t) dϕ(t) = = ΩC + = (t) dt dt dt

(A.18)

Caution: In the literature, the instantaneous frequency is usually referred to as ω(t), which can lead to misunderstandings.

3

1524

Appendix

A.3.1

The Frequency Deviation

The temporal frequency change with Eq. (A.18) is Δ (t) = (t) − ΩC =

dϕ(t) with |Δ (t)max | = ΔΩ ΩC dt

(A.19)

The maximum frequency change |Δ (t)|max = ΔΩ is called the frequency deviation.    dϕ(t)    = c F M |vs (t)|max frequeny deviation (A.20) ΔΩ = |Δ (t)|max =  dt max The frequency deviation is therefore not a value in the Fourier spectrum of FM where there is necessarily a spectral line! As can be seen from Eq. (A.20) the frequency deviation is rather a measure for the maximum amplitude of the message signal vs (t). For practical cases, however, this shows: • The bandwidth Bω of an angle modulated oscillation is Bω ≥ 2ΔΩ. ΔΩ is mostly very small, related to the value of the carrier frequency ΩC . In this respect the representations in Figs. 14.23–14.25 are strongly exaggerated (for didactic reasons). The instantaneous frequency (t) can never become negative, because that would physically mean a negative frequency. The frequency deviation ΔΩ can never be greater than the carrier frequency ΩC . The temporal change of the instantaneous frequency Δ (t) defined in Eq. (A.19) is thus the temporal change of the angular velocity of a rotating pointer. The pointer corresponds to the complex notation of a Cos-shaped oscillation. This leads to the image of the pendulum pointer which is commonly used in angle modulations. As you can see immediately, it is always true: • A phase change always causes a frequency change. • A frequency change always causes a phase change. Frequency and phase changes therefore always occur together. Whether an angle modulation is called phase modulation (PM) or frequency modulation (FM) depends only on which of the two variables phase change or frequency change is proportional to the message signal vs (t). Equations (A.19), (14.33) give the following relationship, which makes the term frequency modulation (FM) understandable: Δ (t) =

dϕ(t) = c F M · vs (t) dt

(A.21)

From this follows: The temporal change of the instantaneous frequency Δ (t) is proportional to the message signal when using FM.

Appendix

1525

Fig. A.14 Ideal Hilbert allpass filter (HAP) and its impulse response

A.4 A.4.1

The Hilbert Filter Hilbert Allpass Filter

The transfer function of the (ideal) Hilbert Allpass Filter (HAP) H H A P (ω) is the Signum Function − j · sgn(ω) in the frequency domain, Fig. A.14. The (ideal) Hilbert all-pass filter has the impulse response h H A P (t). H H A P (ω) = − jsgn(ω) •−−−◦

1 = h H A P (t) πt

(A.22)

The Hilbert allpass filter has an arbitrarily high cut-off frequency.

A.4.2

Hilbert Lowpass Filter

For baseband signals, a Hilbert low pass filter (HLP) with an upper cutoff frequency of ωc , Fig. A.15 is sufficient. The transfer function and impulse response of the (idealized) Hilbert low pass filter are:   ωc ωc + j ωc /2 ω − (A.23) H H L P (ω) = − j ωc /2 ω + 2 2 • | ◦ ωc [sin(tωc /2)]2 h H L P (t) = − π tωc /2 When implementing the Hilbert low-pass filter with digital signal processing, an additional windowing (rounding) must be applied, which is costly due to the steep edges. A Gaussian smoothing is suitable for this.

1526

Appendix

Fig. A.15 Idealized Hilbert low pass filter (HLP) and its impulse response

Fig. A.16 In the region |ΩC ± Bω /2| the magnitude of a Hilbert bandpass filter |H H B P | can be made exactly equal to that of a bandpass filter |H B P |

A.4.3

Hilbert Bandpass Filter

A Hilbert bandpass filter (HBP) is required for modulated signals. The spectrum of modulated signals is concentrated on a range ±Bω /2 near the carrier frequency ΩC . • This simplifies the realization of the HBP, because the course of the amplitude response of the HBP |H HB P (ω)| out of range ±Bω /2 does not matter for the desired 90◦ rotation (of the bandpass signal) and can therefore be chosen so that the HBP becomes favorably realizable, Fig. A.16. According to Fig. A.16 both the Hilbert bandpass H H B P ω) and the bandpass filter H B P (ω) can be generated by convolution from a (common) equivalent low pass HL P (ω) •−−−◦ h L P (t). H HB P (ω) = • | ◦ h HB P (t) = H B P (ω) = • | ◦ h B P (t) =

HL P (ω) ∗ j{δ(ω + ΩC ) − δ(ω − ΩC )} hˆ B P (t) = h L P (t) · sin[ΩC t] HL P (ω) ∗ {δ(ω + ΩC ) + δ(ω − ΩC )} h L P (t) · cos[ΩC t]

(A.24)

Appendix

1527

Table A.1 List of symbols A constant

an an

A quadrature Fourier series coefficient

a(t)

Unit step response

AC

Level of modulated signal of carrier frequency ΩC = 2π f C

A(t)

Polar amplitude signal

bn

A quadrature Fourier series coefficient

B

Baseband bandwidth

BR F

Radio frequent bandwidth

c

A constant

CF

Crest factor

d(t)

Data symbols

D

Channel dynamic

e(t)

Complex envelope

h(t)

Impulse response

Hi

Hypothesis i

H (ω)

System function

I (t)

Cartesian in phase signal

m

Modulation degree

pB P¯

Average power

Bit error probability



Peak power

Q(t)

Cartesian quadrature signal

Q(x)

Q function (Gaussian probability)

rb ; rs

Spectral efficiency (bit; symbol)

s + (t)

Analytic signal

u(t)

Unit step

z(t)

Filtered signal

QAM

Quadrature amplitude modulation

erf(x) • |

Error function Pin; sampling value

◦−−−•

Fourier transform pair: time ↔ frequency

•−−−◦

Fourier transform pair: frequency ↔ time

(·)

Rectangle function

T (t)

Square shaped impulse

ωc (ω)

Ideal lowpass filter

(t) = 2π f i (t)

Instantaneous frequency

Δ (t)

Frequency deviation

ΔΩ = 2π ΔF

Carrier swing; peak frequency deviation

∧T (t)

Triangle shaped impulse

∧(·)

Triangle function

ΩC = 2π f C

Carrier frequency

φ(t)

Polar phase signal

ψ(t)

Phase angle (FM; PM)

γ0 ⊥⊥⊥(t) =



Threshold

n=−∞ δ(t − nT ) ∞ f (t)  g(t) = −∞ f (τ )g(t + τ )dτ

Pentagram notation for correlation

∞ f (t) ∗ g(t) = −∞ f (τ )g(t − τ )dτ

Asterisk notation for convolution

[·]  [·]

[·] ∗ [·]

Sampling or replicating symbol Correlation Convolution

1528

Appendix

The dimensioning of these two filters starts with the definition of the equivalent low pass filter (LP). HL P (ω) •−−−◦ h L P (t) After the impulse response h L P (t) is calculated, only sin(ΩC t) or cos(ΩC t) has to be multiplied to get the impulse response of the HBP or BP. • This ensures that the output signals of the two filters H H B P (ω) and H B P (ω) are rotated by exactly 90◦ against each other. For the digital realization, the required sample values are multiplied by each other (Table A.1).

References 1. Skirl, W.: Elektrische Messungen, 2nd edn. de Gruyter (1936) 2. Harmuth, H.F.: Transmission of Information by Orthogonal Functions, 2nd printing corrected. Springer (1970)

Index

A Accumulator, 1163, 1174, 1177, 1178 ACF of power signals, 1381 BiPhase signal, 1383 statistically dependent signals, 1383 statistically independent signals, 1382 AD converter, 1184, 1191 Aliasing, 1185, 1190, 1198, 1199, 1203, 1218, 1221, 1223 Aluminum Gallium Arsenide (AlGaAs), 676, 689 Aluminum Gallium Nitride (AlGaN), 597– 599, 684, 685, 689, 695, 705, 711, 721 AM demodulator, 1205 Amplification, 795 Amplifier, 551, 553, 643, 655, 666, 669, 682, 683, 685, 699, 700, 712, 719, 722, 724–729 broadband integrated, 863 class-A, 923 class-B, 923 class-D, 936, 937 class-E, 938, 941 class-F, 941 distributed, 904 Doherty, 931, 934 envelope tracking, 930 high-gain, 794 inverse class-F, 941 low-noise, 857, 858 main, 931 mode continuous, 944 outphasing, 935 peak, 932

switch-mode, 936 traveling-wave, 904 variable gain, 850, 856 Amplitude Modulation (AM) carrier power, 1316 compatibility, 1313 crest factor, 1316 diagonal clipping, 1318 envelope demodulator, 1318 equidistant zero crossings, 1311 modulation degree, 1313 overmodulation, 1314 peak detector, 1318 power, 1315 sideband power, 1316 spectrum, 1311 synchronous demodulation, 1320 linear demodulation, 1321 time course, 1310 Analog-to-Digital Converters (ADC), 1183, 1184, 1194, 1198, 1199 Analytic signal, 1443 Angle modulation, 1327 exponential modulation, 1327 FM, see Frequency modulation frequency deviation, 1332 influence the angle, 1329 modulation index, 1332 modulator constant, 1330 momentary frequency, 1330 PM, see Phase modulation time domain, 1327 Antenna noise temperature, 789 Antenna array, 502, 504, 509, 512, 513, 519, 520, 537 Antenna beamwidth, 495, 496, 548

© The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 H. L. Hartnagel et al. (eds.), Fundamentals of RF and Microwave Techniques and Technologies, https://doi.org/10.1007/978-3-030-94100-0

1529

1530 Antenna directivity, 495–502, 509, 519, 520, 533, 537, 547, 548 Antenna efficiency, 497, 498, 500–502, 520, 525, 526, 534, 544, 547, 548 Antenna equivalent solid angle, 495, 496 Antenna far-field region, 491, 494, 495, 499, 503, 527, 530, 537, 546, 547 Antenna feed impedance, 495, 498, 499, 518, 542 Antenna gain, 497, 498, 502, 547 Antenna near-field region, 491, 499, 526, 546, 548 Aperture antenna, 500–503, 526, 528, 534 Associated gain, 718 Attenuation constant, 63 Attenuation coefficient, 202, 206, 218–220, 226, 227, 233, 234, 238 Available power gain, 1263 Avalanche breakdown, 582–586, 642

B Backlobe, 496, 533 Balanced line pair, 181, 183, 189, 192, 193 Balanced-to-unbalanced transformers (“baluns”), 143, 144 Band model, 558, 561, 565, 571, 576 Bandpass filter, 1190, 1199 Bandwidth, 11 Barrier, 570, 585, 587–596, 598, 600, 601, 613, 616, 628, 674, 675, 678, 685, 686, 695 Base, 617, 619, 621, 623, 625–630, 636– 638, 643, 645, 648, 649, 653–659, 662, 664–668, 670, 674–679, 681, 724, 725 Baseband, 1184, 1185, 1190, 1204, 1231, 1232, 1238 Baseband channel, 1364 receiver, 1366 correlator, 1367 error rate, 1373 matched filter, 1371 noise, 1369 symbol generation, 1365 Baseband signal, 1185 Beamforming network, 509–511 Beat-note, 1126 Bias-Tee, 852 BiCMOS, 664, 677, 683 Bipolar, 551, 553, 596, 617, 619–621, 623, 627, 629, 631, 634, 635, 642, 643, 651, 658, 664, 666, 670, 674, 675,

Index 678–685, 699, 705, 707, 715, 719, 722–725 Bipolar symbol, 1299 power of, 1299 Bit error rate, 1379 error probability, 1380 Bode, 1155, 1161, 1162 Breakdown, 582, 583, 585, 586, 592, 642 Breakdown voltage, 584–586, 623, 665, 666, 677, 681–683, 690, 696, 720, 725 Brenner line, 212, 220 Broadband, 1193, 1194, 1198 Buschbeck, 97 Butler matrix, 510, 511 Butterworth, 1139, 1154, 1155 Butterworth filter, 39

C Carrier signals, 1301 parameters, 1301 Cascode, 711, 712 Cauer filter, 40 Channel bandwidth, 1204, 1207, Characteristic impedance, 6, 64, 197–200, 202, 204–207, 209–213, 216–220, 222–226, 229, 230, 232, 233, 235– 241, 245, 247–249, 257, 260, 275– 279, 281, 286 complex value, 92 Characteristic susceptance, 6 Charge pump, 1134, 1141, 1142, 1144, 1145, 1151 Charge zone, 610 Chebyshev filter, 39, 735 Chebyshev transformer, 161, 162 Chemical Vapour Deposition (CVD), 624 Circuit loss factor tanδ, 8 Class-C, 931 Coaxial cable, 72, 181, 183–193, 197, 201, 203–206, 208, 210, 213 Collector, 617–619, 621, 623, 626–633, 638, 639, 641–643, 648–650, 652–654, 656–658, 660, 662, 664–666, 670, 677–679, 681, 720, 725 Collins filter, 144, 147–149 Colpitts oscillator, 1127 Combination frequencies, 1248 Combiner Wilkinson, 907 Common-base, 619, 635, 649, 651, 705, 796 Common-collector, 635, 649, 650, 706, 796 Common-drain, 705, 708, 709, 796

Index Common-emitter, 796 Common-emitter configuration, 635, 641, 644, 646, 648–651, 657, 661, 664, 670, 672, 705, 796 Common-gate, 705, 707–709, 796 Common-source, 702–708, 711, 796 Complementary Metal Oxide Semiconductor transistor (CMOS), 551, 677, 678, 681, 683, 686, 696, 719, 724 Complex convolution, 1443 Complex envelope, 1404 Concentrated elements, 730, 733–735 Conformal array, 512 Constant envelope modulations, 1421 MSK, 1423 OQPSK, 1422 Trellis, 1422 Constellation diagram, 1408 Contact, 551–553, 586, 593, 594, 601, 602, 610, 612, 621, 622, 625, 627–629, 666, 675–678, 688–690, 704, 736, 740 Contact window, 667 Continuous mode, 944 Control system, 1125 Conversion matrix, 1255 Coplanar waveguide, 197, 211–213, 228– 235, 237, 238 Corrugated horn, 535, 536 Cosine-squared transformer, 175, 177 Costas Loop, 1211 Coupler rat-race, 911 Coupling, 241, 243, 250, 256, 257, 259–269, 271–273, 277, 280, 282–284, 286 critical, 28 Coupling attenuation, 259 Coupling factor, 245, 247, 248, 257, 261, 263, 267, 269, 272, 282, 285, 286 Coupling transmission coefficient, 259–266, 269, 270 Cross-modulation, 1250 Crosstalk, 241, 260, 274 Crystal reference, 1126 Current amplification, 619, 621, 725 Current coupling, 25 Current distribution in the transistor, 619, 630 Current flow angle, 1267, 1271 Current gain, 630, 631, 635, 638, 639, 653, 664, 691, 696, 706–708, 710 Current-Mode Class-D (CMCD), 937 Current resonance, 13

1531 Czochralski process, 622 D Data shaping, 1433 EDGE, 1437 Gaussean shaping, 1433 GMSK, DECT, 1435 GMSK modulation, 1435 DC-blocking, 852 Decimation stage, 1201 Delay spread, 1452 Delta δ comb, 1390 Demodulation, 1440 GMSK, 1447 MSK synchronous demodulation, 1446 quadrature structure, 1445 single carrier modulation, 1440 DeRonde coupler, 269 Detuning, 9 relative, 6 Dielectric loss, 220, 226, 227, 232, 234, 238 Dielectric rod antenna, 538, 539 Differential, 867 Differential Nonlinearity (DNL), 1194, 1196, 1197 Diffusion process, 623, 625, 626, 628, 690 Digital down converters, 1200 Digital frequency dividers, 1125 Digital modulation, 1355 block diagram, 1355, 1360 inner receiver, 1361 optional blocks, 1361 radio channel, 1357 Digital modulator, 1401 complex I/Q modulator, 1406 polar A/ϕ modulator, 1403 quadrature I/Q modulator, 1402 systematics, 1402 Digital phase frequency discriminators, 1125 Digital radio transmission, 1458 channel equalization, 1459 echo structure, 1458 model, 1458 multicarrier, 1460 Digital receiver, 1440 block structure, 1440 Digital Signal Processors (DSP), 1183 Digital synthesizer, 1126, 1179 Digital-to-analog, 1185, 1199 Digital up converter, 1204, 1214, 1232 Diode, 553, 559, 583, 586, 592–594, 599, 600, 602, 605–619, 622, 630–633,

1532 635, 642, 658–660, 676, 678, 679, 682, 714, 726, 736 Diode ring, 1132, 1134 Dipole, 588, 599 Dipole antenna, 493, 514, 516, 518 Direct Digital Synthesizer (DDS), 1125, 1152, 1173, 1174, 1177–1181 Directional attenuation, 259 Directivity factor, 259 Direct sampling receiver, 1184, 1216 Dispersion, 67, 198, 212–214, 223–225, 232, 238 Distortion-free transmission, 1250 Divider Wilkinson, 907 D (normalized detuning), 9 Doppler spread, 1452 Double-balanced mixer, 1125 Down-conversion, 1184 Drain, 619, 684–686, 688, 690–700, 702, 703, 711, 718–720, 725 Dual-gate, 711, 712 Dual-Sideband (DSB) costas loop, 1324 synchronous demodulation, 1322 orthogonality of auxiliary carriers, 1324 phase of auxiliary carrier, 1322 Dynamic range, 1186, 1191, 1192, 1195, 1197–1199, 1201, 1203, 1215, 1216, 1225, 1227, 1229, 1230

E Ebers-Moll equations, 629, 634–636, 644 Echoes, 1455 Effective aperture, 500, 501 Effective attenuation angle, 24 Effective attenuation factor, 24 Effective attenuation level, 24 Effective gain factor, 24 Effective gain level, 24 Effective length, 500, 501 Effective phase level, 24 Effective relative permittivity, 214–216, 222–225, 229, 231–234, 236–240, 270 Efficiency, 795 Electron trapping noise, 761 Emitter, 617, 619, 621, 626–633, 636, 641, 649, 654, 656–658, 660, 664–668, 670, 674–679

Index Epitaxy, 623–625, 740 Equivalent circuit, 602–604, 610, 611, 614, 616, 630–634, 636, 637, 645, 646, 648–651, 658–660, 670–673, 702– 709, 711–714, 721, 722, 726, 730, 732 Equivalent LP signal, 1441 Equivalent noise resistance Rn , 770 Error probability, 1374 BER, 1375 Euler, 1186 Eye diagram, 1208, 1398

F Factor Crest, 887 Fading, 504 Fano lowpass, 40 Feedback, 805, 864 parallel, 806 serial, 806 stability, 865 Field-Effect Transistor (FET), 598, 664, 681–688, 691, 693, 694, 696–707, 709–716, 719–725, 727, 728, 740 Field equivalence principle, 526–528, 530, 535 Field Programmable Logic Arrays (FPGA), 1183 Figures of merit, 1147 Filters, 559, 726, 731, 733–735, 1183–1185, 1200–1204, 1211, 1214, 1215, 1217, 1218, 1221–1225, 1229 Finger structure, 666–668, 677 Finline, 211–214, 216 Flicker noise, 761 FM demodulation, 1206 Fourier transform, 1509 simplified convolution, 1510  ∗ , 1511 roll-off, 1513 Fouries analysis, 878 Fractional N-synthesizers, 1125 Free running oscillator, 1125 Frequency, 1515 definition of, 1518 filter bank, 1516 resonance, 1520 orthogonal functions, 1521 orthogonality relation, 1521 settling time, 1517 spectral density, 1518

Index

1533

Walsh functions, 1521 Frequency band, 1185, 1186 Frequency domain equalization, see SCFDE Frequency modulation, 1335 bandwidth, 1336 Bessel functions, 1339, 1341 Parseval’s theorem, 1341 zeros, 1342 Bessel spectrum, 1344 block diagram, 1335, 1336 bode chart, 1336 classical analysis, 1337 complex envelope, 1339 demodulation, 1352 frequency deviation, 1336, 1524 generating PM, 1336 instantaneous frequency, 1523 NCO, 1350 noise, 1353 FM pointer, 1353 pendulum pointer, 1338 phase demodulation, 1336 noise, 1336 spectrum Carson formula, 1345 FM transmitter, 1344 general case, 1344 Narrow band, 1347 VCO, 1350 Frequency pyramid, 1248 Frequency synthesizer, 1125, 1126, 1132, 1163, 1174 Friis noise, 765 Friis transmission equation, 502, 503, 547 Fukui equation, 717

Gallium Nitride (GaN), 552, 554, 597–599, 664, 684, 685, 689–691, 695, 700, 703, 711, 713, 715–717, 719, 721, 723–725, 740 Gardner and Mueller Muller, 1212 Gate, 701 Gaussian error function, 1376 Q function, 1377 Germanium, 555, 588 Giacoletto equivalent circuit, 660, 661 Gilbert cell, 1285 Graphic evaluation, 1299 Group delay, 25, 31 Group velocity, 66

G Gain, 849 current, 852 maximum available, 849 maximum stable, 849 power, 874 unilateral, 849 voltage, 852 Gallium Arsenide (GaAs), 554, 560, 565–567, 580, 588, 615, 626, 664, 674–682, 694, 700, 701, 703, 705, 715, 717–725, 729, 730, 740

I Image principle, 505 Impedance inverter, 43, 80 Impedance matching, 95, 96 Impedance transformation, 144, 145, 147, 152, 157 Implantation, 613, 629, 688, 740 Indium Gallium Arsenide (InGaAs), 552, 558, 615, 676, 677, 689, 694, 719 Indium Phosphide (InP), 552, 567, 615, 664, 677, 681, 682, 684, 685, 719, 723, 725, 733, 740 Injection of electrons/holes, 675 Input characteristics, 635, 636, 653, 654

557, 558, 609, 610, 684–691, 711, 713, 733–735,

H Heaviside, 70 Helix antenna, 522, 523 Hertzian dipole, 486, 487, 490–496, 499, 501, 502, 505–507, 514, 515, 517, 518, 522, 525 Heterojunction Bipolar Transistor (HBT), 664, 674–683, 719, 723–725 Heterojunctions type 1 and type 2, 596, 597, 678 High-Electron Mobility Transistor (HEMT), 681, 682, 684–686, 688, 689, 694– 697, 701, 705, 711, 713, 715–717, 719, 721, 723–725, 740 Hilbert filter, 1204, 1443 Hilbert allpass, 1443, 1525 Hilbert bandpass, 1526 Hilbert lowpass, 1525 Horn antenna, 526–528, 530, 531, 533, 534, 537, 538, 546, 547 Hybrid circuits, 729, 737

1534 Integration, 625, 677, 678, 680, 683, 686, 696, 733, 736, 740 Interdigital capacitor, 730, 731, 733 Interdigital coupler, 269 Interdigital filter, 281, 284, 286 Intermediate Frequency (IF), 1247, 1256 Intermodulation, 1191, 1195, 1215, 1231, 1236 Intermodulation distorsion, 723 Intermodulation products, 1196, 1250 Interpolation, 1185, 1201, 1204, 1221, 1409 RC, RRC, 1409 Inter symbol interference, 1207, 1211, 1386 cosine filter, 1391 cosine roll off, 1392 ideal lowpass, 1387 Nyquist 2 condition, 1395 Nyquist 2 condition only, 1391 Nyquist condition, 1387 generalization, 1389 roll off factor, 1389 symbol rate, 1387 Inversion, 570, 686, 691, 692 Ion implantation, 628, 629, 664, 677, 691 I/Q signal, 1185 Isolation, 677, 678, 695, 696 Isotropic point source, 496, 498, 507

J Jitter, 1192, 1193, 1201, 1211, 1212, 1216 JK master-slave flip-flop, 1134

K K-factor, 849 Kirchhoff’s circuit laws, 47 Kuroda identities, 277, 278

L /4 line transformer, 144, 153, 162, 166, 167 Lange coupler, 269 Laplace transformation, 1150, 1151, 1153, 1154 Large-signal, 604, 605, 607, 658, 685, 712, 721, 722 Laterally Diffused MOS (LDMOS), 685, 691, 696, 723–725 Lattice constant, 561, 595, 596, 685 Lecher wave, 56, 213 Lens antenna, 530, 538 LINC, 936

Index Linear Amplification with Nonlinear Components (LINC), 930 Linear gain, 1233, 1236 Linearity, 795 Line resonator, 276 Load modulation, 931 Lock-in characteristic, 1151, 1152 Loop antenna, 493, 522 Loop bandwidth, 1125–1127, 1141, 1143, 1144, 1147, 1156, 1157, 1171, 1172 Loop filter, 1125–1127, 1140, 1142–1144, 1145, 1151, 1155, 1157, 1163, 1169– 1171, 1173, 1211, 1212 Loop gain, 1127, 1132, 1141 Lorentz-spectrum, 762 Loss angle δ, 8 Loss angle δ L , 2 Loss angle tanδG, 202 Loss factor, 609, 729 Low-noise amplifier, 686, 717, 728 Low-pass filter, 1126, 1131, 1133, 1138, 1144, 1155, 1172, 1173, 1186, 1199, 1205, 1207, 1212, 1231, 1232, 1236 Luneburg lens, 541

M Mag, 1232 Magic tee, 250, 256 Mason graph, 132 Matched load, 76, 79, 133 Matching conjugate complex, 855 interstage, 851 noise, 771 reactive, 865 Matching coefficient m, 98 Matching network, 672, 719, 721, 726–728, 733 Maximum Available Gain (MAG), 710 Maximum available power, 135 Maximum current, 679 Maximum Stable Gain (MSG), 691, 710, 711 Memory effect, 1231 Mesa, 605, 607, 627, 628, 677 MESFET, 684, 686–690, 692, 696, 701, 705, 711, 713, 715–722, 724 Metal-Insulator-Metal (MIM)-capacitor, 730, 733 Metal-Insulator-Semiconductor (MIS), 684 Metal-organic chemical vapour deposition, 623, 624, 677, 688

Index Metal-semiconductor junction, 586–593, 595, 599, 609, 686 Metamorphic High-Electron-Mobility Transistors (MHEMT), 719 Microstrip, 197, 211–215, 221, 222, 224– 226, 228, 231–234, 238, 239, 244, 245, 269–273, 278, 281 Microwave Integrated Circuits (MIC), 729, 735–738 Minimal phase network, 21 Minimum noise factor mixer, 1265 Minimum Shift Keying (MSK), 1423 modulation index, 1430 modulator, 1431 MSK as FM, 1426 MSK as OQPSK, 1425 vector diagram, 1428 Minority carrier, 558, 560, 569, 571, 578, 580, 581, 583, 590, 591, 593, 599– 602, 605, 613–615, 619, 625, 638, 652, 662, 665 MIS-contact, 684 Mixing additive vs. multiplicative, 1250 Mixing loss, 1263 Modulation bias, 929 load, 931 supply voltage, 930 Modulator, 930 Molecular Beam Epitaxy (MBE), 624–626, 677, 688 Monolithically Microwave Integrated Circuit (MMIC), 683, 686, 719, 723, 725, 726, 729, 735–737, 739, 740 Monopole antenna, 505, 507, 518, 519, 521, 522 Multicarrier, see OFDM Multi-section line transformer, 155 Multistage, 851 Mutual coupling, 504, 512, 513

N 90◦ hybrid, 255, 256 Nitride, 597, 599, 685, 740 Noise, 565, 613, 614, 682, 685, 686, 696, 700, 701, 712–719, 726, 728, 736 T0 , 763 amplitude, 795 antenna temperature, 789 bipolar transistor, 784

1535 diode, 755 FET channel, 782 FET induced gate noise, 782 FET noise temperatures, 782 flicker, 761 Friis, 765 generation-recombination, 761 Hooge, 762 Johnson, 761 matching, 771 phase, 795 Planck, 787 Pospieszalski, 782 Pucel, 782 radiation, 787 reference temperature, 763 shot noise, 755 thermal noise, 757 white noise, 757 Noise correlation matrix, 772 noise parameters, 775 passive multiport, 776 transformation, 778 Noise factor, 763 Noise figure, 763 Noise measure, 766 Noise parameters, 767, 770 Noise temperature, 763 Noise blanker, 1221, 1224, 1225 Noise figure, 713–719, 728 Non-touching loop rule, 135 Normalization impedance, 126 Normalized detuning, 9 Normalized group delay, 31 Normalized waves a, b, 124 Normally-off-/-on, 682, 684–686, 692, 693, 697–699 Numerical controlled oscillator, 1200 ν (relative detuning), 6 Nyquist, 1189, 1190, 1192, 1199

O OFDM, 1460 COFDM, 1478 convolutional coding, 1480 interleaving, 1480 constellation, 1467 crest factor, 1464, 1465, 1475 Guard interval, 1461 IFFT and FFT, 1470 orthogonality, 1472 pilot symbols, 1468

1536 spectra, 1473 synchronization, 1477 time curves, 1462 Ohmic contact, 593, 676, 689, 690, 695, 740 Operational quality factor, 42 180◦ hybrid, 255, 256 Output frequency, 1125, 1130, 1131, 1147, 1163, 1171, 1177, 1179, 1181 Overlay structure, 666–668 Oxide, 627–629, 667, 684, 690, 691, 729, 730

P PACTOR, 1217 PAR, 887 Passive load, 76 Passive filter, 1150, 1153 Patch antenna, 542–545 Phase center, 541, 547 Phase constant, 63 Phase-frequency comparators, 1131 Phase-frequency detector, 1126, 1171 Phase frequency discriminator, 1125, 1127 Phased-Locked Loop (PLL), 1125–1127, 1131, 1132, 1134, 1136–1138, 1140, 1142, 1149, 1151, 1152, 1159, 1162, 1163, 1173, 1179 Phase-locked system, 1125 Phase modulation, 1332 block diagram, 1332 bode chart, 1332 demodulation, 1351 FM broadcast, 1333 de-emphasis, 1333 generating FM, 1333 I/Q phase modulator, 1349 narrowband Phase modulator, 1350 Phase noise, 1125, 1127–1129, 1143, 1147, 1148, 1156, 1157, 1171–1173, 1176, 1184, 1201, 1216 Phase resonance, 18 Phase Shift Keying (PSK), 1207, 1211, 1212, 1233 Phase velocity, 65, 199, 214–217, 245, 270, 272 Photodiode, 613–615 Photolithographic process, 628, 739 Piezoelectric, 597–599, 685 Pin, 1298 PIN-diode (P-intrinsic-N), 580, 599–605, 607, 609

Index Planar technologies, 612, 628, 691, 739, 740 Planck noise, 758 Pointing vector, 125 Polar A/ϕ modulator, 1403 polar RF amplifier, 1415 spectra, 1411 spurious emissions, 1413 vector diagram, 1412 Polarization, 486, 500–502, 504, 505, 512, 517, 523, 524, 530, 535, 539, 541– 543, 545–547, 597–599, 685 Polarization doping, 598, 685, 695 Power match, 106, 133 Power density, 492, 495, 496, 498, 499, 501– 503 Power dissipation, 200, 203 Power matching, 249 Poynting’s theorem, 48 Processing Gain, 1198 Process sequence, 625, 628, 737, 738 Propagation coefficient, 63 complex, 214 Propagation constant, 63 Pseudomorphic High-Electron-Mobility Transistor (PHEMT), 687, 689, 700, 721, 724

Q Q factor, 1399 Quadrature carrier systems, 1416 4PSK, 1417 64QAM, 1418 8PSK, 1420 Quadrature Double Sideband Modulation (QDSB) block diagram, 1325 two orthogonal carrier, 1325 Quadrature mixer, 1187 Quadrature Phase Shift Keying (QPSK), 1212 Quality factor, 88, 114 contours in Smith chart, 116 external vs. unloaded, 27 Quality factor Q, 3, 8, 16 Quasi-TEM wave, 213–216, 221, 228, 232

R Radar, 683 Radiation pattern, 486, 491, 492, 496–499, 501, 504–509, 511, 513, 517–519,

Index 521–523, 525, 529, 532, 533, 535, 546 Radiation resistance, 494, 495, 501, 507, 515, 516, 522, 525, 548 Radio channel, 1357, 1469 properties of signals, 1359 time and frequency dependence, 1469 Ralph Hartley, 1187 RC filter, 1132, 1137–1139 Recombination, 554, 556, 558, 560, 565, 577, 578, 580, 581, 589, 590, 593, 599, 601, 603, 613, 614, 619, 638, 677, 713 Rectangular waveguide, 197, 198, 213, 226, 238, 239 Reflection coefficient, 39, 75 exceeding unity, 92 Reflector antenna, 519, 537, 538 Relative detuning, 6 Resonance impedance, 16 Resonant frequency, 4 Resonator, 211, 228, 276, 280–284, 286 Resonator bandwidth, 11 Reverse transfer ratio, 259, 265 RF carrier, 1303 three parameters, 1303 interdependence, 1304, 1306 two orthogonal carrier, 1305 Richards transformation, 275, 276 Roll off factor, 1389 cosine filter, 1391 cosine roll off, 1392 ROM-table, 1200, 1201 Root raised cosine, 1397 Rotman lens, 510, 511

S Sampling rate, 1185, 1190, 1218, 1221 Saturation mode of transistors, 633 Scaling power, 901 Scattering parameters, 669, 726 Schottky, 755 Schottky contact, 686, 740 Schottky diode, 586, 599, 609–612, 616 Selectivity, 11 Shannon capacity, 1362 Shannon limit, 1362 Shape factor, 1217, 1218, 1222, 1223 Shaping of digital signals, 1301 Sharp edges, 1299 Sideband, 1255

1537 Side frequencies, 1249 Sidelobe, 496, 499, 504, 509, 529, 533, 536, 538, 540, 541, 547 Sigma-delta modulator, 1171–1173 Signal integrity, 59 Signal-to-noise Ratio (SNR), 763, 1191– 1194, 1198, 1200, 1201, 1209, 1211 Silicon Carbide (SiC), 623, 664, 684, 685, 689, 690, 695, 711, 724, 725, 730, 733, 740 Silicon-Germanium (SiGe), 595, 596, 664, 666, 675, 677, 678, 680–684, 719, 725 Single Carrier Frequency Domain Equalization (SC-FDE), 1481 access, 1487 block structure, 1483 frequency domain filtering, 1485 relationship to OFDM, 1481 Skin effect, 203, 216, 233, 238 Slot antenna, 523, 524, 544, 545 Slotline, 211–213, 215, 216, 238–241 Small-disturbance theory, 1251 Small-signal equations, 643–646, 648 Smith, 100 Smith chart, 100 Software Defined Radios (SDR), 1183 Source, 553, 586, 589, 599, 613, 617, 618, 625, 645–647, 650, 655, 663, 682, 684–686, 688, 690–692, 695, 696, 698–704, 708, 709, 711, 713, 715, 717–719, 722, 726–728, 734 S-parameter matrix lossless passive, 127 transformation, 131 S-parameters, 125 Spectral efficiency, 1396 Spectra of digital signals, 1381 Speed of light, 67 Spiral inductor, 733 Spontaneous polarization, 597, 599 Spot noise figure, 763 Spread spectrum, 1489 direct sequencing, 1490, 1500 features, 1491 frequency hopping, 1504 processing gain, 1502 Pseudo-random sequences, 1492 ACF, 1495 ACF and CCF, 1498 gold codes, 1499 shift register, 1494 spectral power density, 1497

1538 Spurious, 1125, 1147, 1163, 1171, 1173, 1174, 1176, 1178, 1179, 1186, 1197, 1201 Spurious noise, 1179 Stability, 822, 854 mixer, 1263 Rollet, 824 Stepped-impedance, 144, 167, 178 Stripline, 197, 198, 210–217, 219–221, 238, 244, 245, 266, 281 Strip-slot coupler, 269 Stub, 913 Stub line matching circuit, 111 open-circuit, 80 short-circuit, 81 Substrate, 593, 594, 600–602, 605, 607, 610, 622–625, 664, 677, 678, 684–686, 689, 690, 695, 722, 729–731, 734, 737, 740 Suspended stripline, 211, 221 Suspended substrate, 211–213, 220, 221 Switching quad, 1286 Symmetry, 1390 Synchronization, 1448 burst, 1448 instantaneous phase, 1450 metamorphosis, 1449 pre synchronization block diagram, 1449 T Tanδ, 8, 49 TanδC , 3 Tanδ L , 2 Telegraphers’ equation, 62 Temperature dependency, 581, 582, 585, 651 Temperature stabilization, 651, 655–657 Thermal conductivity, 623, 664, 677, 729 Thermal resistance, 582, 605, 617, 641, 643, 681, 700, 720 Thin-film, 737, 738 Thomson formula, 5 3-dB bandwidth, 1139, 1140 3 dB coupler, 255, 256, 269 Threshold voltage, 682, 691 Time-bandwidth law, 1517 Time constants bipolar, 664 Time-variable linear network, 1254 Transceiver, 1213, 1231 Transconductance, 682, 685, 721 Transducer gain, 137 Transfer characteristics, 639, 692, 699, 701, 702

Index Transfer function, 1132, 1138–1140, 1153, 1178 Transformation open to short circuit, 80 short to open circuit, 81 Transformer, 143–148, 150–168, 170–172, 174, 175, 177–180, 184–186, 189, 191–195 Transistor, 551–553, 558, 559, 593, 596, 617–623, 625–638, 640–646, 648, 649, 651–653, 655, 658, 659, 661– 667, 669, 670, 672–686, 690, 692, 693, 697, 699, 702, 704, 705, 707, 713, 715, 717, 719, 723–728, 736, 740 Transmission line attenuation constant, 63 chain matrix, 74 characteristic impedance, 64 current and voltage maxima, 199 complex characteristic impedance, 92 dispersion, 67, 70 group velocity, 66 low-loss, 202 maximum power, 202, 204, 210 phase constant, 63 phase velocity, 65 propagation constant, 63 quarter wavelength, 78, 79, 88 standing waves, 85 voltage and current maxima, 85 wave length, 63 Transmission line resonator, 81, 83, 88 Transverse Electromagnetic (TEM) wave, 198, 213, 214, 216, 217, 241, 245, 258–260, 266 Traps noise, 761 Triplate line, 217 Tunnel diode, 615–617 Turnstile antenna, 517 Two-dimensional electron/hole gas, 684, 694, 695, 697 U Unipolar transistor, 683, 684 Unitary matrix, 127 V Vapor Phase Epitaxy, 623 Varactors charge-storage, 599, 600, 605, 606 Vector diagram, 1407

Index Vector potential, 487–489, 529, 532 VMCD, 937 Voltage-Controlled Oscillator (VCO), 1125–1128, 1131–1133, 1136, 1144, 1145, 1147, 1151, 1162, 1173 Voltage coupling, 25 Voltage resonance, 14 Voltage Standing Wave Ratio (VSWR), 85, 98

W Waveform shaping, 943

1539 Wave impedance, 198, 199 Wilkinson power divider, 252, 253, 255, 272–274 Window function, 1218 Wire antenna, 498, 500, 502, 514, 519, 538, 544

Y Yagi-Uda antenna, 519, 520

Z Zener diode, 586