Ferroelectricity in doped hafnium oxide: materials properties and devices [First edition] 9780081024300, 9780081024317, 2912932963, 0081024304, 0081024312

Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devicescovers all aspects relating to the structural

933 174 50MB

English Pages 570 [572] Year 2019

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Ferroelectricity in doped hafnium oxide: materials properties and devices [First edition]
 9780081024300, 9780081024317, 2912932963, 0081024304, 0081024312

Table of contents :
Front Cover......Page 1
Ferroelectricity in Doped Hafnium Oxide: Materials, Properties and Devices......Page 4
Copyright......Page 5
Contents......Page 6
Contributors......Page 14
Preface......Page 18
1.1. Piezoelectricity and Ferroelectricity......Page 20
1.2. Crystal Symmetry Considerations......Page 21
1.3. Thermodynamics......Page 22
1.4. Phonon Contributions to Ferroelectricity......Page 26
1.6. Ferroelectric Domains......Page 28
1.7. Scaling Effects......Page 31
1.8. Ferroelectric Fatigue......Page 34
1.9. Measurements and Artifacts......Page 36
References......Page 41
2.1. Structure of HfO2......Page 44
2.2. Temperature- and Pressure-Induced Phase Transformations......Page 47
2.4. Doping Effects of HfO2......Page 51
2.4.1. Isovalent Alloying: Zr4+ and Si4+......Page 52
2.4.2. Aliovalent Doping: Y, Gd, La, Sc, and Sr......Page 54
2.5. Dielectric Properties......Page 59
2.6. Conclusions......Page 60
References......Page 61
Chapter 3: Root Causes for Ferroelectricity in Doped HfO2......Page 66
3.1.1. Introduction......Page 68
3.1.2. Effect of Doping Concentration on Ferroelectric Doped HfO2......Page 69
3.1.3. Effect of Annealing Temperature on Ferroelectric Doped HfO2......Page 84
3.1.4. Conclusion......Page 88
References......Page 89
3.2.1. Introduction......Page 94
3.2.2. Effect of Film Composition and Thickness......Page 97
3.2.3. Effect of Deposition Temperature......Page 102
3.2.4.1. Annealing Atmosphere......Page 107
3.2.4.2. Annealing Temperature......Page 109
3.2.5. Conduction Mechanism......Page 111
3.2.6. Endurance......Page 113
3.2.7. Conclusion and Outlook......Page 115
References......Page 116
3.3.1. Introduction......Page 122
3.3.2. Ferroelectric Phase HfO2......Page 125
3.3.3. Experimental......Page 126
3.3.4.1. Ferroelectric Properties of Doped HfO2......Page 128
3.3.4.2. Effect of Size and Valence of Dopants......Page 131
3.3.4.3. A universal Driving Force Toward the Ferroelectric HfO2 Formation......Page 133
3.3.4.4. (Hf-Zr)O2 System......Page 136
3.3.5. Local Ferroelectric Phase Formation by Ion Implantation......Page 138
3.3.6. Conclusion......Page 142
References......Page 143
3.4.1. Introduction......Page 146
3.4.2.2. Precursor Solutions......Page 148
3.4.2.3. Thermal Analysis......Page 150
3.4.2.4. CSD Processing......Page 152
3.4.3.1. Effect of Dopants......Page 154
3.4.3.2. Processing Influence......Page 156
3.4.3.3. Thickness Dependence......Page 157
3.4.4. Conclusion......Page 159
References......Page 160
3.5.1. Introduction......Page 164
3.5.2. Effect of Film Thickness in ALD Films......Page 166
3.5.3. Interleaved Effects Complicating the Experimental Assessment......Page 170
3.5.4. Thickness Scalability of Physical Vapor-Deposited Ferroelectric HfO2 Layers......Page 174
3.5.5. Effect of Film Thickness in Chemical Solution-Deposited Films......Page 177
3.5.6. Stress and Strain......Page 180
3.5.7. Conclusion......Page 186
Acknowledgments......Page 187
References......Page 188
4.1. Introduction......Page 192
4.2. Epitaxial Growth of Orthorhombic HfO2 Films......Page 193
4.3. Effect of Orientations and Ferroelectric Properties......Page 199
4.4. Epitaxial Growth by Room Temperature Deposition and Annealing......Page 207
References......Page 209
5.1.1. First-Order Phase Transition in Fluorite Ferroelectrics......Page 212
5.1.2. Phase Transition in Epitaxial Y:HfO2 Thin Film......Page 215
5.1.3.1. Structural Origin of Temperature-Dependent Ferroelectricity......Page 219
5.1.3.2. Structural Evolution During the Annealing Process......Page 223
5.1.3.3. The Phase Transition in a Temperature Range From 110 to 1173K......Page 227
5.1.4. General Structural Evolution of Ferroelectric Doped HfO2 Thin Films During Annealing......Page 228
5.1.5. Conclusion......Page 231
References......Page 232
Further Reading......Page 235
5.2.1. Introduction......Page 236
5.2.2.1. Broad Phase Transition in Fluorite-Type Ferroelectrics......Page 239
5.2.2.2. Effect of Dopant Species on Ferroelectric HfO2 Thin Films......Page 242
5.2.3.1. Conventional Electrocaloric Effect......Page 245
5.2.3.2. Negative Electrocaloric Effect......Page 250
5.2.4. Pyroelectric Energy Harvesting......Page 253
5.2.5. Pyroelectric Coefficient and Infrared Sensing Application......Page 254
5.2.6. Perspectives......Page 256
Acknowledgments......Page 259
References......Page 260
6.1. Introduction......Page 264
6.1.1. Crystallographic Phases of HfO2......Page 266
6.1.2. Thermodynamic Model......Page 272
6.1.3. DFT: Advantages and Limitations......Page 273
6.2.1. Surface and Interface Energy......Page 275
6.2.2. Stress and Strain......Page 279
6.2.3. Electric Field......Page 281
6.2.4. Entropy......Page 283
6.2.5. Combination of Factors......Page 285
6.3. Chemical Factors: Point Defects in HfO2......Page 287
6.3.1. Oxygen Vacancies......Page 289
6.3.2. Defect Charge and Fermi Level......Page 291
6.3.3. Silicon and Other Isovalent Dopants......Page 292
6.3.4. Aliovalent Dopants......Page 295
6.3.5. Trends in Doping......Page 298
6.4. Conclusion and Outlook......Page 303
References......Page 304
7.1.1. Introduction......Page 310
7.1.2. PFM on Bare HfO2/ZrO2-Based Thin Films......Page 312
7.1.3. PFM on HfO2/ZrO2-Based Thin Film Capacitors......Page 315
7.1.4. Frequency-Independent (Nonresonant) PFM: A New Potential of the Classic Approach......Page 321
Acknowledgments......Page 328
References......Page 329
7.2.2. Early Studies......Page 336
7.2.3. Polymorphs of Hafnia......Page 337
7.2.4. Identifying the Ferroelectric Phase......Page 340
7.2.5. Evidence for Bulk Phase Changes and Interfacial Dielectric Layers......Page 343
7.2.6. Grain Structure and Grain Nonuniformity in Ferroelectric Hafnia......Page 347
7.2.7. Conclusions and Open Questions......Page 354
Acknowledgments......Page 355
References......Page 356
8.1. Introduction......Page 360
8.2.1. Effect of the Bottom Electrode......Page 362
8.2.2. Effect of the Top Electrode......Page 367
8.3. Comparison of TiN and TaN Electrodes......Page 370
8.4. Semiconductor Electrodes......Page 375
8.5. Conclusion and Outlook......Page 377
References......Page 379
9.1.2. Polarization Reversal in HfO2-Based Ferroelectrics......Page 384
9.1.3. Ferroelectric Switching at the Nanoscale......Page 385
9.1.4. Stochastic Switching......Page 391
9.1.5. Modeling of the Switching Behavior......Page 393
9.1.6. Discussion......Page 397
References......Page 398
9.2.1. Introduction......Page 400
9.2.2. Relation of Coercive and Breakdown Field......Page 402
9.2.3. Polarization Enhancement During Field Cycling......Page 403
9.2.4. Polarization Fatigue......Page 407
9.2.5. Retention Characteristics......Page 410
9.2.6. Summary......Page 411
References......Page 413
9.3.2. Models for Assessment of Dielectric and Ferroelectric Properties......Page 418
9.3.3. Ferroelectric Properties and Polarization Hysteresis Modeling Approaches......Page 421
9.3.4. Dielectric Degradation due to the Field Cycling of the Ferroelectric Storage Capacitor......Page 422
9.3.5. Simulation and Modeling of Wake-Up and Fatigue in Ferroelectric HfO2-Based Capacitors......Page 423
References......Page 428
Further Reading......Page 430
10.1.1. Introduction......Page 432
10.1.2. Ferroelectric Random Access Memory: Capacitor Integration......Page 433
10.1.3. Ferroelectric Random Access Memory Architectures and Operation......Page 434
10.1.4. Implications of Using HfO2-Based Ferroelectric Capacitors in FeRAM Memory Cells......Page 437
10.1.5. Scalability of the HfO2-Based Ferroelectric Capacitor......Page 441
References......Page 442
10.2.1. Doped HfO2 and ZrO2 for DRAM and FRAM Applications......Page 444
10.2.2. Theoretical Basics of Antiferroelectric Nonvolatile Memory......Page 445
10.2.3. Realization of Antiferroelectric Nonvolatile Memory......Page 447
10.2.4. Performance and Reliability of Antiferroelectric Capacitor......Page 449
10.2.5. Integration and Operation of an Antiferroelectric Capacitor for Nonvolatile Memory Applications......Page 451
References......Page 453
10.3.1. Introduction......Page 456
10.3.2. Ferroelectric HfO2-Based Tunnel Junction......Page 458
10.3.3. Depolarization Field in the HfO2 FTJ......Page 460
10.3.4. Tunneling Electroresistance of the HfO2 FTJ: A Theoretical Approach......Page 462
10.3.5. Summary......Page 465
References......Page 466
10.4.2. Basic Working Principle......Page 470
10.4.3. Scaling and Variability at the Nanoscale......Page 476
10.4.4. Retention Limitations......Page 477
10.4.5. Endurance Limitations......Page 479
10.4.5.1. Tailoring the Ferroelectric Polarization......Page 482
10.4.5.2. Utilizing Subloop Operation......Page 483
10.4.5.3. Tailoring the Capacitive Divider......Page 484
References......Page 488
Further Reading......Page 490
10.5.1. Introduction......Page 492
10.5.2. Disambiguation of Negative Capacitance Effects......Page 494
10.5.3.1. Transient Negative Capacitance in HfO2-Based Capacitors......Page 495
10.5.3.2.1. Role of the Internal Metal Gate in NCFETs......Page 499
10.5.3.2.2. HfO2-Based NCFET Publications Until 2015......Page 500
10.5.3.2.3. HfO2-Based NCFET Publications in 2016......Page 502
10.5.3.2.4. HfO2-Based NCFET Publications From 2017 and Later......Page 503
10.5.4. Conclusion and Outlook......Page 507
References......Page 508
10.6.1. Introduction......Page 514
10.6.2. Introduction to Ferroelectric Logic-in-Memory Concepts......Page 516
10.6.2.1. Concept Class 1: Ferroelectric Element Serves as an Input......Page 517
10.6.2.2. Concept Class 2: Ferroelectric Element for the Storage of Logical Outputs......Page 526
10.6.2.3. Concept Class 3: The Ferroelectric Element Improves Other Characteristics......Page 528
10.6.3. Summary......Page 529
References......Page 530
10.7.1. Introduction......Page 534
10.7.2. Gradual Switching......Page 535
10.7.3. Ferroelectric Synapse......Page 539
10.7.4. Synaptic Plasticity......Page 540
10.7.5. Spike Transmission......Page 542
10.7.6. Discussion......Page 543
References......Page 544
Nomenclature......Page 548
Acronyms......Page 554
Index......Page 560
Back Cover......Page 572

Citation preview

FERROELECTRICITY IN DOPED HAFNIUM OXIDE

This page intentionally left blank

Woodhead Publishing Series in Electronic and Optical Materials

FERROELECTRICITY

IN DOPED HAFNIUM OXIDE: MATERIALS, PROPERTIES AND DEVICES Edited by

UWE SCHROEDER NaMLab, Dresden, Germany

CHEOL SEONG HWANG Seoul National University, Seoul, Korea

HIROSHI FUNAKUBO Tokyo Institute of Technology, Tokyo, Japan

An imprint of Elsevier

Woodhead Publishing is an imprint of Elsevier The Officers’ Mess Business Centre, Royston Road, Duxford, CB22 4QH, United Kingdom 50 Hampshire Street, 5th Floor, Cambridge, MA 02139, United States The Boulevard, Langford Lane, Kidlington, OX5 1GB, United Kingdom © 2019 Elsevier Ltd. All rights reserved. No part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopying, recording, or any information storage and retrieval system, without permission in writing from the publisher. Details on how to seek permission, further information about the Publisher’s permissions policies and our arrangements with organizations such as the Copyright Clearance Center and the Copyright Licensing Agency, can be found at our website: www.elsevier.com/permissions. This book and the individual contributions contained in it are protected under copyright by the Publisher (other than as may be noted herein). Notices Knowledge and best practice in this field are constantly changing. As new research and experience broaden our understanding, changes in research methods, professional practices, or medical treatment may become necessary. Practitioners and researchers must always rely on their own experience and knowledge in evaluating and using any information, methods, compounds, or experiments described herein. In using such information or methods they should be mindful of their own safety and the safety of others, including parties for whom they have a professional responsibility. To the fullest extent of the law, neither the Publisher nor the authors, contributors, or editors, assume any liability for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions, or ideas contained in the material herein. Library of Congress Cataloging-in-Publication Data A catalog record for this book is available from the Library of Congress British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library ISBN: 978-0-08-102430-0 (print) ISBN: 978-0-08-102431-7 (online) For information on all Woodhead publications visit our website at https://www.elsevier.com/books-and-journals

Publisher: Candice Janco Acquisition Editor: Kayla Dos Santos Editorial Project Manager: Peter Llewellyn Production Project Manager: Debasish Ghosh Cover Designer: Matthew Limbert Typeset by SPi Global, India

CONTENTS Contributors Preface

1. Fundamentals of Ferroelectric and Piezoelectric Properties

xiii xvii

1

Jon F. Ihlefeld 1.1 Piezoelectricity and Ferroelectricity 1.2 Crystal Symmetry Considerations 1.3 Thermodynamics 1.4 Phonon Contributions to Ferroelectricity 1.5 Chemical Bonding Considerations 1.6 Ferroelectric Domains 1.7 Scaling Effects 1.8 Ferroelectric Fatigue 1.9 Measurements and Artifacts 1.10 Summary References

2. Structures, Phase Equilibria, and Properties of HfO2

1 2 3 7 9 9 12 15 17 22 22

25

Brienne Johnson and Jacob L. Jones 2.1 Structure of HfO2 2.2 Temperature- and Pressure-Induced Phase Transformations 2.3 Size and Surface Effects on Phase Stabilization 2.4 Doping Effects of HfO2 2.5 Dielectric Properties 2.6 Conclusions Acknowledgment References

3. Root Causes for Ferroelectricity in Doped HfO2

25 28 32 32 40 41 42 42

47

Min Hyuk Park and Uwe Schroeder

3.1 Dopants in Atomic Layer Deposited HfO2 Thin Films

49

Min Hyuk Park, Tony Schenk and Uwe Schroeder 3.1.1 Introduction 3.1.2 Effect of Doping Concentration on Ferroelectric Doped HfO2

49 50

v

vi

Contents

3.1.3 Effect of Annealing Temperature on Ferroelectric Doped HfO2 3.1.4 Conclusion Acknowledgments References

65 69 70 70

3.2 Impact of Zr Content in Atomic Layer Deposited Hf12 xZrxO2 Thin Films

75

Min Hyuk Park, Han Joon Kim, Keum Do Kim, Young Hwan Lee, Seung Dam Hyun and Cheol Seong Hwang 3.2.1 Introduction 3.2.2 Effect of Film Composition and Thickness 3.2.3 Effect of Deposition Temperature 3.2.4 Effect of Annealing Conditions 3.2.5 Conduction Mechanism 3.2.6 Endurance 3.2.7 Conclusion and Outlook Acknowledgments References

3.3 Ferroelectric Films by Physical Vapor Deposition and Ion Implantation

75 78 83 88 92 94 96 97 97

103

Akira Toriumi, Lun Xu, Shigehisa Shibayama and Shinji Migita 3.3.1 Introduction 3.3.2 Ferroelectric Phase HfO2 3.3.3 Experimental 3.3.4 Formation of the Ferroelectric Orthorhombic Phase 3.3.5 Local Ferroelectric Phase Formation by Ion Implantation 3.3.6 Conclusion Acknowledgment References

3.4 Dopants in Chemical Solution-Deposited HfO2 Films

103 106 107 109 119 123 124 124

127

€ttger, Sergej Starschich, David Griesche Ulrich Bo and Theodor Schneller 3.4.1 Introduction 3.4.2 Thin Film Deposition 3.4.3 Properties of CSD-Prepared Films 3.4.4 Conclusion Acknowledgments References

127 129 135 140 141 141

Contents

3.5 Effect of Surface/Interface Energy and Stress on the Ferroelectric Properties

vii

145

Min Hyuk Park, Tony Schenk, Sergej Starschich, Chris M. Fancher, €ttger, Cheol Seong Hwang, Akira Toriumi, Han Joon Kim, Ulrich Bo Xuan Tian and Uwe Schroeder 3.5.1 Introduction 145 3.5.2 Effect of Film Thickness in ALD Films 147 3.5.3 Interleaved Effects Complicating the Experimental Assessment 151 3.5.4 Thickness Scalability of Physical Vapor-Deposited Ferroelectric HfO2 Layers 155 3.5.5 Effect of Film Thickness in Chemical Solution-Deposited Films 158 3.5.6 Stress and Strain 161 3.5.7 Conclusion 167 Acknowledgments 168 References 169

4. Epitaxial Growth of Doped HfO2 Ferroelectric Materials

173

Takao Shimizu and Hiroshi Funakubo 4.1 Introduction 4.2 Epitaxial Growth of Orthorhombic HfO2 Films 4.3 Effect of Orientations and Ferroelectric Properties 4.4 Epitaxial Growth by Room Temperature Deposition and Annealing 4.5 Summary and Future Directions References

173 174 180 188 190 190

5. Temperature Dependent Phase Transitions in Hafnia Based Ferroelectrics 5.1

Structural Origin of Temperature-Dependent Ferroelectricity

193

Min Hyuk Park, Takao Shimizu, Hiroshi Funakubo and Uwe Schroeder 5.1.1 5.1.2 5.1.3 5.1.4

First-Order Phase Transition in Fluorite Ferroelectrics Phase Transition in Epitaxial Y:HfO2 Thin Film Phase Transition in Polycrystalline Si-Doped HfO2 Thin Films General Structural Evolution of Ferroelectric Doped HfO2 Thin Films During Annealing 5.1.5 Conclusion Acknowledgment References Further Reading

193 196 200 209 212 213 213 216

viii

Contents

5.2 Pyroelectric and Electrocaloric Effects and Their Applications 217 Min Hyuk Park, Michael Hoffmann and Cheol Seong Hwang 5.2.1 Introduction 5.2.2 Phase Transitions in Fluorite-Type Ferroelectrics 5.2.3 Electrocaloric Effect 5.2.4 Pyroelectric Energy Harvesting 5.2.5 Pyroelectric Coefficient and Infrared Sensing Application 5.2.6 Perspectives 5.2.7 Conclusion Acknowledgments References

217 220 226 234 235 237 240 240 241

6. Thermodynamics of Phase Stability and Ferroelectricity From First Principles

245

Christopher K€ unneth, Rohit Batra, George A. Rossetti, Jr., Rampi Ramprasad and Alfred Kersch 6.1 Introduction 6.2 Influence of Extrinsic Factors in HfO2 6.3 Chemical Factors: Point Defects in HfO2 6.4 Conclusion and Outlook References

245 256 268 284 285

7. Physical Characterization on a Nanometer Scale 7.1 Piezoresponse Force Microscopy (PFM)

291

Nina Balke, Tony Schenk, Igor Stolichnov and Alexei Gruverman 7.1.1 7.1.2 7.1.3 7.1.4

Introduction PFM on Bare HfO2/ZrO2-Based Thin Films PFM on HfO2/ZrO2-Based Thin Film Capacitors Frequency-Independent (Nonresonant) PFM: A New Potential of the Classic Approach 7.1.5 Outlook/Ways Around the Problem Acknowledgments References

7.2

Transmission Electron Microscopy (STEM and TEM)

291 293 296 302 309 309 310

317

Everett D. Grimley and James M. LeBeau 7.2.1 Introduction 7.2.2 Early Studies 7.2.3 Polymorphs of Hafnia

317 317 318

Contents

7.2.4 Identifying the Ferroelectric Phase 7.2.5 Evidence for Bulk Phase Changes and Interfacial Dielectric Layers 7.2.6 Grain Structure and Grain Nonuniformity in Ferroelectric Hafnia 7.2.7 Conclusions and Open Questions Acknowledgments References

8. Impact of Electrodes on the Ferroelectric Properties

ix 321 324 328 335 336 337

341

Min Hyuk Park, Tony Schenk, Cheol Seong Hwang and Uwe Schroeder 8.1 Introduction 8.2 Effect of Electrodes on the Ferroelectric Properties of Hf0.5Zr0.5O2 Thin Films 8.3 Comparison of TiN and TaN Electrodes 8.4 Semiconductor Electrodes 8.5 Conclusion and Outlook Acknowledgments References

341 343 351 356 358 360 360

9. Electrical Field Cycling Behavior 9.1 Polarization Switching in HfO2-Based Devices

365

Halid Mulaosmanovic and Stefan Slesazeck 9.1.1 Introduction 9.1.2 Polarization Reversal in HfO2-Based Ferroelectrics 9.1.3 Ferroelectric Switching at the Nanoscale 9.1.4 Stochastic Switching 9.1.5 Modeling of the Switching Behavior 9.1.6 Discussion References

9.2 Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

365 365 366 372 374 378 379

381

Franz Fengler, Min Hyuk Park, Tony Schenk, Milan Pešic and Uwe Schroeder 9.2.1 Introduction 9.2.2 Relation of Coercive and Breakdown Field 9.2.3 Polarization Enhancement During Field Cycling 9.2.4 Polarization Fatigue 9.2.5 Retention Characteristics 9.2.6 Summary References

381 383 384 388 391 392 394

x

Contents

9.3 Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

399

Milan Pešic and Luca Larcher 9.3.1 9.3.2 9.3.3 9.3.4

Introduction Models for Assessment of Dielectric and Ferroelectric Properties Ferroelectric Properties and Polarization Hysteresis Modeling Approaches Dielectric Degradation due to the Field Cycling of the Ferroelectric Storage Capacitor 9.3.5 Simulation and Modeling of Wake-Up and Fatigue in Ferroelectric HfO2-Based Capacitors References Further Reading

399 399 402 403 404 409 411

10. Ferroelectric Hafnium Oxide Based Devices 10.1 Ferroelectric One Transistor/One Capacitor Memory Cell

413

Milan Pešic, Uwe Schroeder and Thomas Mikolajick 10.1.1 10.1.2 10.1.3 10.1.4

Introduction Ferroelectric Random Access Memory: Capacitor Integration Ferroelectric Random Access Memory Architectures and Operation Implications of Using HfO2-Based Ferroelectric Capacitors in FeRAM Memory Cells 10.1.5 Scalability of the HfO2-Based Ferroelectric Capacitor 10.1.6 Summary References

10.2 Antiferroelectric One Transistor/One Capacitor Memory Cell

413 414 415 418 422 423 423

425

Milan Pešic and Uwe Schroeder 10.2.1 10.2.2 10.2.3 10.2.4 10.2.5

Doped HfO2 and ZrO2 for DRAM and FRAM Applications Theoretical Basics of Antiferroelectric Nonvolatile Memory Realization of Antiferroelectric Nonvolatile Memory Performance and Reliability of Antiferroelectric Capacitor Integration and Operation of an Antiferroelectric Capacitor for Nonvolatile Memory Applications 10.2.6 Summary References

425 426 428 430 432 434 434

Contents

10.3

Ferroelectric Tunnel Junction

xi

437

Shosuke Fujii and Masumi Saitoh 10.3.1 Introduction 10.3.2 Ferroelectric HfO2-Based Tunnel Junction 10.3.3 Depolarization Field in the HfO2 FTJ 10.3.4 Tunneling Electroresistance of the HfO2 FTJ: A Theoretical Approach 10.3.5 Summary References

10.4

Ferroelectric Field Effect Transistor

437 439 441 443 446 447

451

Johannes Mueller, Stefan Slesazeck and Thomas Mikolajick 10.4.1 Introduction 10.4.2 Basic Working Principle 10.4.3 Scaling and Variability at the Nanoscale 10.4.4 Retention Limitations 10.4.5 Endurance Limitations References Further Reading

10.5

Negative Capacitance in HfO2- and ZrO2-Based Ferroelectrics

451 451 457 458 460 469 471

473

Michael Hoffmann, Stefan Slesazeck, Thomas Mikolajick and Cheol Seong Hwang 10.5.1 Introduction 10.5.2 Disambiguation of Negative Capacitance Effects 10.5.3 Negative Capacitance in HfO2-Based Ferroelectrics 10.5.4 Conclusion and Outlook Acknowledgments References

10.6

Ferroelectric Devices for Logic in Memory

473 475 476 488 489 489

495

Evelyn T. Breyer and Stefan Slesazeck 10.6.1 Introduction 10.6.2 Introduction to Ferroelectric Logic-in-Memory Concepts 10.6.3 Summary References

495 497 510 511

xii

Contents

10.7 Ferroelectric Field Effect Transistor for Neuromorphic Applications

515

Halid Mulaosmanovic and Stefan Slesazeck 10.7.1 Introduction 10.7.2 Gradual Switching 10.7.3 Ferroelectric Synapse 10.7.4 Synaptic Plasticity 10.7.5 Spike Transmission 10.7.6 Discussion References Nomenclature Acronyms Index

515 516 520 521 523 524 525 529 535 541

CONTRIBUTORS Nina Balke Oak Ridge National Laboratory, Oak Ridge, TN, United States Rohit Batra Department of Materials Science and Engineering and Institute of Materials Science, University of Connecticut, Storrs, CT, United States Ulrich B€ ottger Institute of Electronic Materials (IWE 2), RWTH Aachen University, Aachen, Germany Evelyn T. Breyer NaMLab gGmbH, Dresden, Germany Keum Do Kim Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea Chris M. Fancher Neutron Scattering Science Directorate, Oak Ridge National Laboratory, Oak Ridge, TN, United States Franz Fengler NaMLab gGmbH, Dresden, Germany Shosuke Fujii Toshiba Corporation, Tokyo, Japan Hiroshi Funakubo Tokyo Institute of Technology, Tokyo, Japan David Griesche Institute of Electronic Materials (IWE 2), RWTH Aachen University, Aachen, Germany Everett D. Grimley Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC, United States Alexei Gruverman Department of Physics and Astronomy, University of Nebraska-Lincoln, Lincoln, NE, United States Michael Hoffmann NaMLab gGmbH, Dresden, Germany

xiii

xiv

Contributors

Cheol Seong Hwang Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea Seung Dam Hyun Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea Jon F. Ihlefeld Department of Materials Science and Engineering; Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA, United States Brienne Johnson Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC, United States Jacob L. Jones Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC, United States Alfred Kersch Department of Applied Sciences and Mechatronics, Munich University of Applied Sciences, Munich, Germany Han Joon Kim Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea Christopher K€ unneth Department of Applied Sciences and Mechatronics, Munich University of Applied Sciences, Munich, Germany; Department of Materials Science and Engineering and Institute of Materials Science, University of Connecticut, Storrs, CT, United States Luca Larcher DISMI, University of Modena and Reggio Emilia, Modena, Italy James M. LeBeau Department of Materials Science and Engineering, North Carolina State University, Raleigh, NC, United States Young Hwan Lee Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea Shinji Migita AIST, Tsukuba, Japan Thomas Mikolajick NaMLab gGmbH; Chair of Nanoelectronic Materials, TU Dresden, Dresden, Germany Johannes Mueller GlobalFoundries Dresden, Dresden, Germany

Contributors

xv

Halid Mulaosmanovic NaMLab gGmbH, Dresden, Germany Min Hyuk Park NaMLab gGmbH, Dresden, Germany Milan Pesˇic NaMLab gGmbH, Dresden, Germany Rampi Ramprasad Department of Materials Science and Engineering and Institute of Materials Science, University of Connecticut, Storrs, CT, United States George A. Rossetti, Jr. Department of Materials Science and Engineering and Institute of Materials Science, University of Connecticut, Storrs, CT, United States Masumi Saitoh Toshiba Corporation, Tokyo, Japan Tony Schenk NaMLab gGmbH, Dresden, Germany Theodor Schneller Institute of Electronic Materials (IWE 2), RWTH Aachen University, Aachen, Germany Uwe Schroeder NaMLab gGmbH, Dresden, Germany Shigehisa Shibayama The University of Tokyo, Tokyo, Japan Takao Shimizu Tokyo Institute of Technology, Tokyo, Japan Stefan Slesazeck NaMLab gGmbH, Dresden, Germany Sergej Starschich Institute of Electronic Materials (IWE 2), RWTH Aachen University, Aachen, Germany Igor Stolichnov Nanoelectronic Devices Laboratory, Ecole Polytechnique Federale de Lausanne (EPFL), Lausanne, Switzerland Xuan Tian The University of Tokyo, Tokyo, Japan Akira Toriumi The University of Tokyo, Tokyo, Japan Lun Xu The University of Tokyo, Tokyo, Japan

This page intentionally left blank

PREFACE Ferroelectric materials have been used for many years in many different applications, covering manifold areas of human life. Perovskite bulk ceramics remain the most common material for the largest number of applications, but for some specific scaled semiconductor applications, new optimized ferroelectric thin film materials are necessary. During the development of dielectric materials for DRAM capacitor applications at Infineon/Qimonda (Dresden/Germany) in 2006, a variety of different doped HfO2 and ZrO2 thin films were analyzed. Typically, the reported bulk phases of HfO2 are the monoclinic phase for pure HfO2 and the tetragonal phase for the doped HfO2. Both phases are centrosymmetric and, accordingly, cannot be ferroelectric. For a certain silicon concentration, a voltage-dependent capacitance enhancement was detected by T. Boescke, which had not been previously reported for this material. Detailed electrical and structural analysis of the capacitor structure, together with the ferroelectric group of Prof. R. Waser/Dr. U. Boettger at RWTH Aachen, explained the results as ferroelectric switching behavior in silicondoped HfO2. It was caused by an as-yet unknown noncentrosymmetric orthorhombic Pca21 phase at the phase boundary between the monoclinic and tetragonal phases of the material. A variety of different tests was performed to verify this unexpected behavior. Because the results were gained at a memory company, the main focus during the first three years has been on the integration of this new material into nonvolatile memory devices such as a one-transistor ferroelectric field effect transistor (FeFET) or a one-capacitor/one-transistor ferroelectric random access (FeRAM) memory cell. As a result, a first FeFET transistor was fabricated in 2008 in 65 nm technology node, the smallest reported FeFET at that time. Unfortunately, the insolvency of Qimonda delayed further research, but NaMLab and Fraunhofer IPMS-CNT continued the development and GlobalFoundries in Dresden agreed to fabricate FeFET devices on an even smaller 28 nm technology node. The first three publications of the exciting findings were made public by T. Boescke five years after the first experiments in 2011. During the next few years, researchers worldwide needed to be convinced that a simple binary oxide such as HfO2 could be ferroelectric before many groups joined the effort to understand the root causes of ferroelectricity, optimize the ferroelectric properties of this fluorite

xvii

xviii

Preface

structure-type material, and implement the material in several applications. The ferroelectric material research groups in Seoul and Tokyo are strong supporters and contenders to this exciting field, and they joined the dedicated effort for this book project with the primary role from the Dresden group. Ten years after the first discovery, many of these researchers working on the topic met for a 10th-anniversary workshop at NaMLab in Dresden in March 2016 to discuss recent findings and work out open questions for the next years. At that workshop, the idea of publishing a book on this exciting field was suggested and shared by many attendees, and this book is the outcome of two years of intensive writing, reviewing, and editing of the excellent chapters from many experts in this field. This book gives an overview of all the discussions, summarizing the current status of the research on the material and their application into future devices. We hope the readers will enjoy the book and find this a useful source of information to conduct future research. We would like to thank all the authors of the book for all their efforts to support this book as well as the excellent support of the publisher. Finally, yet importantly, we would like to thank the various funding agencies of the many authors, which enabled us to reach this great consequence of the focused efforts. Uwe Schroeder NaMLab, Dresden, Germany Cheol Seong Hwang Seoul National University, Seoul, Korea Hiroshi Funakubo Tokyo Institute of Technology, Tokyo, Japan

CHAPTER 1

Fundamentals of Ferroelectric and Piezoelectric Properties Jon F. Ihlefeld*,† *

Department of Materials Science and Engineering, University of Virginia, Charlottesville, VA, United States Department of Electrical and Computer Engineering, University of Virginia, Charlottesville, VA, United States †

1.1 Piezoelectricity and Ferroelectricity Piezoelectricity is a linear response of charge development on a crystal surface with an applied stress. The converse piezoelectric effect is a linear strain response to an applied electric field. The important consideration for both effects is that the response is linear, which distinguishes it from quadratic proportionalities of strain versus field that are present in all materials and are known as electrostrictive effects. Piezoelectric coefficients quantify the proportionality between applied stress and charge and strain and electric field, as described in Eqs. (1.1) and (1.2): P ¼ Q=A ¼ dX

(1.1)

x ¼ dE

(1.2)

where P is polarization (in C/m2), Q is charge (in Coulombs), A is area (in m2), d is the piezoelectric coefficient (in C/N for the direct effect and m/V in the converse effect, but are mathematically equivalent), X is stress (in N/m2), x is strain, and E is electric field in units of (V/m). Piezoelectric coefficients are direction and crystal symmetry dependent and, therefore, are described by third-rank tensors, which is outside the scope of this discussion. Ferroelectricity is characterized by a permanent (spontaneous) reorientable polarization. In uniaxial ferroelectrics, the direction of the polarization may be switched by 180 degrees with an applied electric field. In biaxial ferroelectrics (those with more than one possible polarization axis), electric fields can switch the polarization, as can a sufficiently high stress by an angle other than 180 degrees, but determined by the symmetry of the crystal. The ferroelectric response is typically characterized by measuring the polarization response (or more correctly, the dielectric displacement) to the electric field. The response is a hysteresis loop; a typical example is provided in Fig. 1.1. Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00001-2

© 2019 Elsevier Ltd. All rights reserved.

1

Ferroelectricity in Doped Hafnium Oxide

Polarization

2

0

0

Electric field Fig. 1.1 Schematic ferroelectric hysteresis loop.

The name ferroelectricity is a consequence of the hysteretic response, which is similar in shape to the magnetization dependence on a magnetic field for ferromagnets.

1.2 Crystal Symmetry Considerations A reasonable starting point in discussing piezoelectric and ferroelectric materials is outlining the crystallographic symmetries permitting their existence. Piezoelectricity and ferroelectricity are material properties that exist only in crystal symmetries lacking an inversion center–that is, these material properties will only be observed in crystals that are noncentrosymmetric. Of the 32 crystal point groups, 21 are noncentrosymmetric. Within these 21 noncentrosymmetric point groups, piezoelectricity is permitted in 20 (point group 432 is disallowed owing to additional symmetry elements that combine to cancel the piezoelectric response) [1]. While piezoelectric crystals lack inversion symmetry, they do not necessarily possess a unique polar axis and, therefore, stable electric dipoles. Of the 20 point groups permitting piezoelectricity, only 10 support an electrical dipole moment in the unstrained state. This permanent dipole is often referred to as the spontaneous polarization. Crystals of one of these 10 point groups will have charges that change as the material’s temperature is uniformly altered. Crystals possessing this property are classified as pyroelectrics. Ferroelectricity is defined as a crystal property where a permanent dipole can be reoriented with an electric field. Because ferroelectric crystals must have a

Fundamentals of Ferroelectric and Piezoelectric Properties

3

permanent dipole, they are also pyroelectric and must possess crystal symmetry belonging to one of the 10 pyroelectric groups. The distinguishing characteristic of a ferroelectric crystal from all other pyroelectric crystals is the reorientabilty of the dipole moment. For example, AlN is a pyroelectric material, but under the application of an electric field opposing the dipole direction, it will undergo dielectric breakdown prior to the switching of the polarization to the opposite direction. LiNbO3, on the other hand, is also pyroelectric, but the polarization direction can be switched by 180 degrees with an electric field of approximately 15 kV/cm at room temperature [2]. There are no definitive crystallographic considerations distinguishing ferroelectrics from pyroelectrics. The only difference lies in the ability of the permanent dipole to be reoriented with a field less than the dielectric breakdown field. Pyroelectricity can, therefore, be predicted, given knowledge of the crystallography of a material, but ferroelectricity can only be established by measuring the polarization response to the electric field. Table 1.1 outlines the point groups that support piezoelectricity, pyroelectricity, and ferroelectricity. To summarize, all ferroelectrics are pyroelectric and all pyroelectrics are piezoelectric, but not all pyroelectrics are ferroelectric nor are all piezoelectrics pyroelectric or ferroelectric.

1.3 Thermodynamics Provided that a polar point group and spontaneous polarization are present, ferroelectricity can also be considered from a thermodynamic perspective. Treatment of the ferroelectric phase transition from a thermodynamic standpoint has been well documented and explained in many other sources [3–5]. Here, we provide a brief treatment of the phenomenological Table 1.1 Summary of crystallographic point and Curie groups that support piezoelectricity and pyroelectricity/ferroelectricity Crystal system Piezoelectric Pyroelectric/ferroelectric

Triclinic Monoclinic Orthorhombic Tetragonal Trigonal Hexagonal Cubic Curie groups

1 2, m mm2, 222 4, 4, 4mm, 42m, 422 3, 32, 3m 6, 6mm, 622, 6, 6m2 43m,23 ∞ 2, ∞ m, ∞

1 2, m mm2 4, 4mm 3, 3m 6, 6mm ∞, ∞ m

4

Ferroelectricity in Doped Hafnium Oxide

thermodynamic theory. A rigorous assessment of the theory can be found in many other texts and is outside the scope of this introduction. The phenomenological theory in its simplest form concerns itself with the phase transition from a parent nonpolar phase to a polar phase. The properties considered in the theory are the dielectric susceptibility and the dielectric displacement (spontaneous polarization). While the thermodynamic theory can take into consideration many external stimuli, for example, stress, magnetic field, etc., it is convenient to consider the simplest case of zero stress, and the free energy in terms of temperature and polarization in a polynomial:     α β 4 ξ 6 2 G¼ (1.3) P + P + P  EP 2 4 6 where G is the Gibb’s free energy, P is the polarization, α is a temperaturedependent coefficient, and β and ξ are temperature-independent coefficients. By minimizing the free energy with respect to the polarization, one obtains an expression for the electric field parallel to the polarization: ∂G ¼ 0 ! E ¼ αP + βP 3 + ξP 5 (1.4) ∂P One can utilize these equations to consider stability. For example, if β and ξ are positive and α can be positive or negative, the polarization dependence of free energy differs dramatically, as shown in Fig. 1.2A. a>0

a15 cat% doping is believed to be the cubic phase instead of the tetragonal phase. It should be noted that this result was different from other dopants such as Si and Al. For the case of 8 cat% Si-doped HfO2 (magenta curve in Fig. 3.1.1A), the overlap of the two diffraction peaks from the tetragonal phase at 51 degree as well as a split-up of the peak at around 35 degree 2θ could be clearly confirmed, suggesting that the dominant crystalline phase of heavily Si-doped HfO2 thin films is the tetragonal phase [23]. Furthermore, the diffraction patterns in the 2θ range of 80–90 degree are also quite different for the orthorhombic, tetragonal, and cubic phases, as shown in previous studies [3–5]. Such differences can also be observed between the GIXRD patterns of 9.9 and 34 cat% La-doped HfO2 and 8 cat% Si-doped HfO2 films. The effect of the dopant species on the crystalline structure of doped HfO2 thin film will be discussed later in this section. It has been known that the phase identification in doped HfO2 thin films using XRD is highly challenging, although Sang et al. [13] experimentally proved that the ferroelectric phase is the Pca21 orthorhombic phase by using scanning transmission electron spectroscopy (STEM). In 2017, Park et al. suggested that the changes in the aspect ratio and the unit cell volume can give hints to examine the crystalline phases in ultrathin doped HfO2 films, and their changes were consistent with the changes in relative fractions of the crystalline phases analyzed using the Rietveld refinement [24]. Fig. 3.1.1B shows the changes in the aspect ratio (2a/(b+c) for the orthorhombic phase and c/a for the tetragonal or cubic phase) and unit cell volume. The method to estimate the lattice parameters can be found in a previous study [24]. The aspect ratio decreases from 1.03 to 0.98 with increasing La content, which is more evidence of the dominant crystalline phase changing from the orthorhombic to the cubic phase. The aspect ratio

Dopants in Atomic Layer Deposited HfO2 Thin Films

53

of the cubic phase is smaller than the ideal value 1, which could be attributed to a large biaxial in-plane tensile stress in doped HfO2 thin film [11, 29–31]. In the La-doped HfO2 thin film in this chapter, a large tensile stress of 2 GPa was experimentally confirmed using the sin2Ψ-method based on Bragg-Brentano XRD and the simplified but not necessarily true assumption that the out-of-plane direction is strain-free [11]. The stress in doped HfO2 thin films is discussed in Chapter 3.5 in more detail. Similar trends in the aspect ratio change with varying doping concentrations could be observed for Si, Al, and Gd-doped HfO2 thin films in a previous study [24]. Another interesting result can be found from the changes in the unit cell volume as depicted in Fig. 3.1.1B. In correspondence to that, Fig. 3.1.1C shows the phase composition of different La concentrations derived from quantitative phase analysis based on the Rietveld refinement. The dominant crystalline phase changes from the monoclinic to the orthorhombic to the cubic phase with increasing La content. The ionic radius of La is larger than that of Hf by 25% [11, 32, 33], so the increase in the unit cell volume is expected when the La content increases. However, the unit cell volume unexpectedly decreases with increasing La content up to 15 cat%, as shown in Fig. 3.1.1B. A similar trend could be observed for Si, Al, and Gd-doped HfO2 thin films [23], and such a trend originates from the phase change from the orthorhombic to the tetragonal or the cubic phase. Generally, dopants larger than Hf are expected to increase the unit cell volume of HfO2, whereas the opposite is expected for dopants smaller than Hf. However, dopants with both larger and smaller radius than Hf decreased the unit cell volume of HfO2 with increasing dopant content. For the La content higher than 15 cat%, on the other hand, the unit cell volume increases with increasing La content. In this La concentration region, the dominant crystalline phase is the cubic phase (Fig. 3.1.1C), and the lattice parameters simply increase by further dopant incorporation (Fig. 3.1.1B). The unit cell volume of the orthorhombic phase at the doping concentration for the highest orthorhombic phase fraction is plotted as a function of the ionic radius of dopants in Fig. 3.1.1D. The unit cell volume of the orthorhombic phase generally increases with increasing ionic radius. However, the unit cell volume of La-doped HfO2 was slightly smaller than that of the Gd-doped case, and the origin of that behavior is not clearly understood yet [11]. However, there might be other factors that can potentially affect the unit cell volume of atomic layer deposited HfO2. Transmission electron microscopy (TEM) has been another powerful tool to examine the crystalline structure of doped HfO2 thin films [13, 34].

54

Ferroelectricity in Doped Hafnium Oxide

However, several special techniques, which will be discussed in Chapter 7.2, are required to detect very small differences in the atom positions in the lattice with different phases in doped HfO2 thin films [13, 34]. Fig. 3.1.2A shows the cross-sectional scanning transmission electron microscopy (STEM) image of 11 cat% La-doped HfO2 thin film sandwiched between TiN electrodes, and its inset figure depicts the Fourier transformed pattern of the red square region. The dominant crystalline phase is the ferroelectric orthorhombic phase [11]. A weak relationship between the orientation of the TiN electrode and La-doped HfO2 films could also be observed, similar with a previous report on Gd-doped HfO2 [11, 35]; this local orientation relationship is discussed in the later part of this section related to Fig. 3.1.7. Fig. 3.1.2B shows an enlarged STEM image of the interfacial region between the La-doped HfO2 and the TiN electrode. The relaxation of lattice parameters of the bulk orthorhombic phase near the TiN electrode could be observed, as shown in Fig. 3.1.2B (enclosed by dash lines) [11]. A similar result could also be confirmed for Si and Gd-doped HfO2 thin film in previous studies [23, 35]. For the cases of Si and Gd-doped HfO2, the tetragonal phase could be assigned to the interfacial layer adjacent to the electrodes [23, 35]. The existence of the nonferroelectric interfacial layer was reported to be an origin of the pinched hysteresis in the as-deposited state, and the phase transition to the ferroelectric orthorhombic phase during repetitive field cycling is accepted as a potential origin of the wake-up effect in doped HfO2 thin films [34, 36–38]. The wakeup effect during field cycling in doped HfO2 thin films is dealt with in Chapter 9.2 of this book.

Fig. 3.1.2 Orthorhombic HfO2 of the 10 cat% La (A) with [101]-zone and (B) relaxation of the orthorhombic bulk HfO2 phase at the interface to the TiN electrode. (The figure was reproduced from U. Schroeder, C. Richter, M. H. Park, T. Schenk, M. Pešic, M. Hoffmann, F. P. G. Fengler, D. Pohl, B. Rellinghaus, C. Zhou, C.-C. Chung, J. L. Jones, T. Mikolajick, Lanthanum doped hafnium oxide: a robust ferroelectric material, Inorg. Chem. 57 (2018) 2752–2765 with permission from ACS publications).

Dopants in Atomic Layer Deposited HfO2 Thin Films

55

The oxidation of nitride electrodes such as TiN and TaN is another critical extrinsic factor that can influence the ferroelectric properties of the doped HfO2 thin films. Fig. 3.1.3A shows the time-of-flight secondary ion mass spectrometry (TOF-SIMS) result of La-doped HfO2 thin films annealed using rapid thermal annealing (RTA) for 20 s at 800°C in an N2 atmosphere. At the interfacial region between TiN and La-doped HfO2, the (partial) oxidation of the TiN electrode can be clearly confirmed. A similar result was reported for Hf0.5Zr0.5O2 thin film annealed at a temperature as low as 500°C [39]. The oxidation of the TiN electrode can be even more serious with a higher thermal budget. Fig. 3.1.3B shows the TOF-SIMS result of a TiN/La:HfO2/TiN capacitor after a hightemperature in situ x-ray diffraction study [11]. For this analysis, the capacitor was heated to 900°C within a time scale longer than 10 h, and the formation of rutile TiO2 could be confirmed from the XRD patterns [11]. As shown in Fig. 3.1.3B, the TiO 2 ion counts are much higher than  the TiN ion counts. Moreover, the diffusion of Hf into the TiN layer and that of Ti into the HfO2 layer is evident when comparing Fig. 3.1.3B to Fig. 3.1.3A. It should be noted that Fig. 3.1.3B shows an extreme example of the high thermal budget, but this result suggests that there are driving forces for intermixing of TiN and HfO2. Thus, RTA for crystallization of doped HfO2 thin films should be optimized to crystallize the doped HfO2 thin films into the ferroelectric phase, but suppress the intermixing of the HfO2 and the electrode material. The oxidation of the TiN layer can strongly degrade the properties of ferroelectric doped HfO2 thin films by shifting the polarization-electric field curves [39], creating a

Fig. 3.1.3 (A) TOF-SIMS after 800°C 20 s anneal. (B) TOF-SIMS after slow 0.2 K/s ramp during temperature dependent GIXRD measurement.

56

Ferroelectricity in Doped Hafnium Oxide

depolarization field and increasing the required electric field for ferroelectric switching [39, 40], or making more trap sites for electric carriers [41]. The above-discussed changes in the crystalline structure and interfacial properties with varying doping concentration strongly influence the electrical properties of the fabricated metal-ferroelectric-metal capacitors. Fig. 3.1.4A and B show the P-E curves of 10 nm-thick Si-doped HfO2 films with low (2.0–4.1 cat%) and high (4.5–8.7 cat%) doping concentrations. As shown in Fig. 3.1.4A, the 2 cat% Si-doped HfO2 shows only negligible hysteresis similar to linear dielectrics, which can be attributed to the dominant monoclinic phase. With increasing Si content above 3.5 cat%, on the other hand, characteristic ferroelectric hysteresis could be observed. Within a Si content range from 3.5 to 4.1 cat%, robust ferroelectricity with Pr larger than 10 μC/cm2 could be experimentally confirmed. With further increasing Si content beyond 4.5 cat%, on the other hand, a significant change in the shape of the P-E curve could be observed, as seen in Fig. 3.1.4B. The Pr of 4.5 cat% Si-doped HfO2 was negligible, and an antiferroelectric-like double hysteresis could be observed. Such a double hysteresis can be attributed to the field-induced phase transition between the tetragonal and a polar orthorhombic phase [42–45], and details of this phenomenon will be discussed in Chapter 10.2. Fig. 3.1.4C shows the P-E curves of 14 nm-thick La-doped HfO2 films with various doping concentrations. The Pr of pure HfO2 is almost zero, and the Pr increases with increasing La content, which is attributed to the increasing orthorhombic phase fraction as presented in Fig. 3.1.1C. With 5 cat% La doping, the Pr is already larger than 15 μC/cm2, and Pr values beyond 25 μC/cm2 could be observed for 10–13 cat% La-doped HfO2 films. The largest Pr value ever reported in the doped HfO2 thin film is 45 μC/cm2 with La doping [9], but this value could not be reproduced. It should be mentioned that the Pr >25 μC/cm2 in La-doped HfO2 is higher than for many other doped HfO2 films. With increasing La content up to 20 cat%, only negligible Pr could be observed, and this is consistent with the increasing fraction of the cubic phase in Fig. 3.1.1C. Being different from Si-doped HfO2, the antiferroelectric-like properties are not clearly observed in La-doped HfO2. To date, the antiferroelectric properties have been reported for Si- [1, 45] and Al-doped HfO2 [5, 46] and Hf1 xZrxO2 [3, 44] thin films. Schroeder et al. suggested that the antiferroelectric properties can be observed in the HfO2 films doped with dopants having an ionic radius smaller than Hf [47]. However, the reason for the absence of the antiferroelectric properties in HfO2 thin films doped with dopant larger than Hf is not clearly elucidated yet.

Dopants in Atomic Layer Deposited HfO2 Thin Films

57

Fig. 3.1.4 (A)+(B) Polarization hysteresis for pristine samples with different Si dopant contents from 2 to 8.5 cat%. (C) Polarization hysteresis for samples after wake-up cycling with different La dopant contents from 6 to 20 cat%. (D) Remanent polarization Pr values after wake-up for dopants smaller Hf: Si and Al. (E) Remanent polarization Pr values after wake-up for Gd-, Y-, Sr-, and La-doped HfO2 with different dopant content (dopants larger than Hf ).

58

Ferroelectricity in Doped Hafnium Oxide

Fig. 3.1.4D and E show the changes in Pr as functions of doping concentration for dopants smaller (Si and Al) or larger (Gd, La, Y, and Sr) than Hf. The overall trend is similar for both cases with dopants smaller and larger than Hf. The Pr is negligible for undoped or lightly doped HfO2, and the Pr increases with increasing doping concentration up to a specific value for each dopant. Such a trend can be attributed to the changes in relative fractions of various crystalline phases, as shown in Fig. 3.1.1C and other previous studies [11, 24]. The doping concentration range for a robust ferroelectricity is strongly dependent on dopant species. For Si- and Al-doped HfO2 thin films, the full width half maximum (FWHM) of the peaks of the Pr-dopant content curves are smaller than 2 cat%, suggesting that the ferroelectric orthorhombic phase can be observed in a narrow doping concentration range. For Gd-, Y-, and Sr-doped HfO2 thin films, on the other hand, the FWHM of the Pr-doping concentration curve is about 5%. Furthermore, the FWHM of the Pr-dopant content curve of La-doped HfO2 is as large as 10 cat%, which is five times larger than those of Siand Al-doped HfO2 cases. Such a broad doping concentration range for robust ferroelectricity can be attributed to the previous computational simulation result [48]. Batra et al. examined the effect of various dopant species on the relative free energy of metastable crystalline phases of HfO2, and reported that Sr, Ba, Ca, and lanthanides are expected to efficiently stabilize the ferroelectric orthorhombic phase [48]. The computational simulation result is reviewed in Chapter 6 of this book. The dielectric constant k of doped HfO2 thin film is also strongly affected by the dopant species. Fig. 3.1.5A shows the variations in dielectric constant as a function of doping concentration for Si-, Al-, La-, and Sr-doped HfO2

Fig. 3.1.5 (A) Change of the dielectric constant k for different doping concentration for Si-, Al- and La-doped HfO2 thin films. (B) Pristine remanent polarization Pr values as a function of the orthorhombic phase fraction as determined by the Rietveld refinement of GIXRD patterns.

Dopants in Atomic Layer Deposited HfO2 Thin Films

59

thin films. The maximum k value is different between the dopants with a smaller (Si and Al) and larger (La and Sr) ionic radius than Hf. The maximum k values of Si- and Al-doped HfO2 is 44 and 40, respectively, whereas those of La- and Sr-doped HfO2 are only limited to about 30. Lee et al. suggested that the dopants smaller than Hf can stabilize the tetragonal phase over the cubic phase while the dopants larger than Hf tend to stabilize the cubic phase [49]. A similar trend was also reconfirmed in other computational simulation works [50, 51]. According to the computational calculation by Materlik and coworkers [42], the k values of the orthorhombic, tetragonal, and cubic phases are in the range of 24.2–28.8, 24–56.9, and 36, respectively, and the average k values are 27.0, 45.9, and 36, respectively. Thus, the lower k values observed in HfO2 doped with larger dopants are well matched with the phase change from the orthorhombic to cubic while that observed with smaller ionic radius dopants can be attributed to the transition from the orthorhombic to the tetragonal phase. It should be noted that the dopants are expected to influence the chemical bonding state near dopants, and this will also influence the dielectric response via effective medium consideration [52–54]. The k value decrease observed in a rather high doping concentration region might be attributed to such an effect of dopants because the k values of the characterized dopant oxides are lower than that of HfO2. Thus, there might be competition between the k value increase with phase change from the orthorhombic to the tetragonal or cubic phase and the k value decrease with increasing dopant concentration. Such trends can be clearly observed for Si-, Al-, and Sr-doped HfO2 in Fig. 3.1.5A. For the case of La-doped HfO2, the k value decrease with increasing doping concentration is much weaker compared to the other three dopants, which can be attributed to a higher k value of La2O3 (30 [55]) than SiO2 (3.9 [55]), Al2O3 (9 [55]), and SrO (15 [56]). Fig. 3.1.5B shows the variations in the orthorhombic phase fraction as a function of pristine Pr which was measured before any wake-up field cycling. The orthorhombic phase fractions were analyzed using the Rietveld refinement, and the data were taken from previous studies [11, 24]. A clear linear relation can be confirmed for all the dopants in Fig. 3.1.5B, suggesting that the pristine Pr is determined by the relative fraction of the ferroelectric orthorhombic phase. The Pr expected for the 100% orthorhombic phase fraction can be estimated by extrapolating the linear fitting in Fig. 3.1.6B, and the estimated Pr values were 30 and 15 μC/cm2 for La and the other three dopants (Si, Al, and Gd). To achieve an idea of the maximum theoretical remanent polarization, two scenarios can be applied to give an indication of what is likely and what

60 Ferroelectricity in Doped Hafnium Oxide

Fig. 3.1.6 Special texture of La:HfO2: (A) Comparison of GIXRD patterns for 10 nm thick films of the most ferroelectric hafnia compositions with different dopants and in mixture with zirconia. (B) Relative intensity ratio for the peaks in (A). GIXRD data for samples prepared as in Refs. [7, 8, 11, 23, 24, 27]. (C) PDF (#04-005-5597) reference pattern and change of peak intensity upon sample tilt. Ψ ¼ 0 means out of plane, Ψ ¼90 degree means in-plane orientation of the scattering vector and the corresponding lattice planes probed at the respective 2Θ angles. Indices of the lattice planes refer to the orthorhombic phase. 020/002 planes are more prominent in out-of-plane direction. (Panel (C) was reproduced from U. Schroeder, C. Richter, M. H. Park, T. Schenk, M. Pešic, M. Hoffmann, F. P. G. Fengler, D. Pohl, B. Rellinghaus, C. Zhou, C.-C. Chung, J. L. Jones, T. Mikolajick, Lanthanum doped hafnium oxide: a robust ferroelectric material, Inorg. Chem. 57 (2018) 2752–2765 with permission from ACS publications).

Dopants in Atomic Layer Deposited HfO2 Thin Films

61

is less likely: A completely random orientation of the polar axis would result in 50% of the theoretical value for the spontaneous polarization [42, 57], that is, 25–28 μC/cm2 (compare to Chapter 6). Typically, the 111 reflex is very prominent in the measured GIXRD patterns (see Fig. 3.1.6A). However, a perfect 111-orientation would only result in values of 28–31 μC/cm2, depending on the lattice constants and assumed spontaneous polarization. (Here, lattice constants as extracted by Park et al. [24]) for 10 nm thick Gd:HfO2 films were chosen for the estimate). This means a strong 111 texture would not make a significant difference to a random orientation for this system. Moreover, this reflex is also the most intense in the powder diffraction files of which the intensity is 1 order of magnitude higher than the intensities of all other peaks. Thus, there is no strong indication for a strong preferential orientation in most of the hafnia-based films. Looking at the different diffractograms of La:HfO2 (Fig. 3.1.6A and B), though, there is a significantly higher peak at around 35.5 degree, which belongs to the 002/020 reflex. This peak loses intensity when the scattering vector is tilted from an out of plane (Ψ ¼0 degree) toward an orientation in the film plane (Fig. 3.1.6C) while the 111 peak around 30.5 degree increases. A 002orientation (polar axis out of plane) would indeed explain the enhanced Pr values compared to other dopants. The complete absence of the 200 peak at around 34.5 degree suggests that an in-plane orientation of the longest axis, the 100-axis, is highly preferable and there might be a causal relation to the found in-plane tensile strain (relative to the out-of-plane direction) concluded from the peak shifts upon tilting. However, for Si-doped HfO2, on the other hand, an almost random orientation with a very weak 111-texture was reported [29] and HfO2 films with many other dopants seem to show similar behavior (Fig. 3.1.6A and B). The origin of the different texture of the La-doped HfO2 film is not clearly understood yet, and it needs further study given that the in-plane tensile strain is rather similar. ALD precursors might play a role for that texture because thestrong effects of metal or nonmetal precursors on film properties are well known [58]. However, the Hf precursor TEMAHf is not different compared to the one used for the Si:HfO2 films described here. Also, other Hf precursors have been used, but no report of a special impact on texture exists. TEM work [7] gave rise to speculation about an orientation relationship between the TiN electrodes and the HfO2-based films. In addition, some relaxations of the HfO2 lattice toward the TiN electrodes were reported together with the formation of tetragonally distorted interfacial layers [34, 36]. Thus, a recent STEM study was devoted to this topic [59]. Fig. 3.1.7

62 Ferroelectricity in Doped Hafnium Oxide

Fig. 3.1.7 Examples of interface sites between TiN and hafnia samples. Detailed description of (A)–(D): see text. Top and bottom represent slightly shifted regions with different intensity scaling to account for the different intensities of the Ti and Hf columns (atomic number contrast) of the same image frame. (Used with permission from Everett Grimley. From E.D. Grimley, PhD Thesis, North Carolina State University, 2018.)

Dopants in Atomic Layer Deposited HfO2 Thin Films

63

shows examples of the results. Within the sampling capabilities of STEM, the analysis of multiple images was used to obtain a meaningful representation of the whole sample. In contrast to epitaxial single-crystalline films (see Chapter 4), misalignment of HfO2 grains toward the electron beam limits the number of regions that can be analyzed. For some regions, no clear epitaxial relation was found because the TiN grains were completely misaligned toward the beam (Fig. 3.1.7B). However, for the majority of interfaces, local epitaxial-like relations are found that can be classified as follows: The 111 TiN planes interact with 200, 020, and 002 planes of the monoclinic and orthorhombic HfO2 phases. This interaction occurs in two different ways: (1) by traditional epitaxial “stacking” (Fig. 3.1.7A) or a “pseudostacking” (Fig. 3.1.7C) of the planes, or (2) via an “angled interface” with continuous planes (Fig. 3.1.7D). The latter means that the TiN is not on zone axis for the STEM, but a direction and spacing of the planes translating into HfO2 is clearly visible. Further studies are required to clarify the role of the TiN texture on HfO2 texture as well as the impact of different dopants and why La seems to be a bit different from the others with respect to texture. The above results can only serve to identify which directions to go to further understand the complex structural interplay of the various factors in these ultrathin polycrystalline films. Another figure to compare the differently doped films to each other is the relaxed remanent polarization, which indicates the expected retention properties of the stack. The relaxed remanent polarization, Pr,relax, represents what fraction of the Pr measured during a triangular sweep can be retained after 1 s of waiting time [60]. The Pr,relax is generally strongly related to the depolarization field occurring in metal-ferroelectric-metal capacitors. Fig. 3.1.8A shows the changes in Pr,relax normalized by Pr of La-doped

Fig. 3.1.8 Normalized relaxed remanent polarization after 1 s Pr,relax (divided by remanent polarization Pr) for different (A) Si and (B) La content for the pristine sample and after wake-up cycling. The doped HfO2 films were cycled sufficiently to reach maximum Pr value for woken up states.

64

Ferroelectricity in Doped Hafnium Oxide

HfO2 films with various doping concentrations before and after wake-up field cycling. The depolarization field in ferroelectric thin films is known to be governed by the relative fraction of nonferroelectric layers along the electrode normal direction at the electrodes or within the bulk of the ferroelectric layer. Thus, the highest normalized Pr,relax value is expected for the highest orthorhombic phase fraction. As shown in Fig. 3.1.8A, the largest normalized Pr,relax could be achieved for the 10 cat% La doping, and the La-doped HfO2 film could have the highest Pr value at the same La content, as seen in Fig. 3.1.4E, both before and after wake-up field cycling. Generally, the normalized Pr,relax is lower in the pristine state compared to the woken-up state. It was reported that the nonferroelectric layer can be transformed into the ferroelectric phase during wake-up field cycling, so the decrease in the nonferroelectric phase fraction during field cycling can increase the normalized Pr,relax. The normalized Pr,relax values after wake-up are almost 1 in a rather wide range of La content from 6 to 13 cat%, suggesting that a significant fraction of the nonferroelectric phase changed to the ferroelectric orthorhombic phase. However, this does not mean that all the nonferroelectric phase transformed into the orthorhombic phase within this La content range. There should be a remaining nonferroelectric phase even after the wake-up field cycling. However, a significant portion of the nonferroelectric layer neighboring the ferroelectric phase should have changed to the orthorhombic phase after field cycling. The details of the structural evolution during field cycling are intensively reviewed in Chapter 9.2. In Fig. 3.1.8B, the normalized Pr,relax of doped HfO2 films with various dopants was plotted as a function of the orthorhombic phase fraction at the composition of the largest Pr for each dopant. Interestingly, the normalized Pr,relax increases with increasing orthorhombic phase fraction, which is qualitatively consistent with the usual expectation of a linear trend. In this plot, the Si-doped HfO2 film shows the lowest normalized Pr,relax value with the lowest fraction of the orthorhombic phase among the four dopants. This result can be understood based on the fact that the Si is a very strong stabilizer of the tetragonal phase. The effect of dopant species on the relative free energy of the tetragonal and cubic phases was examined in several studies (Refs. [49–51], Chapter 6), and Si strongly decreases the free energy of the tetragonal phase compared to the cubic phase. It resulted in a very narrow doping concentration range for robust ferroelectricity, as shown in Fig. 3.1.4. Because the tetragonal phase can be stabilized with a rather low doping concentration, the maximum achievable orthorhombic phase

Dopants in Atomic Layer Deposited HfO2 Thin Films

65

fraction is also expected to be lower than that for the other dopants. The small ionic radius of the Si was suggested as an origin for why Si can strongly stabilize the tetragonal phase rather than cubic phase [49]. Park et al. [24] showed that a similar discussion is still valid for the competition between the tetragonal and the ferroelectric orthorhombic phases because the orthorhombic phase does not have metal-oxygen bonding as short as those in the tetragonal phase. Kuenneth et al. systematically examined the effect of four valent dopants including Si on the relative free energy of the orthorhombic and the cubic phases, and confirmed that Si tends to more strongly stabilize the tetragonal phase than the orthorhombic phase [61], which is in line with a previous result by Schenk and coworkers [8].

3.1.3 Effect of Annealing Temperature on Ferroelectric Doped HfO2 The as-deposited doped HfO2 films are mostly amorphous after the ALD process. Thus, a subsequent annealing process is essential to crystallize the film into the ferroelectric orthorhombic phase. Moreover, as discussed in the previous section, the annealing process can also affect the interface between the doped HfO2 film and the electrode. Thus, understanding the effect of the annealing process is also an important task for ferroelectric doped HfO2 thin films. Fig. 3.1.9A shows the changes in normalized polarization before and after wake-up field cycling for Si- and La-doped HfO2 thin films. For both dopants, the Pr increases with increasing annealing temperature in general, both before and after wake-up field cycling.

Fig. 3.1.9 (A) Remanent polarization Pr versus anneal temperature for a pristine in comparison to a sample after wake-up; Triangular field cycles at 4 MV/cm and 100 kHz to breakdown for different annealing temperatures. (B) Endurance field cycling as a function anneal temperature: Triangular field cycles at 4 MV/cm and 100 kHz to breakdown for different annealing temperatures.

66

Ferroelectricity in Doped Hafnium Oxide

The increase in Pr was larger for Si-doped HfO2 compared to La-doped HfO2, especially between 725°C and 800°C. The difference between Si and La can be understood based on the two potential mechanisms. First, the crystallization temperature is proportional to the difference between the ionic radius of the dopant and Hf, so Si-doped HfO2 has the highest crystallization temperature among the reported dopants, which can induce ferroelectricity in HfO2 [29]. Second, the Si-doped HfO2 film has a lower maximum fraction of the orthorhombic phase compared to the La-doped HfO2 thin film when they are annealed at the same temperature, as shown in the previous section. Because Si is a stronger stabilizer of the tetragonal phase, there should be a higher fraction of that phase compared to the La-doped HfO2 thin films. However, the tetragonal phase can be transformed to the ferroelectric orthorhombic phase by increasing annealing temperature. As a result, the Pr of Si-doped HfO2 thin film can significantly increase by increasing the annealing temperature from 725°C to 800°C. It should be noted that an annealing temperature higher than 800°C was generally used to crystallize the ferroelectric Si-doped HfO2 thin films [23, 25]. On the other hand, it was reported that La-doped HfO2 can show strong ferroelectricity after annealing at a rather low temperature [62]. Depending on the targeted integration into an existing CMOS route, each of the two can be beneficial. The endurance of doped HfO2 film is also strongly influenced by the annealing temperature. The general failure mechanism of the doped HfO2 thin films is a hard breakdown with a significant increase in the leakage current. The generation and possible percolation of defects such as oxygen vacancies are considered as a potential origin of such a failure mechanism. This point was well studied, as will be discussed in detail in Chapter 9.2. Fig. 3.1.9B shows the number of field cycles until the breakdown of the doped HfO2 thin films for the cases of Si and La doping. The endurable field cycle number decreases with increasing annealing temperature. It was suggested that TiN scavenges oxygens from doped HfO2 thin films [34, 36, 39, 63], and such a scavenging effect should become stronger with increasing annealing temperature. Thus, the total amount of the oxygen vacancies in the doped HfO2 thin film increases for higher annealing temperature, and accordingly, a permanent leakage current path formed by defects such as oxygen vacancies might be formed after a smaller amount of field cycling. The degradation of endurance with increasing annealing temperature is more severe in Si-doped HfO2 compared to La-doped HfO2 thin film. Although the exact reason for such a difference cannot be understood currently, it might be attributed to the different valence number of Si (+4) and

Dopants in Atomic Layer Deposited HfO2 Thin Films

67

La (+3). It is known that the trivalent dopant-oxygen vacancy complex can be formed to reduce the free energy near the dopant in the HfO2 matrix [64]. Therefore, the accumulation of oxygen vacancies in La-doped HfO2 might be retarded compared to the Si-doped HfO2 thin film. The crystalline structure and relative fractions of phases can also be affected by the annealing temperature. This can be identified from the GIXRD patterns of the variously doped HfO2 thin films. The details of the sample fabrication process can be found elsewhere [28]. Being similar to the discussion in the previous section, the changes in the aspect ratio, the unit cell volume, the relative phase fractions, and the pristine Pr values are examined. Fig. 3.1.10A and B show the variations in the aspect ratios (2a/(b+c) for the orthorhombic and c/a for the tetragonal phase) and unit cell volume of 10 nm-thick Gd-doped HfO2 thin films with changing

Fig. 3.1.10 The change of (A) aspect ratio, (B) unit cell volume, (C) pristine Pr, and (D) orthorhombic phase fraction with varying doping concentration for Gd-doped HfO2 thin films.

68

Ferroelectricity in Doped Hafnium Oxide

annealing temperature from 650°C to 1000°C using RTA. The aspect ratio and the unit cell volume of the Gd-doped HfO2 films increase with increasing annealing temperature near the doping concentration for the large Pr. The strongest changes in the aspect ratio and the unit cell volume could be observed at the composition at the phase boundary between the orthorhombic and tetragonal phases, which refers to 2.8 cat% for Gd-doped HfO2. A similar trend could be observed in Si- and Al-doped HfO2 thin films, which can be found elsewhere [28]. Fig. 3.1.10C shows the pristine Pr values of Gd-doped HfO2 thin films annealed at various temperatures ranging from 650°C to 1000°C. The Pr of undoped HfO2 is almost zero because the dominant crystalline phase is the monoclinic phase. With increasing dopant content to a certain value, the pristine Pr increases with the increasing orthorhombic phase fraction. After the maximum Pr value, on the other hand, the Pr decreases with increasing doping concentration, which is again attributed to the phase transition from the orthorhombic to the tetragonal phase. At the doping concentration for the maximum Pr, the pristine Pr values generally increase with increasing annealing temperature. Such an annealing temperature effect on the pristine Pr value is most evident at the boundary between the orthorhombic and tetragonal phases. Quantitative phase analysis was conducted using the Rietveld refinement. The details of the Rietveld refinement can be found in a previous study [24]. Fig. 3.1.10D shows the changes in the relative orthorhombic phase fractions of Gd-doped HfO2 thin films with varying doping concentration. The changes in the orthorhombic phase fraction with varying annealing temperature and doping concentration are well matched with the pristine Pr value changes in Fig. 3.1.10C. The maximum orthorhombic phase fraction does not show strong dopant material dependences [28], but it generally increases with the increasing ionic radius of dopant when the result for La-doped HfO2 is considered together. The maximum orthorhombic phase fraction was the smallest for Si and the largest for La, as already discussed in the previous section. However, the pristine Pr does not increase with the increasing ionic radius of the dopant. It should be noted that the pristine Pr values can also be affected by factors such as film texture and thickness of the interfacial layer [11, 39]. On the other hand, the La-doped HfO2 showed an even higher orthorhombic phase fraction in the previous study [11]. The annealing temperature as well as the dopant species should affect the doping concentration range for the maximum orthorhombic phase formation with large Pr value. Especially at the

Dopants in Atomic Layer Deposited HfO2 Thin Films

69

boundary between the orthorhombic and the tetragonal or the cubic phases, the effect of the annealing temperature is strong. Within this dopant content range, the aspect ratio, the unit cell volume, the orthorhombic phase fraction, and the pristine Pr significantly increase with increasing annealing temperature. It is believed that the small free energy difference between the orthorhombic and the tetragonal or cubic phases can be overcome by increasing the annealing temperature. From the result above, it is conceived that the high annealing temperature further stabilizes the orthorhombic phase or kinetically accelerates the phase transition from the tetragonal or the cubic phase to the orthorhombic phase. However, the exact mechanism behind this observation is not clearly elucidated yet, and it requires further study. Park et al. recently examined the structural evolution in the doped HfO2 thin film using in situ XRD in Si-, Al-, Gd-, and Sr-doped HfO2 thin films [28]. They observed a lattice parameter increase at a specific temperature for all the dopant cases, and this phenomenon is believed to be strongly related to the annealing temperature effect. Nonetheless, the origin of the unit cell parameter increase process is not clearly understood yet. The structural evolutions observed from hightemperature XRD in Si-, Al-, Gd-, and Sr-doped HfO2 thin films are discussed in Chapter 5.1 in more detail.

3.1.4 Conclusion In this chapter, the current status of ferroelectricity in atomic layer deposited doped HfO2 thin films was comprehensively reviewed. Especially, the effects of the doping concentration, the dopant species, and the annealing temperature were intensively studied. The changes in the relative fraction of the ferroelectric orthorhombic phase can be analyzed from the structural changes detected from the XRD techniques. For atomic layer deposited HfO2 thin films doped with various dopants, general characteristic changes in XRD patterns could be observed, and these could be successfully related to the variations in the electrical characteristics. With increasing doping concentration, the dominant crystalline phase changes from the monoclinic to the orthorhombic to the tetragonal (or cubie) phase. For dopants smaller than Hf (such as Si and Al), the tetragonal phase is formed with a field-induced phase transition in the high doping concentration range. For dopants larger than Hf, the cubic phase could be formed for sufficiently high dopant contents. The doping concentration range for high Pr is strongly affected by the dopant species, and the width of

70

Ferroelectricity in Doped Hafnium Oxide

the dopant content range is fairly matched with the free energy changes induced by doping in computational simulation works. Currently, La is considered highly promising for practical applications because of its wide composition window and large Pr values both from simulations and experiments. However, further studies are required to clearly understand the effect of dopant species. The effect of the annealing temperature on ferroelectric doped HfO2 can also be understood based on the structural changes as well as the interfacial chemical reactions. The increase in annealing temperature broadens the doping concentration range for robust ferroelectricity, and this can be attributed to the structural changes with the increasing aspect ratio and volume of the unit cell. On the other hand, the increase in oxygen vacancy concentration in the doped HfO2 thin film with an increasing annealing temperature degrades its endurance, possibly due to oxygen scavenging by the TiN electrode. It is believed that there is a trade-off between the orthorhombic phase fraction and interfacial layer formation when the annealing temperature is controlled. Thus, optimization of annealing temperature is another critical task for the ferroelectric doped HfO2 thin films.

Acknowledgments M. H. Park is supported by a Humboldt postdoctoral fellowship from the Alexander von Humboldt Foundation. T. Schenk acknowledges the German Research Foundation (DFG) for funding part of this work in the frame of the project “Inferox” (project no. MI 1247/11-2).

References [1] T.S. B€ oscke, J. M€ uller, D. Br€auhaus, U. Schr€ oder, U. B€ ottger, Ferroelectricity in hafnium oxide thin films, Appl. Phys. Lett. 99 (2011) 102903. [2] J. M€ uller, T.S. B€ oscke, D. Br€auhaus, U. Schr€ oder, U. B€ ottger, J. Sundqvist, P. K€ ucher, T. Mikolajick, L. Frey, Ferroelectric Zr0.5Hf0.5O2 thin films for nonvolatile memory applications, Appl. Phys. Lett. 99 (2011) 112901. [3] J. M€ uller, T.S. B€ oscke, U. Schr€ oder, S. Mueller, D. Brauhaus, U. B€ ottger, L. Frey, T. Mikolajick, Ferroelectricity in simple binary ZrO2 and HfO2, Nano Lett. 12 (2012) 4318–4323. [4] J. M€ uller, U. Schr€ oder, T.S. B€ oscke, I. M€ uller, U. B€ ottger, L. Wilde, J. Sundqvist, M. Lemberger, P. K€ ucher, T. Mikolajick, L. Frey, Ferroelectricity in yttrium-doped hafnium oxide, J. Appl. Phys. 110 (2011)114113. [5] S. Mueller, J. Mueller, A. Singh, S. Riedel, J. Sundqvist, U. Schroeder, T. Mikolajick, Incipient ferroelectricity in Al-doped HfO2 thin films, Adv. Funct. Mater. 22 (2012) 2412–2417.

Dopants in Atomic Layer Deposited HfO2 Thin Films

71

[6] S. Mueller, C. Adelmann, A. Singh, S. Van Elshocht, U. Schroeder, T. Mikolajick, Ferroelectricity in Gd-doped HfO2 thin films, ECS J. Solid State Sci. Technol. 1 (2012) N123–N126. [7] M. Hoffmann, U. Schroeder, T. Schenk, T. Shimizu, H. Funakubo, O. Sakata, D. Pohl, M. Drescher, C. Adelmann, R. Materlik, A. Kersch, T. Mikolajick, Stabilizing the ferroelectric phase in doped hafnium oxide, J. Appl. Phys. 118 (2015) 071006. [8] T. Schenk, S. Mueller, U. Schroeder, R. Materlik, A. Kersch, M. Popovici, C. Adelmann, S. Van Elshocht, T. Mikolajick, Strontium doped hafnium oxide thin films: wide process window for ferroelectric memories, in: 2013 Proceedings of the European Solid-State Device Research Conference (ESSDERC), 2013, pp. 260–263. [9] J. M€ uller, E. Yurchuk, T. Schl€ osser, J. Paul, R. Hoffmann, S. Mueller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. K€ ucher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schr€ oder, T. Mikolajick, Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG, in: 2012 Symposium on VLSI Technology, 2012, pp. 25–26. [10] A.G. Chernikova, D.S. Kuzmichev, D.V. Negrov, M.G. Kozodaev, S.N. Polyakov, A. M. Markeev, Ferroelectric properties of full plasma-enhanced ALD TiN/La:HfO2/ TiN stacks, Appl. Phys. Lett. 108 (2016) 242905. [11] U. Schroeder, C. Richter, M.H. Park, T. Schenk, M. Pesˇic, M. Hoffmann, F.P. G. Fengler, D. Pohl, B. Rellinghaus, C. Zhou, C.-C. Chung, J.L. Jones, T. Mikolajick, Lanthanum doped hafnium oxide: a robust ferroelectric material, Inorg. Chem. 57 (2018) 2752–2765. [12] P. Polakowski, J. M€ uller, Ferroelectricity in undoped hafnium oxide, Appl. Phys. Lett. 106 (2015)232905. [13] X. Sang, E.D. Grimley, T. Schenk, U. Schroeder, J.M. LeBeau, On the structural origins of ferroelectricity in HfO2 thin films, Appl. Phys. Lett. 106 (2015)162905. [14] T. Shimizu, T. Yokouchi, T. Oikawa, T. Shiraishi, T. Kiguchi, A. Akama, T.J. Konno, A. Gruverman, H. Funakubo, Contribution of oxygen vacancies to the ferroelectric behavior of Hf0.5Zr0.5O2 thin films, Appl. Phys. Lett. 106 (2015)112904. [15] T. Olsen, U. Schr€ oder, S. Mueller, A. Krause, D. Martin, A. Singh, J. M€ uller, M. Geidel, T. Mikolajick, Co-sputtering yttrium into hafnium oxide thin films to produce ferroelectric properties, Appl. Phys. Lett. 101 (2012) 082905. [16] L. Xu, T. Nishimura, S. Shibayama, T. Yajima, S. Migita, A. Toriumi, Ferroelectric phase stabilization of HfO2 by nitrogen doping, Appl. Phys. Express 9 (2016) 091501. [17] L. Xu, T. Nishimura, S. Shibayama, T. Yajima, S. Migita, A. Toriumi, Kinetic pathway of the ferroelectric phase formation in doped HfO2 films, J. Appl. Phys. 122 (2017) 124104. [18] Y.H. Lee, H.J. Kim, T. Moon, K.D. Kim, S.D. Hyun, H.W. Park, Y.B. Lee, M. H. Park, C.S. Hwang, Preparation and characterization of ferroelectric Hf0.5Zr0.5O2 thin films grown by reactive sputtering, Nanotechnology 28 (2017) 305703. [19] S. Starschich, U. Boettger, An extensive study of the influence of dopants on the ferroelectric properties of HfO2, J. Mater. Chem. C 5 (2017) 333–338. [20] S. Starschich, T. Schenk, U. Schroeder, U. Boettger, Ferroelectric and piezoelectric properties of Hf1-xZrxO2 and pure ZrO2 films, Appl. Phys. Lett. 110 (2017) 182905. [21] T. Shimizu, K. Katayama, T. Kiguchi, A. Akama, T.J. Konno, H. Funakubo, Growth of epitaxial orthorhombic YO1.5-substituted HfO2 thin film, Appl. Phys. Lett. 107 (2015) 032910. [22] T. Shimizu, K. Katayama, T. Kiguchi, A. Akama, T.J. Konno, O. Sakata, H. Funakubo, The demonstration of significant ferroelectricity in epitaxial Y-doped HfO2 film, Sci. Rep. 6 (2016) 32931. [23] C. Richter, T. Schenk, M.H. Park, F.A. Tscharntke, E.D. Grimley, J.M. LeBeau, C. Zhou, C.M. Fancher, J.L. Jones, T. Mikolajick, U. Schroeder, Si doped hafnium oxide—a “fragile” ferroelectric system, Adv. Electron. Mater. 3 (2017)1700131.

72

Ferroelectricity in Doped Hafnium Oxide

[24] M.H. Park, T. Schenk, C.M. Fancher, E.D. Grimley, C. Zhou, C. Richter, J.M. LeBeau, J.L. Jones, T. Mikolajick, U. Schroeder, A comprehensive study on the structural evolution of HfO2 thin films doped with various dopants, J. Mater. Chem. C 5 (2017) 4677–4690. [25] E. Yurchuk, J. M€ uller, S. Knebel, J. Sundqvist, A.P. Graham, T. Melde, U. Schroeder, T. Mikolajaick, Impact of layer thickness on the ferroelectric behavior of silicon doped hafnium oxide thin films, Thin Sold Films 533 (2013) 88–92. [26] M.H. Park, H.J. Kim, Y.J. Kim, W. Lee, T. Moon, C.S. Hwang, Evolution of phases and ferroelectric properties of thin Hf0.5Zr0.5O2 films according to the thickness and annealing temperature, Appl. Phys. Lett. 102 (2013)242905. [27] T. Mittmann, F.P.G. Fengler, C. Richter, M.H. Park, T. Mikolajick, U. Schroeder, Optimizing process conditions for improved Hf1xZrxO2 ferroelectric capacitor performance, Microelectron. Eng. 178 (2017) 48–51. [28] M.H. Park, C.-C. Chung, T. Schenk, C. Richter, K. Opsomer, C. Detavernier, C. Adelmann, J.L. Jones, T. Mikolajick, U. Schroeder, Effect of annealing ferroelectric HfO2 thin films: in situ, high temperature X-ray diffraction, Adv. Electron. Mater (2018) 1800091. [29] T. Schenk, Formation of Ferroelectricity in Hafnium Based Thin Films, Ph. D. Thesis, Technische Universit€at Dresden, Germany, 2016. [30] T. Shiraishi, K. Katayama, T. Yokouchi, T. Shimizu, T. Oikawa, O. Sakata, H. Uchida, Y. Imai, T. Kiguchi, T.J. Konno, H. Funakubo, Impact of mechanical stress on ferroelectricity in (Hf0.5Zr0.5)O2 thin films, Appl. Phys. Lett. 108 (2016) 262904. [31] M.H. Park, H.J. Kim, Y.J. Kim, T. Moon, C.S. Hwang, The effects of crystallographic orientation and strain of thin Hf0.5Zr0.5O2 film on its ferroelectricity, Appl. Phys. Lett. 104 (2014) 072901. [32] R.D. Shannon, Revised effective ionic radii and systematic studies of interatomie distances in halides and chaleogenides, Acta Cryst A32 (1976) 751–767. [33] G.S. Rohrer, Structure and Bonding in Crystalline Materials, The Press Syndicate of the University of Cambridge, Cambridge, United Kingdom, 2004. [34] E.D. Grimley, T. Schenk, X. Sang, M. Pesic, U. Schroeder, T. Mikolajick, J.M. LeBeau, Structural changes underlying field-cycling phenomena in ferroelectric HfO2 thin films, Adv. Electron. Mater. 2 (2016)1600173. [35] M.H. Park, T. Schenk, C. Richter, E.D. Grimley, J.M. LeBeau, M. Tallarida, C. Mariani, L. Simonelli, C.M. Fancher, J.L. Jones, R. Materlik, C. K€ unneth, A. Kersch, T. Mikolajick, U. Schroeder, Structural root causes of ferroelectricity in doped hafnium oxide, in: Presented at International Symposium of Applications of Ferroelectricity 2016 meeting, 2016. [36] M. Pesic, F.P.G. Fengler, L. Larcher, A. Padavani, T. Schenk, E.D. Grimley, X. Sang, J.M. LeBeau, S. Slesazeck, U. Schroeder, T. Mikolajick, Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (2016) 4601–4612. [37] H.J. Kim, M.H. Park, Y.J. Kim, Y.H. Lee, T. Moon, K.D. Kim, S.D. Hyun, C.S. Hwang, A study on the wake-up effect of ferroelectric Hf0.5Zr0.5O2 films by pulse-switching measurement, Nanoscale 8 (2016) 1383–1389. [38] M.H. Park, H.J. Kim, Y.J. Kim, Y.H. Lee, T. Moon, K.D. Kim, S.D. Hyun, F.P.G. Fengler, U. Schroeder, C.S. Hwang, Effect of Zr content on the wake-up effect in Hf1–xZrxO2 films, ACS Appl. Mater. Interfaces 8 (2016) 15466–15475. [39] M.H. Park, H.J. Kim, Y.J. Kim, W. Jeon, T. Moon, C.S. Hwang, Ferroelectric properties and switching endurance of Hf0.5Zr0.5O2 films on TiN bottom and TiN or RuO2 top electrodes, Phys. Status Solidi (RRL) 8 (2014) 532–535.

Dopants in Atomic Layer Deposited HfO2 Thin Films

73

[40] T. Schenk, E. Yurchuk, S. Mueller, U. Schroeder, S. Starschich, U. B€ ottger, T. Mikolajick, About the deformation of ferroelectric hysteresis, Appl. Phys. Rev. 1 (2014)041103. [41] M. Pesˇic, S. Slesazeck, T. Schenk, U. Schroeder, T. Mikolajick, Impact of charge trapping on the ferroelectric switching behavior of doped HfO2, Phys. Status Solidi A 213 (2016) 270–273. [42] R. Materlik, C. K€ unneth, A. Kersch, The origin of ferroelectricity in Hf1xZrxO2: a computational investigation and a surface energy model, J. Appl. Phys. 117 (2015) 134109. [43] S.E. Reyes-Lillo, K.F. Garrity, K.M. Rabe, Antiferroelectricity in thin-film ZrO2 from first principles, Phys. Rev. B 90 (2014) 140103. [44] M.H. Park, H.J. Kim, Y.J. Kim, T. Moon, K.D. Kim, C.S. Hwang, Toward a multifunctional monolithic device based on pyroelectricity and the electrocaloric effect of thin antiferroelectric HfxZr1xO2 films, Nano Energy 12 (2015) 131–140. [45] M. Hoffmann, U. Schroeder, C. K€ unneth, A. Kersch, S. Starschich, U. B€ ottger, T. Mikolajick, Ferroelectric phase transitions in nanoscale HfO2 films enable giant pyroelectric energy conversion and highly efficient supercapacitors, Nano Energy 18 (2015) 154–164. [46] M.H. Park, T. Schenk, M. Hoffmann, S. Knebel, J. Gartner, T. Mikolajick, U. Schroeder, Effect of acceptor doping on phase transitions of HfO2 thin films for energy-related applications, Nano Energy 36 (2017) 381–389. [47] U. Schroeder, E. Yurchuk, J. M€ uller, D. Martin, T. Schenk, P. Polakowski, C. Adelmann, M.I. Popovici, S.V. Kalinin, T. Mikolajick, Impact of different dopants on the switching properties of ferroelectric hafniumoxide, Jpn. J. Appl. Phys. 53 (2014) 08LE02. [48] R. Batra, T.D. Huan, G.A. Rossetti Jr., R. Ramprasad, Factors favoring ferroelectricity in hafnia: a first-principles computational study, Chem. Mater. 121 (2017) 4139–4145. [49] C.-K. Lee, E. Cho, H.-S. Lee, C.S. Hwang, S. Han, First-principles study on doping and phase stability of HfO2, Phys. Rev. B 78 (2008) 012102. [50] D. Fischer, A. Kersch, The effect of dopants on the dielectric constant of HfO2 and ZrO2 from first principles, Appl. Phys. Lett. 92 (2008) 012908. [51] D. Cunningham, A First-Principles Examination of Dopants in HfO2, Honors Scholar Theses, University of Connecticut, 2014. [52] A.H. Sihvola, J.A. Kong, Effective permittivity of dielectric mixtures, IEEE Trans. Geosci. Remote Sens. 26 (1988) 420–429. [53] T.C. Choy, Effective Medium Theory; Principles and Applications, Oxford University Press, Oxford, 2015. [54] A.N. Norris, P. Sheng, A.J. Callegari, Effective-medium theories for two-phase dielectric media, J. Appl. Phys. 57 (1985) 1990–1996. [55] J. Robertson, High dielectric constant oxides, Eur. Phys. J. Appl. Phys. 28 (2004) 265–291. [56] M. Galtier, A. Montaner, G. Vidal, Phonons opti ues de cao, sro, bao au centre de la zone de Brillouin a 300 et 17 K, J. Phys. Chem. Solids 33 (1972) 2295–2302. [57] J.L. Jones, The effect of crystal symmetry on the maximum polarization of polycrystalline ferroelectric materials, Mater. Sci. Eng. B 167 (2010) 6–11. [58] S.W. Lee, B.J. Choi, T. Eom, J.H. Han, S.K. Kim, S.J. Song, W. Lee, C.S. Hwang, Influences of metal, non-metal precursors, and substrates on atomic layer deposition processes for the growth of selected functional electronic materials, Coord. Chem. Rev. 257 (2013) 3154–3176. [59] E. D. Grimley, PhD Thesis, North Carolina State University, 2018. [60] Manual of TF analyzer 3000 of Aixacct systems.

74

Ferroelectricity in Doped Hafnium Oxide

[61] C. K€ unneth, R. Materlik, M. Falkowski, A. Kersch, Impact of four-valent doping on the crystallographic phase formation for ferroelectric HfO2 from first-principles: implications for ferroelectric memory and energy-related applications, ACS Appl. Nano Mater. 1 (2018) 2254–2264. [62] M.G. Kozodaev, A.G. Chernikova, E.V. Korostylev, M.H. Park, U. Schroeder, C.S. Hwang, A.M. Markeev, Ferroelectric properties of lightly doped La: HfO2 thin films grown by plasma-assisted atomic layer deposition, Appl. Phys. Lett. 111 (2017) 132903. [63] W. Weinreich, R. Reiche, M. Lemberger, G. Jegert, J. M€ uller, L. Wilde, S. Teichert, J. Heitmann, E. Erben, L. Oberbeck, U. Schr€ oder, A.J. Bauer, H. Ryssel, Impact of interface variations on J–V and C–V polarity asymmetry of MIM capacitors with amorphous and crystalline Zr(1x)AlxO2 films, Microelectron. Eng. 86 (2009) 1826. [64] L.G. Wang, H.L. Tu, Y.H. Xiong, W. Xiao, J. Du, J.W. Wang, G.J. Huang, The effect of dopants on the dielectric constant of HfO2 and ZrO2 from first principles, J. Appl. Phys. 116 (2014) 123505.

CHAPTER 3.2

Impact of Zr Content in Atomic Layer Deposited Hf12 xZrxO2 Thin Films Min Hyuk Park*,a, Han Joon Kim†, Keum Do Kim†, Young Hwan Lee†, Seung Dam Hyun†, Cheol Seong Hwang† *

NaMLab gGmbH, Dresden, Germany Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea †

3.2.1 Introduction Atomic layer deposition (ALD) is characterized as having a unique selflimiting growth behavior due to the involvement of the ALD-specific chemical reactions between the growing film/substrate surface and the precursor/reactant molecules [1]. These properties are especially attractive for semiconductor device fabrication because they can provide (sub-) atomic thickness accuracy/control, complete coverage over the three-dimensional surface structure, and a lower processing temperature than chemical vapor deposition (CVD) [1]. Because of these unique and useful properties, ALD has been in the mainstream of the HfO2 film growth process for logic transistors and the ZrO2 film for dynamic random access memory (DRAM) capacitors [2]. These two films, that is, gate dielectric in logic transistors and DRAM capacitors, commonly require high-k (k is the dielectric constant) property and low leakage current, which is usually accompanied by the transition of the crystal structure from the stable monoclinic phase (m-phase, space group: P21/c) to the tetragonal or cubic phase (t- or c-phase, space group: P42/nmc or Fm-3m, respectively). The involvement of ferroelectric (FE) polarization, which results in the hysteresis in their drain current-gate voltage (Id-Vg) and capacitor charge-capacitor voltage (Qc-Vc) characteristics, must be avoided in transistor and capacitor applications. Therefore, the composition near Hf:Zr¼1:1 should be avoided for the aforementioned applications. Nevertheless, the recent finding of feasible a

Min Hyuk Park is currently at the School of Materials Science and Engineering, Pusan National University, Geumjeong-gu, Busan, Republic of Korea

Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00007-3

© 2019 Elsevier Ltd. All rights reserved.

75

76

Ferroelectricity in Doped Hafnium Oxide

FE performance from the Hf0.5Zr0.5O2 film at a typical thickness of 10 nm with a TiN electrode initiated a lot of attention to this material for its potential applications in ferroelectric random access memory (FeRAM), ferroelectric field effect transistor (FeFET), and ferroelectric tunnel junction (FTJ) devices. For these applications, ALD is especially suitable, considering its precise atomic-level thickness control (for all three applications), high crystallinity (for all three applications), and good three-dimensional step coverage (for FeRAM). Therefore, already matured ALD technology in the semiconductor industry greatly facilitates the development of the FE Hf0.5Zr0.5O2 film. The emergence of the rather unexpected FE properties from the Hf0.5Zr0.5O2 film is mainly attributed to the formation of the orthorhombic phase (o-phase, space group: Pca21), which is not a stable phase under typical ALD and postdeposition annealing (PDA) conditions. Therefore, careful tuning of the ALD and PDA conditions, including the types of adopted precursors, oxygen sources, ALD and PDA temperature, and heating/cooling rate during PDA, in addition to the physical parameters that govern the phase evolution, such as the Hf:Zr ratio, film thickness, and electrode material, is crucially important. Therefore, in this chapter, the ALD processes that have been adopted to grow high-k HfO2 and ZrO2 films are shortly reviewed, of which details can be found in Chapters 4 and 7 of Ref. 2. There are several available Hf and Zr precursors. Hf and Zr chlorides are the common precursors for Hf and Zr. The chloride precursors have a wide temperature window for ALD, enduring up to 600°C, due to their very high thermal decomposition temperature. Despite the merits of the chloride precursors, the drawbacks are a relatively low growth rate, the formation of a corrosive byproduct (HCl), and possible chlorine film contamination. Also, the powdery form of the chlorides at typical vaporization temperature complicates the hardware design, which limits the available vapor pressure. As a result, chloride precursors in capacitor applications seem to be inappropriate in spite of their potential to be used in high-k gate dielectrics for highperformance logic transistors. Alkoxides have also been used extensively for HfO2 and ZrO2 film ALD. The limited thermal stability of the alkoxide precursors, however, generally results in inadequate film qualities. For example, the Zr(OiBu)4 and Hf(OiBu)4 precursors failed to show self-limiting reactions at temperatures >200°C due to their facile thermal decomposition. The films are usually accompanied by a significant level of carbon contamination (5–8 at%) [3–5]. The low growth rate of the films due to the bulky ligand structure when using the β-diketonate precursors, such as Zr(thd)4, hinders their utilization [6]. Alkylamides are the most

Impact of Zr Content in Atomic Layer Deposited Hf1  xZrxO2 Thin Films

77

commonly used ALD precursors for HfO2 and ZrO2 film deposition. Such films from alkylamides exhibited a useful growth rate of 0.1 nm/cycle and showed a facile reactivity with various oxygen sources such as H2O, ozone, and O2 plasma [7–10]. The films grown from alkylamides were dense and had low impurity contamination, and thus, they exhibited desirable dielectric performances. Hf(NEtMe)4 (TEMAH), Hf(NMe2)4 (TDMAH), and Zr (NEtMe)4 (TEMAZ), Zr(NMe2)4 (TDMAZ) have received a great deal of attention as promising precursors for HfO2 and ZrO2 ALD for memory applications. However, these precursors showed a limited thermal stability, so the ALD temperature was typically 200–280oC, which might not be the optimum window. Therefore, ALD growth of HfO2 and ZrO2 thin films using a different precursor was also attempted. The ALD of HfO2 thin films using a HfOtBu (NEtMe)3 (BTEMAH, tert-butoxytris(ethylmethylamido)hafnium) precursor and O3 was recently reported [11]. The growth rate of the HfO2 films from BTEMAH and O3 was 0.16 nm/cycle at 300°C. Also, the HfO2 films grown from BTEMAH exhibited higher film density than that of the HfO2 films grown from the TEMAH precursor. The use of cyclopentadienyl-based precursors for ZrO2 ALD was recently introduced. Cyclopentadienyl derivatives such as Cp2ZrMe2 [6, 12], (MeCp)2ZrMe2 [13, 14], and (MeCp)2Zr(OMe)Me [13, 14] precursors offer a promising feature of high thermal stability up to 375°C and low impurity contamination. The growth rate is 0.05–0.06 nm/ cycle, which is slightly lower than the value of the films from TEMAZ. For the deposition of ferroelectric Hf0.5Zr0.5O2 thin films, the TEMAH and TEMAZ have been most frequently used in previous studies [15–44], and the TDMAH and TDMAZ were also reported to show similar ferroelectric properties [45–48]. The thermal ALD or plasma-enhanced ALD were conducted in the temperature range of 240–280oC, and ozone and water were used oxygen sources. Generally, the growth rate of the Hf0.5Zr0.5O2 film is about 0.1 nm/cycle with TEMA or TDMA precursors. For 10 nm-thick Hf0.5Zr0.5O2 films, they were almost amorphous in the as-deposited state, and annealed for crystallization at 400–800oC after the Hf0.5Zr0.5O2 film deposition or top electrode deposition. After the crystallization, the remanent polarization Pr value of the 10-nm-thick Hf0.5Zr0.5O2 thin films was reported to be 15–20 μC cm2 when their process conditions were optimized. Despite the similar properties of the Hf- and Zr-precursors under the given ALD conditions, thinner HfO2 (1 order of magnitude. Clima et al. [25] estimated that the intrinsic Ec of ferroelectric HfO2 is 13.4 MV/cm. From computational simulations, the energy barrier between two polarization states in ferroelectric HfO2 is higher than in BaTiO3 by about one order of magnitude [26–29], which confirms the above-mentioned trend. However, the experimentally observed Ec values for HfO2 are much lower than the intrinsic value because the practical polarization switching occurs by nucleation and growth of domains (see also Chapter 9.1) [25]. Although the breakdown field strength (Ebd 4–5 MV/cm) of fluorite structure-type ferroelectrics is higher than that of perovskite-type ferroelectrics by 0.5–1 order [30], the Ec/Ebd ratio of fluorite structure-type ferroelectrics is higher than that of perovskite-type ferroelectrics. It should be also noted that the electric field applied to achieve a saturated polarization-electric field (P-E) curve (>3 MV/cm) is already close to the range used in filamentary resistive switching memories based on the

384

Ferroelectricity in Doped Hafnium Oxide

generation and diffusion of oxygen vacancies. Various studies [31, 32] have reported that the resistive switching and polarization switching can be observed in doped HfO2 thin film, and the electric field required to induce the two different switching mechanisms is quite similar. A high-k dielectric such as hafnium oxide shows a field-enhanced bond breakage during constant electric field application [30]. This mechanism describes accurately the time- and temperature-dependent dielectric breakdown by application of a constant voltage stress [33]. The formation of the responsible conductive filament [34] is caused by a positive feedback loop due to Joule heating, which leads to a thermal runaway. It should be noted that timescales for the electric pulses used for ferroelectric and resistive switching are different. However, for ferroelectric switching, it is assumed that a similar mechanism exists such as impact ionization [35] or “hot atom damage” caused by the moving oxygen ions during the repeating switching process at the domain boundaries leading to bond dissociation [12, 36, 37]. This mechanism would cause oxygen vacancies–oxygen interstitial pairs and is also in agreement with the higher endurance of antiferroelectrics compared to ferroelectrics [38]. Because it is an oft-discussed topic that oxygen vacancies play a crucial role in the fatigue and imprint behavior in perovskite ferroelectrics such as PZT [22], it is likely that such a correlation also exists for hafnia-based ferroelectrics. However, a detailed analysis of the phenomena is needed to identify the root causes without any doubt. In the following, the electric field cycling mechanisms and the known experimental results are presented.

9.2.3 Polarization Enhancement During Field Cycling Within the lifetime of the ferroelectric capacitor based on hafnia, wake-up and fatigue stages can be isolated. During wake-up, the MW, defined as the difference between the positive and negative remanent polarization values, opens, whereas in the fatigue stage, the MW closes (see Fig. 9.2.1B). In the pristine state, a strong internal bias field [14] is present that creates a constriction of the P-E hysteresis curve (see Fig. 9.2.1A and B), together with a strong polarization relaxation after switching. Both, the internal bias field and the polarization relaxation, are connected to an asymmetric, locally different distribution of charges at the top and the bottom electrode, the polymorphic phase distribution and charges located at domain walls, which are not perpendicular to the electrodes [12, 14, 39, 40]. Such asymmetries are often caused by the stronger oxidation of the bottom electrode during

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

385

the commonly used ALD deposition process compared to a minimal oxidation of the top electrode during the crystallization anneal [41]. Therefore, a lower amount of oxygen vacancies is expected at the bottom compared to the top electrode [42]. This causes a lower chemical reduction of the hafnia at the bottom electrode interface and hence decreases the oxygen vacancy concentration strongly [16, 43]. Such an oxidation was already observed for TiN [11, 40, 44] and TaN electrodes [40]. The partial oxidation of these electrodes during deposition and crystallization anneal [41, 45] can result in an accumulation of oxygen vacancies [12, 45]. The higher oxygen vacancy concentration together with a high local nitrogen diffusion into HfO2 from the TiN electrodes might lead to the stabilization of a nonferroelectric tetragonal phase [46] at the interface to TiN. It was suspected that during electric field cycling, the same oxygen vacancies are diffusing [31, 47], which could contribute to the field-induced phase change [48]. Such structural changes (see Fig. 9.2.2) have been found in a combined STEM and impedance spectroscopy study [39]. Gd:HfO2-based capacitors were subjected to different numbers of conditioning cycles representing the pristine state, the nearly woken-up state, and the onset of fatigue. Impedance spectroscopy allowed a global assessment of the capacitor and the complementing STEM measurements afforded a site-specific analysis of the atomic structure. However, STEM suffers from low sampling statistics.

Fig. 9.2.2 Single-grain segments of the capacitor stack near the electrode interface. (A) Sketch of the interfacial region next to the electrode. HAADF-STEM images of doped HfO2 in (B) pristine, (C) wake-up, and (D) fatigue conditions showing relaxation of the bulk monoclinic and tetragonal symmetry at the electrode interfaces toward the ferroelectric phase. This trend is most pronounced in the pristine and least pronounced in the fatigued case. Intensity levels are adjusted to enhance contrast near the electrode. (Figures reproduced with permission of WileyVCH from M. Pešic, F. P. G. Fengler, L. Larcher, A. Padovani, T. Schenk, E. D. Grimley, E. D., X. Sang, J. M. LeBeau, S. Slesazeck, U. Schroeder, T. Mikolajick, Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (25) (2016) 4601–4612).

386

Ferroelectricity in Doped Hafnium Oxide

Therefore, about 10 different grains per cycling stage were tested to gain more confidence in the data than just a single grain assessment would allow. For the fatigue case, an increase of the (effective) bulk defects was concluded from leakage current measurements and significant changes in the hafnia bulk while the interfaces were also observed. In the pristine state, noticeable tetragonal distortions were found at the interface region with 1nm thickness between Gd:HfO2 and the TiN electrodes. With increasing numbers of switching cycles, these interfacial regions diminished. The results of impedance spectroscopy were well matched with these findings. While the resistance change of the interfacial region was minor, the inhomogeneity decreased significantly. The authors concluded that a decreasing number of defects counteracted the interface thickness reduction. The defect-rich and nonuniform interfacial regions were proposed to be the origin of the internal bias fields [12–16] found in the pristine state. In the bulk, portions of the monoclinic phase were found in the pristine state. For the woken-up and fatigued cases, the monoclinic fractions decreased and the orthorhombic phase fractions increased significantly, which gave rise to the conclusion that a field cycling-induced phase transition took place. The reduction of the interfacial layer could be closely related to the reduced polarization relaxation after wake up. A reduced thickness of the interfacial layer or decreased dielectric constant would reduce the depolarization field directly.     Pr CIF 1 Pr εIF tF 1 Edep ¼ 1+ ¼ 1+ (9.2.1) εFE ε0 CFE εFE ε0 εFE tIF In a perfect, defect-free ferroelectric without any switching density distribution but a single switching event, this depolarization field would not be affecting the domain state (besides a reduction of the polarization value) as long as it does surpass the coercive field. However, due to the switching distribution of a real ferroelectric, this effect can lead to polarization loss of a fraction of the domains, even at lower values. A reduced thickness of the interfacial layer or reduction of the dielectric constant due to phase change would strongly reduce its influence and strength [49]. The reduction in the relaxation with wake-up could be another significant hint on that phenomenon [43]. Other studies show that a higher thermal budget during processing [50], subsequent annealing [51], or cycling at enhanced temperatures [31, 43] (see Fig. 9.2.3C) can strongly reduce the wake-up effect. However, this also leads to a higher leakage current and earlier dielectric breakdown [52],

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

387

Fig. 9.2.3 (A) Memory window evolution as a function of device cycling and temperature. Increased temperature results in an earlier fatigue onset. Higher Pr values were extracted with increasing temperatures due to the parasitic leakage current increase, which affects the 2Pr extraction procedure. (B) Polarization recovery endurance characteristics obtained (after the initially recorded endurance sequence of 109) for three different waiting times indicating the influence of the detrapping and relaxation processes. (C) Thermal wake-up dependence on elevated temperatures. (Figures reproduced with permission of Wiley-VCH from M. Pešic, F. P. G. Fengler, L. Larcher, A. Padovani, T. Schenk, E. D. Grimley, E. D., X. Sang, J. M. LeBeau, S. Slesazeck, U. Schroeder, T. Mikolajick, Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (25) (2016) 4601–4612).

which would lead to the proclaimed oxygen vacancy redistribution or generation within the ferroelectric. The wake-up effect was also found to be a function of accumulated time of pulses, not the number of switching cycles; therefore, it was frequency-dependent [31, 43] which again hints strongly at a charge contribution. In addition, the switching kinetics are connected to nucleation growth, as discussed in detail in Chapter 9.1. A study of the subcycling behavior of hafnia zirconia [16] showed that a similar bias field distribution as in the pristine case could be artificially generated after wake-up. This was achieved by switching only a portion of the domains while the other domains remained in the other polarization direction. By storing the capacitor at an elevated temperature, a local internal bias field was generated, which caused a partial shift of the hysteresis for some portion of the domains resulting in a constricted hysteresis curve due to the two different switching polarizations. It was concluded that the wake-up effect might also be caused by a similar local internal bias field mechanism causing a “split-up” of the switching distribution. Accordingly, the local bias field as a local imprint is discussed in Section 9.2.5 of this chapter. Further analysis with thermally stimulated depolarization current measurements [15] was performed to analyze the possibly responsible defect

388

Ferroelectricity in Doped Hafnium Oxide

populations in the dielectric. Singly and doubly positively oxygen vacancies were identified and determined as in the subpercent range of the three coordinated O-sites, which are the most likely positions of the vacancies within the orthorhombic hafnia lattice [53–55]. It was additionally shown that a higher concentration of oxygen vacancies is generated at the interface between the TiN electrodes and the ferroelectric, whereby a higher concentration at the top compared to the bottom electrode was suggested [16]. As mentioned above, many studies proclaimed a charge contribution to the wake-up effect, but the charge source could not be clearly identified. Thermally stimulated depolarization current measurements at room temperature revealed a negligible diffusion of oxygen vacancies in the pristine hafnia zirconia system [34] under the used electric field cycling conditions [15]. The diffusion of charged vacancies increased for enhanced temperatures. The authors concluded that electron or hole contributions are more likely a cause for the wake-up effect in hafnia zirconia. However, the contributions of oxygen vacancy diffusion for other dopants such as suggested for Sr [32] or Gd [39] cannot be excluded. Overall, mechanisms during wake-up are summarized in Fig. 9.2.5C.

9.2.4 Polarization Fatigue The fatigue behavior of ferroelectric strontium-doped hafnium oxide was recently studied by Pesˇic et al. In this study, a leakage current defect spectroscopy approach [56–58] and consequent modeling of defect concentration and distribution [12] were used to examine the quality of the dielectric in terms of defects generated/activated within the dielectric layer during the cycling stress. The influence of the field cycling on the device properties was studied in detail by using capacitors preconditioned with 103 bipolar cycles to establish a fully woken-up state. The endurance of these devices stressed with unipolar and bipolar pulses was analyzed (see Fig. 9.2.4A). It was found that only alternating switching, that is, a continuous change of the polarization state, results in an evident degradation and consequent reduction of the MW. On the other side, the unipolar stress does not strongly influence the MW significantly. The leakage current defect spectroscopy [12] showed that independent of the polarity of the unipolar stress pulses, both leakage current and memory window stayed constant, whereas for the bipolar case a direct correlation between the increase of defects and the memory window reduction was observed. Therefore, the authors suggested in accordance with [36, 37] that

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

389

Fig. 9.2.4 (A) Defect concentration evolution (extracted at 1 V) for unipolar (black) and bipolar (red) cycling. Figure redrawn from study by Pešic et al. [58]. (B) Cycles to breakdown versus remanent polarization value on a pristine sample. Data taken from Si [52], Al [unpublished], Gd [40], La [59]-doped HfO2 paper, HZO [18, 47]].

alternating polarization switching itself (continuous ion displacement within the ferroelectric crystal lattice) induces the accelerated defect generation and consequent endurance degradation, fatigue, and finally hard breakdown in contrast to the unipolar, nonswitching stress where only a slower dielectric degradation occurs. A similar result was found in various field-cycling studies [40, 43, 59]. Here, the dependence of the remanent polarization after wake-up on the endurance, which was defined as the maximum number of cycles until dielectric breakdown occurred, is analyzed (Fig. 9.2.4B). A strong dependence was observed, which might additionally hint at the hot atom degradation [36, 37] as the root cause of degradation of the ferroelectric properties. Additionally, temperature-dependent endurance measurements were performed for Sr:HfO2 capacitors [12], which revealed an earlier onset of fatigue as well as enhanced fatigue with increasing temperature (Fig. 9.2.3A). This indicates that the fatigue phenomenon has at least one temperature-activated component. However, despite strong hints on hot atom degradation in ferroelectric hafnia, it cannot be differentiated without a doubt between contributions of charge trapping at redistributed or generated defect sites. If the trapping represents a component that strongly influences the field distribution, switching properties, and resulting memory window, it is reasonable to expect that detrapping would lead to a restoration of the memory window. The authors conducted, therefore, recovery experiments on the fatigued Sr:HfO2

390

Ferroelectricity in Doped Hafnium Oxide

capacitors in order to fully assess the influence of the trapping and stack degradation (see Fig. 9.2.3B) [12, 58]. The experiment was performed as follows: After the completion of the endurance test, a second endurance experiment was performed. To investigate the time scale of the detrapping process, the waiting time between the two endurance measurements was varied. First, several devices were stressed with 109 bipolar cycles. Subsequently, each of the devices was stressed again with the same amount of cycles with progressively increasing waiting time between the runs. The first experiment was carried out in such a manner that the second endurance was recorded immediately after the first run. To provide the same initial condition after the reference cycling, the next already preconditioned device was stressed after a sequentially increased waiting time. With increasing waiting time, a stronger recovery of the remanent polarization was observed. The authors concluded that this behavior corresponds to a detrapping of electrons from occupied defects. According to this explanation, the longer the waiting time, the more defects were detrapped, resulting in domain depinning, recovery of the polarization state, and reopening of the memory window. However, an alternative explanation could be the recovery by recombination of interstitial oxygen ions and oxygen vacancy, as suggested by Mannequin et al. [60]. A recovery of the fatigue effect was also achieved by exposure to enhanced temperatures, as shown by Huang et al. [61] for yttrium-doped hafnia films. However, besides the opening of the memory window, recovery experiments utilizing high temperature [61] or relaxation time (see Fig. 9.2.3B) showed an earlier onset of the fatigue (with respect to the first endurance run). This behavior confirms a permanent degradation of the layer. This could be explained by the formation of a conductive filament due to electric field cycling and partial recovery. In polycrystalline films, the filament forms preferably at the grain boundaries due to their intrinsically high concentration of oxygen vacancies [62–65]. Domain and grain boundaries in ferroelectric hafnia are likely to overlap due to the small grain size [66, 67], which would also be in accordance with the hot atom degradation model as the main cause for the fatigue. For hafnia zirconia, an increase of the capacitance of the film in the fatigue regime was observed [16]. This was interpreted as a strong hint for domain wall pinning, which would be in accordance with the previous results. The fatigue, therefore, is most likely caused by "hot atom" degradation introducing a high concentration of oxygen vacancies at the domain and grain boundaries. Here, charges can be trapped, which can cause domain wall pinning resulting in a reduced amount of switching domains. With continuous

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

391

cycling and defect generation, the formation of a conductive path can lead finally to the dielectric breakdown event.

9.2.5 Retention Characteristics The written state in a ferroelectric can be degraded with increasing time and temperature. The so-called retention characteristic is influenced by two phenomena: Polarization relaxation and imprint. Historically, the most critical reliability degradation mechanism is the imprint [68], a shift of the polarization hysteresis likely caused by stronger charge trapping at one of the electrode/ferroelectric interfaces. The polarization relaxation can be caused by a depolarization built-in bias, which allows the domains to switch back into the opposite state. It is therefore also connected to the imprint mechanism (see wake-up, Eq. 9.2.1) [69, 70]. However, as discussed before, during wake-up the polarization relaxation in hafnia films is diminishing with the increasing number of field cycles [43]. Together with the higher coercive field compared to conventional ferroelectrics, therefore, the imprint dominates the retention characteristic of hafnia films. Imprint is strongly temperature-dependent [71] due to the enhanced trapping of charges at the ferroelectric electrode interface with increasing temperature. These charges screen the spontaneous polarization internally [72]. It strengthens the same state while weakening the opposite state [68] and leads to a shift of the PV hysteresis along the voltage axis (see Chapter 10.1: retention discussion). With respect to the imprint behavior, it is known that the shift of the hysteresis while storing in a specific polarization state is enhanced for woken-up films [66]. During electric field cycling, the unpinned domains are mostly oriented into one switching direction. The subsequent storage and local charge redistribution cause a shift of the whole hysteresis along the voltage axis [73]. The time dependency of the imprint in a semilog plot shows two “slopes”—One linear for short times and one logarithmic slope for long times [74]. The activation energies for imprint in hafnia were analyzed by Fengler et al. in two different ways. The first activation energy extracted was based on the temperature- and time-dependent shift of the coercive field after writing a state into the memory and measuring it after a certain time at room temperature [66]. Because the measurement included a cooling step, this activation energy, which was 1 eV, most likely represents a thermal relaxation. The activation energy for an electron in a doubly positively charged oxygen vacancy has a similar value [75].

392

Ferroelectricity in Doped Hafnium Oxide

The second activation energy, however, was extracted at the same temperature as used to cause the imprint [16]. This activation energy characterizes the mechanism directly responsible for the shift of the coercive field and, therefore, the trapping mechanism. It was determined as about 0.1 eV, which led the author to the conclusion that charge trapping, most likely electrons at the oxygen vacancies, is the most likely mechanism of the imprint.

9.2.6 Summary In summary, it can be concluded that the pinched hysteresis loop for the pristine state in ferroelectric hafnia-based capacitors is most likely caused by trapped charges, typically electrons at oxygen vacancies in the interfacial layer resulting in a local internal bias field, which is a “local imprint” mechanism. Further locations of oxygen vacancies could be at domain walls. The local imprint increases the electric field needed for switching for some domains while it decreases it for oppositely oriented domains. This generates a "split up" of the switching distribution by pinning the domains in their pristine polarization directions. This could be based on the electrostatic effect of the trapped charges by an inhibition of the ferroelectric switching by trapping into domain seeds. In contrast, the “macroscopic” imprint is an overall shift of the hysteresis caused when a certain polarization state is stored in the whole capacitor and charges can redistribute to compensate the ferroelectric dipole. Because a higher amount of oxygen vacancies is present at electrode interfaces, this macroscopic imprint is again caused by electron trapping into oxygen vacancies in the interfacial layer. The local imprint mechanism in the pristine, however, can be reduced during electric field cycling as a result of charge redistribution. During fatigue, it seems likely that oxygen vacancies are either generated by hot ion damage or by conversion of neutral vacancies into charged states. However, the diffusion of oxygen vacancies through the film is, for hafnia films, unlikely in the early stage of fatigue. The high concentration of oxygen vacancies at the domain boundaries in this state likely causes a domain wall pinning mechanism. The increasing concentration of oxygen vacancies increases the conductivity of the grain and domain boundaries, which leads to the forming of a filament and finally to the dielectric breakdown. Additionally, phase changes could be caused by the change in local oxygen vacancy concentration during electric field cycling. Overall, mechanisms during wake-up and fatigue are summarized in Fig. 9.2.5B.

15 10 5 0

(A)

Cycle number [1]

Phase change

Ferro mechs.

2 1.5 1 0.5 0 –0.5

Trapping/detrapping

20

Charge injection

Vo Diffusion and/or generation

30

Fatigue

Dielectric mechanisms

Wake up

Leakage current @ 1V [a.u.] |Ebias| [MV/cm]

2Pr [µC/cm2]

10 20

Alternating excitation field

Pr /Ebias /switching kinetics change

Field modification

(B)

Fig. 9.2.5 (A) Top: Evolution of the ferroelectric remanent polarization and the leakage current during bipolar cycling measured on Sr:HfO2based ferroelectric capacitors. Bottom: Extracted defect concentration and bias field evolution as well as (B) flow chart of the device lifetime mechanisms. In the red box, external excitation is given. The blue boxes depict mechanisms that result from this external stimulus and affect the dielectric properties. These mechanisms cause two separate processes that either promote or counteract the effective ferroelectric properties of the film stack (green box). (Figures reproduced with permission of Wiley-VCH from M. Pešic, F. P. G. Fengler, L. Larcher, A. Padovani, T. Schenk, E. D. Grimley, E. D., X. Sang, J. M. LeBeau, S. Slesazeck, U. Schroeder, T. Mikolajick, Physical mechanisms behind the fieldcycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (25) (2016) 4601–4612).

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

40

Defect conc. [x1019 cm–3]

50

393

394

Ferroelectricity in Doped Hafnium Oxide

References [1] T.S. B€ oscke, J. M€ uller, D. Br€auhaus, U. Schr€ oder, U. B€ ottger, Ferroelectricity in hafnium oxide thin films, Appl. Phys. Lett. 99 (10) (2011) 102903. [2] M.H. Park, Y.H. Lee, H.J. Kim, Y.J. Kim, T. Moon, K.D. Kim, J. M€ uller, A. Kersch, U. Schroeder, T. Mikolajick, C.S. Hwang, Ferroelectricity and antiferroelectricity of doped thin HfO2-based films, Adv. Mater. 27 (11) (2015) 1811–1831. [3] T. Mikolajick, S. M€ uller, T. Schenk, E. Yurchuk, S. Slesazeck, U. Schr€ oder, S. Flachowsky, R. van Bentum, S. Kolodinski, P. Polakowski, J. M€ uller, Doped hafnium oxide—an enabler for ferroelectric field effect transistors, Adv. Sci. Technol. 95 (2014) 136–145. [4] M.T. Bohr, R.S. Chau, T. Ghani, K. Mistry, The high-k solution, IEEE Spectr. 44 (10) (2007) 29–35. [5] U. Schroeder, K. Cho, S. Slesazeck, Materials for DRAM memory cell applications, in: Thin Films on Silicon, Materials and Energy, 8, World Scientific, 2016. [6] J. M€ uller, E. Yurchuk, T. Schl€ osser, J. Paul, R. Hoffmann, S. M€ uller, S. Slesazeck, P. Polakowski, J. Sundquist, M. Czernohorsky, K. Seidel, P. K€ ucher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schroeder, T. Mikolajick, Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG, in: IEEE VLSI Technology (VLSIT), 2012, pp. 25–26. [7] J. M€ uller, T.S. B€ oscke, S. M€ uller, E. Yurchuk, P. Polakowski, J. Paul, D. Martin, T. Schenk, K. Khullar, A. Kersch, W. Weinreich, S. Riedel, K. Seidel, A. Seidel, A. Kumar, T.M. Arruda, S.V. Kalinin, T. Schlosser, R. Boschke, R. van Bentum, U. Schroeder, T. Mikolajick, Ferroelectric hafnium oxide: a CMOS-compatible and highly scalable approach to future ferroelectric memories, in: IEEE International Electron Devices Meeting (IEDM), 2013, pp. 10–18. [8] N. Gong, T.P. Ma, Why is FE–HfO2 more suitable than PZT or SBT for scaled nonvolatile 1-T memory cell? A retention perspective, IEEE Electron Device Lett. 37 (9) (2016) 1123–1126. [9] S. Mueller, J. Mueller, U. Schroeder, T. Mikolajick, Reliability characteristics of ferroelectric Si:HfO2 thin films for memory applications, IEEE Trans. Device Mater. Reliab. 13 (1) (2013) 93–97. [10] S. D€ unkel, M. Trentzsch, R. Richter, P. Moll, C. Fuchs, O. Gehring, M. Majer, S. Wittek, B. M€ uller, T. Melde, H. Mulaosmanovic, S. Slesazeck, S. M€ uller, J. Ocker, M. Noack, D.-A. L€ ohr, P. Polakowski, A FeFET based super-low-power ultra-fast embedded NVM technology for 22 nm FDSOI and beyond, in: IEEE International Electron Devices Meeting (IEDM), 2017, pp. 19.7.1–19.7.4. [11] D. Zhou, J. Xu, Q. Li, Y. Guan, F. Cao, X. Dong, J. M€ uller, T. Schenk, U. Schr€ oder, Wake-up effects in Si-doped hafnium oxide ferroelectric thin films, Appl. Phys. Lett. 103 (19) (2013) 192904. [12] M. Pesˇic, F.P.G. Fengler, L. Larcher, A. Padovani, T. Schenk, E.D. Grimley, E. D, X. Sang, J.M. LeBeau, S. Slesazeck, U. Schroeder, T. Mikolajick, Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (25) (2016) 4601–4612. [13] T. Schenk, U. Schroeder, M. Pesˇic, M. Popovici, Y.V. Pershin, T. Mikolajick, Electric field cycling behavior of ferroelectric hafnium oxide, ACS Appl. Mater. Interfaces 6 (22) (2014) 19744–19751. [14] T. Schenk, M. Hoffmann, J. Ocker, M. Pesˇic, T. Mikolajick, U. Schroeder, Complex internal bias fields in ferroelectric hafnium oxide, ACS Appl. Mater. Interfaces 7 (36) (2015) 20224–20233. [15] F.P.G. Fengler, R. Nigon, P. Muralt, E.D. Grimley, X. Sang, V. Sessi, R. Hentschel, J.M. LeBeau, T. Mikolajick, U. Schroeder, Analysis of performance instabilities of

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

[16] [17] [18] [19] [20]

[21] [22] [23] [24] [25]

[26] [27] [28] [29] [30] [31] [32] [33] [34]

395

hafnia-based ferroelectrics using modulus spectroscopy and thermally stimulated depolarization currents, Adv. Electron. Mater. 4 (3) (2018) 1700547. F.P.G. Fengler, M. Hoffmann, S. Slesazeck, T. Mikolajick, On the relationship between field cycling and imprint in ferroelectric Hf0.5Zr0.5O2, J. Appl. Phys. (2018) soon to be published. H.J. Kim, M.H. Park, Y.J. Kim, Y.H. Lee, T. Moon, K.D. Kim, S.D. Hyun, C.S. Hwang, A study on the wake-up effect of ferroelectric Hf0.5Zr0.5O2 films by pulse-switching measurement, Nanoscale 8 (3) (2016) 1383–1389. M.H. Park, H.J. Kim, Y.J. Kim, Y.H. Lee, T. Moon, K.D. Kim, S.D. Hyun, F. Fengler, U. Schroeder, C.S. Hwang, Effect of Zr Content on the Wake-Up Effect in Hf1– xZrxO2 Films, ACS Appl. Mater. Interfaces 8 (24) (2016) 15466–15475. P.D. Lomenzo, Q. Takmeel, C. Zhou, Y. Liu, C.M. Fancher, J.L. Jones, S. Moghaddam, T. Nishida, The effects of layering in ferroelectric Si-doped HfO2 thin films, Appl. Phys. Lett. 105 (7) (2014) 072906. A.G. Chernikova, M.G. Kozodaev, D.V. Negrov, E.V. Korostylev, M.H. Park, U. Schroeder, C.S. Hwang, A.M. Markeev, Improved ferroelectric switching endurance of La-doped Hf0.5Zr0.5O2 thin films, ACS Appl. Mater. Interfaces 10 (3) (2018) 2701–2708. J.F. Scott, M. Dawber, Oxygen-vacancy ordering as a fatigue mechanism in perovskite ferroelectrics, Appl. Phys. Lett. 76 (25) (2000) 3801–3803. X.J. Lou, Polarization fatigue in ferroelectric thin films and related materials, J. Appl. Phys. 105 (2) (2009) 024101. W.L. Warren, D. Dimos, B.A. Tuttle, G.E. Pike, R.W. Schwartz, P.J. Clews, D.C. McIntyre, Polarization suppression in Pb(Zr,Ti)O3 thin films, J. Appl. Phys. 77 (12) (1995) 6695–6702. A.K. Tagantsev, I. Stolichnov, E.L. Colla, N. Setter, Polarization fatigue in ferroelectric films: basic experimental findings, phenomenological scenarios, and microscopic features, J. Appl. Phys. 90 (3) (2001) 1387–1402. S. Clima, D.J. Wouters, C. Adelmann, T. Schenk, U. Schroeder, M. Jurczak, G. Pourtois, Identification of the ferroelectric switching process and dopant-dependent switching properties in orthorhombic HfO2first principlesples insight, Appl. Phys. Lett. 104 (9) (2014) 092906. T.D. Huan, V. Sharma, G.A. Rossetti Jr., R. Ramprasad, Pathways towards ferroelectricity in hafnia, Phys. Rev. B 90 (6) (2014) 064111. S.V. Barabash, D. Pramanik, Y. Zhai, B. Magyari-Kope, Y. Nishi, Ferroelectric switching pathways and energetics in (Hf, Zr)O2, ECS Trans. 75 (32) (2017) 107–121. H.Y. Huang, M. Wu, L.J. Qiao, The behaviour of 180 polarization switching in BaTiO3 from first principles calculations, Comput. Mater. Sci. 82 (2014) 1–4. X. Lu, H. Li, W. Cao, Landau expansion parameters for BaTiO3, J. Appl. Phys. 114 (22) (2013) 224106. J. McPherson, J.Y. Kim, A. Shanware, H. Mogul, Thermochemical description of dielectric breakdown in high dielectric constant materials, Appl. Phys. Lett. 82 (13) (2003) 2121–2123. S. Starschich, S. Menzel, U. B€ ottger, Evidence for oxygen vacancies movement during wake-up in ferroelectric hafnium oxide, Appl. Phys. Lett. 108 (3) (2016) 032903. B. Max, M. Pesˇic, S. Slesazeck, T. Mikolajick, Interplay between ferroelectric and resistive switching in doped crystalline HfO2, J. Appl. Phys. 123 (13) (2018) 134102. J.W. McPherson, V.K. Reddy, H.C. Mogul, Field-enhanced Si–Si bond-breakage mechanism for time-dependent dielectric breakdown in thin-film SiO2 dielectrics, Appl. Phys. Lett. 71 (8) (1997) 1101–1103. A. Padovani, L. Larcher, O. Pirrotta, L. Vandelli, G. Bersuker, Microscopic modeling of HfOx RRAM operations: from forming to switching, IEEE Trans. Electron Devices 62 (6) (2015) 1998–2006.

396

Ferroelectricity in Doped Hafnium Oxide

[35] H.M. Duiker, P.D. Beale, J.F. Scott, C.A. Paz de Araujo, B.M. Melnick, J.D. Cuchiaro, L.D. McMillan, Fatigue and switching in ferroelectric memories: theory and experiment, J. Appl. Phys. 68 (11) (1990) 5783–5791. [36] M. Masuduzzaman, M.A. Alam, Hot atom damage (HAD) limited TDDB lifetime of ferroelectric memories, in: IEEE International Electron Devices Meeting (IEDM), 2013, pp. 21–24. [37] M. Masuduzzaman, D. Varghese, J.A. Rodriguez, S. Krishnan, M.A. Alam, Observation and control of hot atom damage in ferroelectric devices, IEEE Trans. Electron Devices 61 (10) (2014) 3490–3498. [38] X.J. Lou, Why do antiferroelectrics show higher fatigue resistance than ferroelectrics under bipolar electrical cycling? Appl. Phys. Lett. 94 (7) (2009) 072901. [39] E.D. Grimley, T. Schenk, X. Sang, M. Pesˇic, U. Schroeder, T. Mikolajick, J.M. LeBeau, Structural changes underlying field-cycling phenomena in ferroelectric HfO2 thin films, Adv. Electron. Mater. 2 (9) (2016) 1600173. [40] M. Hoffmann, U. Schroeder, T. Schenk, T. Shimizu, H. Funakubo, O. Sakata, D. Pohl, M. Drescher, C. Adelmann, R. Materlik, A. Kersch, T. Mikolajick, Stabilizing the ferroelectric phase in doped hafnium oxide, J. Appl. Phys. 118 (7) (2015) 072006. [41] W. Weinreich, R. Reiche, M. Lemberger, G. Jegert, J. M€ uller, L. Wilde, S. Teichert, J. Heitmann, E. Erben, L. Oberbeck, U. Schroeder, A.J. Bauer, H. Ryssel, Impact of interface variations on J–V and C–V polarity asymmetry of MIM capacitors with amorphous and crystalline Zr(1 x)AlxO2 films, Microelectron. Eng. 86 (7) (2009) 1826–1829. [42] Y. Matveyev, D. Negrov, A. Chernikova, Y. Lebedinskii, R. Kirtaev, S. Zarubin, E. Suvorova, A. Gloskovskii, A. Zenkevich, Effect of polarization reversal in ferroelectric TiN/Hf0.5Zr0.5O2/TiN devices on electronic conditions at interfaces studied in operando by hard X-ray photoemission spectroscopy, ACS Appl. Mater. Interfaces 9 (49) (2017) 43370–43376. [43] F.P. Fengler, M. Pesˇic, S. Starschich, T. Schneller, U. B€ ottger, T. Schenk, M.H. Park, T. Mikolajick, U. Schroeder, Comparison of hafnia and PZT based ferroelectrics for future non-volatile FRAM applications, in: 46th IEEE European Solid-State Device Research Conference (ESSDERC), 2016, pp. 369–372. [44] P. Calka, M. Sowinska, T. Bertaud, D. Walczyk, J. Dabrowski, P. Zaumseil, C. Walczyk, A. Gloskovskii, X. Cartoixa´, J. Sunˇe, T. Schroeder, Engineering of the chemical reactivity of the Ti/HfO2 interface for RRAM: experiment and theory, ACS Appl. Mater. Interfaces 6 (7) (2014) 5056–5060. [45] P.D. Lomenzo, Q. Takmeel, C. Zhou, C.M. Fancher, E. Lambers, N.G. Rudawski, J.L. Jones, T.N. Saeed Moghaddan, TaN interface properties and electric field cycling effects on ferroelectric Si-doped HfO2 thin films, J. Appl. Phys. 117 (13) (2015) 134105. [46] L. Xu, T. Nishimura, S. Shibayama, T. Yajima, S. Migita, A. Toriumi, Ferroelectric phase stabilization of HfO2 by nitrogen doping, Appl. Phys. Express 9 (9) (2016) 091501. [47] M.H. Park, H.J. Kim, Y.J. Kim, T. Moon, K.D. Kim, Y.H. Lee, S.D. Hyun, C.S. Hwang, Study on the internal field and conduction mechanism of atomic layer deposited ferroelectric Hf0.5Zr0.5O2 thin films, J. Mater. Chem. C 3 (24) (2015) 6291–6300. [48] R. Batra, T.D. Huan, J.L. Jones, G. Rossetti Jr., R. Ramprasad, Factors favoring ferroelectricity in hafnia: a first-principles computational study, J. Phys. Chem. C 121 (8) (2017) 4139–4145. [49] T.P. Ma, J.P. Han, Why is nonvolatile ferroelectric memory field-effect transistor still elusive? IEEE Electron Device Lett. 23 (7) (2002) 386–388. [50] S.L. Weeks, A. Pal, V.K. Narasimhan, K.A. Littau, T. Chiang, Engineering of Ferroelectric HfO2–ZrO2 Nanolaminates, ACS Appl. Mater. Interfaces 9 (15) (2017) 13440–13447.

Field Cycling Behavior of Ferroelectric HfO2-Based Capacitors

397

[51] P.D. Lomenzo, Q. Takmeel, S. Moghaddam, T. Nishida, Annealing behavior of ferroelectric Si-doped HfO2 thin films, Thin Solid Films 615 (2016) 139–144. [52] C. Richter, T. Schenk, M.H. Park, F.A. Tscharntke, E.D. Grimley, J.M. LeBeau, C. Zhou, C.M. Fancher, J.L. Jones, T. Mikolajick, U. Schroeder, Si doped hafnium oxide—a “fragile” ferroelectric system, Adv. Electron. Mater. 3 (10) (2017) 1700131. [53] N. Capron, P. Broqvist, A. Pasquarello, Migration of oxygen vacancy in HfO2 and across the HfO2 / SiO2 interface: a first-principles investigation, Appl. Phys. Lett. 91 (19) (2007) 192905. [54] P. Broqvist, A. Pasquarello, Oxygen vacancy in monoclinic HfO2: a consistent interpretation of trap assisted conduction, direct electron injection, and optical absorption experiments, Appl. Phys. Lett. 89 (26) (2006) 262904. [55] A.S. Foster, F.L. Gejo, A.L. Shluger, R.M. Nieminen, Vacancy and interstitial defects in hafnia, Phys. Rev. B 65 (17) (2002) 174117. [56] G.G. Gischia, K. Croes, G. Groeseneken, Z. To˝kei, V. Afanas, L. Zhao, Study of leakage mechanism and trap density in porous low-k materials, in: IEEE International Reliability Physics Symposium (IRPS), 2010, pp. 549–555. [57] M. Pesˇic, S. Knebel, K. Cho, C. Jung, J. Chang, H. Lim, N. Kolomiiets, V. Afanas’ ev, T. Mikolajick, U. Schroeder, Conduction barrier offset engineering for DRAM capacitor scaling, Solid State Electron. 115 ( (2016) 133–139. [58] M. Pesˇic, F.P.G. Fengler, L. Larcher, A. Padovani, S. Slesazeck, U. Schroeder, T. Mikolajick, Root cause of degradation in novel HfO2-based ferroelectric memories, in: IEEE IRPS, 17-21 April, 2016. MY-3-1-5. [59] U. Schroeder, C. Richter, M.H. Park, T. Schenk, M. Pesˇic, M. Hoffmann, F.P. G. Fengler, D. Pohl, B. Rellinghaus, C. Zhou, C.-C. Chung, J.L. Jones, T. Mikolajick, Lanthanum-doped hafnium oxide: a robust ferroelectric material, Inorg. Chem. 57 (5) (2018) 2752–2765. [60] C. Mannequin, P. Gonon, C. Vallee, L. Latu-Romain, A. Bsiesy, H. Grampeix, A. Sala€ un, V. Jousseaume, Stress-induced leakage current and trap generation in HfO2 thin films, J. Appl. Phys. 112 (7) (2012) 074103. [61] F. Huang, X. Chen, X. Liang, J. Qin, Y. Zhang, T. Huang, Z. Wang, B. Peng, P. Zhou, H. Lu, L. Zhang, L. Deng, M. Liu, Q. Liu, H. Tian, L. Bei, Fatigue mechanism of yttrium-doped hafnium oxide ferroelectric thin films fabricated by pulsed laser deposition, Phys. Chem. Chem. Phys. 19 (5) (2017) 3486–3497. [62] M. Lanza, G. Bersuker, M. Porti, E. Miranda, M. Nafrı´a, X. Aymerich, Resistive switching in hafnium dioxide layers: local phenomenon at grain boundaries, Appl. Phys. Lett. 101 (19) (2012) 193502. [63] M. Lanza, A review on resistive switching in high-k dielectrics: a nanoscale point of view using conductive atomic force microscope, Materials 7 (3) (2014) 2155–2182. [64] M. Lanza, K. Zhang, M. Porti, M. Nafria, Z.Y. Shen, L.F. Liu, J.F. Kang, D. Gilmer, G. Bersuker, Grain boundaries as preferential sites for resistive switching in the HfO2 resistive random access memory structures, Appl. Phys. Lett. 100 (12) (2012) 123508. [65] M. Masuduzzaman, S. Xie, J. Chung, D. Varghese, J. Rodriguez, S. Krishnan, M. Ashraful Alam, The origin of broad distribution of breakdown times in polycrystalline thin film dielectrics, Appl. Phys. Lett. 101 (15) (2012) 153511. [66] F.P.G. Fengler, M. Pesˇic, S. Starschich, T. Schneller, C. K€ unneth, U. B€ ottger, H. Mulaosmanovic, T. Schenk, M.H. Park, R. Nigon, P. Muralt, T. Mikolajick, U. Schroeder, Domain pinning: comparison of hafnia and PZT based ferroelectrics, Adv. Electron. Mater. 3 (4) (2017) 1600505. [67] H. Mulaosmanovic, S. Slesazeck, J. Ocker, M. Pesˇic, S. M€ uller, S. Flachowsky, J. M€ uller, P. Polakowski, J. Paul, S. Jansen, S. Kolodinski, C. Richter, S. Piontek, T. Schenk, A. Kersch, C. Kunneth, R. van Bentum, U. Schroeder, T. Mikolajick, Evidence of single domain switching in hafnium oxide based FeFETs: enabler for

398

[68]

[69]

[70] [71] [72] [73] [74] [75]

Ferroelectricity in Doped Hafnium Oxide

multi-level FeFET memory cells, in: IEEE International Electron Devices Meeting (IEDM), 2015, pp. 26–28. J. Rodriguez, K. Remack, J. Gertas, L. Wang, C. Zhou, K. Boku, J. RodriguezLatorre, K.R. Udayakumar, S. Summerfelt, T. Moise, D. Kim, J. Groat, J. Eliason, M. Depner, F. Chu, Reliability of ferroelectric random access memory embedded within 130 nm CMOS, in: IEEE International Reliability Physics Symposium (IRPS), 2010, pp. 750–758. N. Setter, D. Damjanovic, L. Eng, G. Fox, S. Gevorgian, S. Hong, A. Kingon, H. Kohlstedt, N.Y. Park, G.B. Stephenson, I. Stolitchnov, A.K. Tagantsev, D. V. Taylor, T. Yamada, S. Streiffer, Ferroelectric thin films: review of materials, properties, and applications, J. Appl. Phys. 100 (5) (2006) 051606. J.-G. Yoon, B.S. Kang, J.D. Kim, T.W. Noh, T.K. Song, Y.K. Lee, J.K. Lee, Mechanism of charge retention loss in ferroelectric Pt/Pb (Zr, Ti) O3/Pt capacitors and its relation to fatigue and imprint, Integr. Ferroelectr. 53 (1) (2003) 401–411. J.M. Benedetto, M.L. Roush, I.K. Lloyd, R. Ramesh, B. Rychlik, The temperature dependence of ferroelectric imprint, Integr. Ferroelectr. 10 (1-4) (1995) 279–288. J.J. Lee, C.L. Thio, S.B. Desu, Retention and imprint properties of ferroelectric thin films, Phys. Status Solidi A 151 (1) (1995) 171–182. Y. Zhou, H.K. Chan, C.H. Lam, F.G. Shin, Mechanisms of imprint effect on ferroelectric thin films, J. Appl. Phys. 98 (2) (2005) 024111. P. Schorn, U. Ellerkmann, D. Bolten, U. Boettger, R. Waser, Non-linear imprint behavior of PZT thin films, Integr. Ferroelectr. 53 (1) (2003) 361–369. L. Vandelli, A. Padovani, L. Larcher, R.G. Southwick, W.B. Knowlton, G. Bersuker, A physical model of the temperature dependence of the current through SiO2/HfO2 stacks, IEEE Trans. Electron Devices 58 (9) (2011) 2878–2887.

CHAPTER 9.3

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors Milan Pešic*, Luca Larcher† *

NaMLab gGmbH, Dresden, Germany DISMI, University of Modena and Reggio Emilia, Modena, Italy



9.3.1 Introduction Before entering a detailed discussion of the ferroelectric (FE) phenomena occurring with the cycling of ferroelectric memories, the basic dielectric mechanisms taking place due to bipolar cycling will be addressed. Decoupling the entangled mechanisms of FE switching dynamics and dielectric properties on the intrinsic dielectric properties (i.e., defects and charge trapping at defect centers, generation, drift, and diffusion of defects) from the ferroelectric effects (e.g., polarization switching) is essential to address the problem with a lower level of complexity. Hence, the chapter is organized as follows: First, the modeling of the most important physical mechanisms taking place in the dielectrics, such as charge transport and charge trapping at defects, and defect (e.g., ions, vacancies) generation and diffusion are discussed. This is followed by a description and modeling of the mechanisms affecting the ferroelectric memory during cycling, which are: a) Wake-up or opening of the memory window. b) Fatigue or closure of the memory window. Finally, both modeling approaches will be combined for a comprehensive physical description.

9.3.2 Models for Assessment of Dielectric and Ferroelectric Properties The aggressive scaling of device sizes down to the atomic dimensions of a few nm have exponentially increased the electron device sensitivity to individual atomic defects, which degrades the device performance (e.g., transconductance), increases variability, and threatens reliability. Atomic defects Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00018-8

© 2019 Elsevier Ltd. All rights reserved.

399

400

Ferroelectricity in Doped Hafnium Oxide

such as oxygen vacancies and interstitial ions are generated in the dielectric stacks during, for example, the fabrication process and normal device operation generated by application of the voltage excitation signal. They are responsible for the most relevant reliability phenomena occurring in logic and memory devices such as random telegraph noise (RTN), bias temperature instabilities (BTI), transconductance (gm) degradation, stress-induced leakage currents (SILC), and breakdown (BD). Predicting the device performance and reliability from fundamental material/defect properties and identifying the nature and the spatial/energy distributions of atomic defects such as vacancies and ions are crucial for process optimization and device engineering. Simulations based on physical models are needed. Hence, to accomplish this task, the multiscale modeling platform shown in Fig. 9.3.1 [1] was adjusted and applied. This simulation platform relies on the accurate description of the defect-related phenomena such as charge trapping at defects, defect-assisted charge transport, stress-induced generation of defects, and defect drift and diffusion upon the application of stress. The material-dependent parameters required for the self-consistent description of these phenomena are derived from

Material properties

3D potential and electric field

Charge trapping at atomic defects Charge transport (TAT, DD, D/FN tunneling, TE)

Power dissipation and temperature

Generation, diffusion and recombination of atomic species

ATOMIC SPECIES

Defect energies

CARRIERS TRAPPING AND TRANSPORT

Device geometry and material morphology

Energy barrier for generation, diffusion and recombination

Structural material modification

Device electrical behavior (I-V, C-V, …)

Fig. 9.3.1 Flowchart of the multiscale simulation framework reproducing the main physical phenomena occurring in a dielectric layer upon stress applications ranging from charge and ion transport to the stress-induced material modifications. The used abbreviations for the charge transport mechanisms are TAT, trap-assisted tunneling; DD, drift and diffusion; D/FN, direct/Fowler-Nordheim; and TE, thermionic emission.

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

401

ab initio/density functional theory (DFT) calculations [2, 3], thus linking the microscopic/atomic properties of the material to the electrical behavior of the device. The charge transport is modeled by taking into account a variety of conduction mechanisms, such as direct/Fowler-Nordheim (D/FN) tunneling, trap-assisted tunneling (TAT), Poole-Frenkel and thermionic emission (TE), and drift and diffusion (DD), through either the conduction/valence bands or defect subbands formed in highly degraded oxides. In binary oxides, the dominant charge transport mechanism is the TAT, whose accurate description requires accounting for the electron-phonon coupling and lattice relaxation occurring at charge-trapping events [4–9]. This in turn mandates taking accurately into account the atomic properties of the defects involved and in particular their thermal ionization (ET) and relaxation (EREL) energies [10, 11]. These two parameters are connected to the atomic defect structure, and univocally determine the electrical response along with its temperature dependence [4]. The current is calculated by considering an individual defect. The local potential is determined by accounting for defect charge state and the charge occupation. The power dissipation due to the energy released by carriers at either defects or electrodes is used to calculate the temperature profile across the device by solving the Fourier’s heat flow equation [12]. In this multiscale modeling platform, the stress-induced material modifications responsible for the dielectric degradation are consistently modeled through a material-related microscopic description of the atomic processes (e.g., precursor’s activation, bond breakage or distortion) responsible for the creation of new atomic species such as vacancies and ions. The generation rate RG of the oxygen vacancy/ion pair is calculated using an effective-energy description model [5, 6, 13] whose most relevant parameters, such as the zero-field activation energy EA,G and the bond polarization factor b, depend on the material properties and the specific bond-breakage model [14].   EA, G  bE RG ¼ η  exp  (9.3.1) kB T η is the effective bond vibration frequency; kB is the Boltzmann’s constant; and E and T are the electric field and the temperature, respectively. This formalism, proposed in the framework of the well-known thermochemical model [13], represents a more versatile formula that can also be used to account for more complex defect generation processes involving nearby existing vacancies [15] or precursor sites [14].

402

Ferroelectricity in Doped Hafnium Oxide

The generated atomic species, that is, vacancies and interstitial ions, move within the dielectric stack driven by the field and accelerated by the local temperature. Accounting for their motion (and recombination) is fundamental to describe the operation of novel devices based on charge carriers-atom interactions such as the resistive random access memory (RRAM) and to describe the degradation phenomena caused by defect generation consistently. In this modeling platform, a kinetic Monte-Carlo approach is used. The modeling platform was successfully used to describe the physical mechanisms responsible for RRAM forming and switching, which involve oxygen vacancy and ion generation and diffusion. The consistent simulation of the RRAM cycle described in [16] demonstrates the capability of the tool to account for degradation phenomena related to defect creation and diffusion in the dielectric. Hence, this will be used in Section 9.3.5 to review reports on the modeling of the degradation processes occurring within the TiN/Sr:HfO2/TiN capacitor of ferroelectric random-access memory (FeRAM).

9.3.3 Ferroelectric Properties and Polarization Hysteresis Modeling Approaches After reviewing the models needed to describe the purely dielectric processes occurring within the dielectrics, the history-dependent ferroelectric behavior and switching mechanisms in FE devices and materials are discussed. As a special type of polar oxide, ferroelectrics are described by displacement vector D, which is defined as: D ¼ εo E + P,

(9.3.2)

where εo denotes the vacuum permittivity, E the electric field, and P the dielectric polarization. Compared to classic dielectrics where P is a linear function of the external electric field, ferroelectrics are characterized by a nonlinear behavior of the polarization that also depends on the past application of the electric field. Hence, P can be expanded by adding additional polarization charge, that is, spontaneous polarization Psp, so that the displacement vector D can be expressed as: D ¼ εo ð1 + χ ÞE + Psp ¼ εo εr E + Psp ,

(9.3.3)

where χ denotes the dielectric susceptibility and εr the relative dielectric permittivity.

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

403

The complete assessment of the nonlinear behavior of the polarization charge requires modeling of the ferroelectric hysteresis. Two widely used models describing this nonlinear ferroelectric behavior have been proposed: a) the Preisach-based model of hysteresis and b) the Landau-Khalatnikov model based on the theory of phase transitions developed by LandauGinzburg-Devonshire [17] theory of phase transitions. Details of these models are reported in Section 1.3. On the other side, one of the most common models used to describe the nonlinear relation between polarization and an electric field is the Preisach model of hysteresis. This is a mathematical model first developed to be applied to ferromagnetism [18], which is based on the sum of microscopic bi-stable unites, that is, hysterons [19], that can be associated to the switching of a single ferroelectric domain. Within this section, we present a numerically stable Preisach-based [19, 20] solution of ferroelectric hysteresis that is readily available in the Synopsys TCAD simulator called the Sentaurus device [21] and the MDLSoft simulator called Ginestra [1]. According to this Preisach-based model, the polarization hysteresis can be modeled as:    1 Ps + Pr P ¼ c  Ps  tanh ln Eeff  Ec + Poff , (9.3.4) 2Ec Ps  Pr where c represents a constant, Ps the saturation polarization, Ec denotes the coercive field, Pr represents the remanent polarization, Eeff the effective internal electric field across the ferroelectric layer, and Poff denotes offset polarization. In the following section, the modeling of material implications connected to the polycrystalline phase of the FE HfO2 films is revisited.

9.3.4 Dielectric Degradation due to the Field Cycling of the Ferroelectric Storage Capacitor The annealing of the TiN/FE:HfO2/TiN structure to stabilize the orthorhombic phase (responsible for FE switching) leads to the formation of the highly granular film that has a high concentration of oxygen vacancies along the grain boundaries. Besides the granular nature of the film and the oxygen vacancies percolation paths, the annealing step causes the formation of highly defective, parasitically grown TiOx/TiOxNy interfaces (IF) [22]. Hence, the final MIM structure comprises a TiN/TiOx/FE:HfO2/ TiOxNy/TiN stack. The leakage current is modeled across the aforementioned stack using the multiphonon mediated TAT transport model

404

Ferroelectricity in Doped Hafnium Oxide

discussed in Section 9.3.2 [4, 5, 8], which is implemented in Ginestra from MDLSoft. The model was used to reproduce the temperature-dependence leakage currents [23] measured on the pristine ferroelectric capacitor (FeCap). The initial density of the O vacancy defects is 5.41019 cm3 (9 1019 cm3 at the interface) with a defect ionization energy distribution in a defect band spanning from 1 to 3.2 eV below the conduction band (CB) was obtained. In order to investigate the evolution of the defect density with cycling, the leakage current evolution with stress was monitored. The current-voltage (I-V) characteristics were monitored in a quasistatic I-V measurement step nested between the stressing pulses required for setting a positive/negative polarization state. In a recent study by Pesˇic et al. [22, 23] it was shown that leakage current remains constant during the wake-up stage, indicating that no new electrically active defects (i.e., oxygen vacancies) were generated. With the continuous cycling of the FeCaps, it can be seen that the onset of fatigue corresponds to the increase of the leakage current increase [22, 23], which points to the increase of the number of electrically active defects within the stack [22]. Recording of the static I-V was accompanied by stress-dependent monitoring of transient I-V, k-value, relaxation, and internal bias field. Trends and causality of the monitored parameters are reported in [22].

9.3.5 Simulation and Modeling of Wake-Up and Fatigue in Ferroelectric HfO2-Based Capacitors In the next step, ferroelectric properties must be accounted for. Hence, the previously discussed Preisach model of FE hysteresis (see Section 9.3.3) was used [1, 21]. In order to achieve a more physical description of ferroelectric device physics, the domain distribution, polycrystallinity, and the polyphase nature of the film have to also be taken into account, as pointed out in previous studies on the FE:HfO2 morphology [22, 24]. Using transmission electron microscopy (TEM), Grimley et al. revealed that the monoclinic (m-phase), tetragonal (t-phase), and orthorhombic phases (o-phase) could coexist within the same stack [22]. These material phases have different k-values ranging from 22 to 38, thus affecting the electric field distribution so that a nonuniform field and potential drop are expected across different portions of the device. A random number of grains of different sizes and properties is generated during the device patterning, which causes local nonuniformities that result in different local internal fields, as discussed in Chapter 9.2 [22]. It was

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

405

previously reported that exactly this presence of the internal bias field is responsible for the wake-up behavior, that is, the opening of the FE window observed in the majority of FE binary oxide devices. As pointed out in [22, 23], in FE-hafnia stacks the field nonuniformities causing the wakeup are also generated by the nonuniform charge and vacancy distribution, which accumulate preferentially at the grain boundaries and defective interfaces modifying the switching behavior of the FeCap [22]. In order to quantitatively assess the impact of the large domain granularity and the related field nonuniformity (see Fig. 9.3.2B), a 3D grain boundary of the FeCAP was implemented in the Sentaurus device [21]. Each FE domains/ grains as a slightly different coercive field Ec, remanent polarization Pr and dielectric constant εr. Furthermore, as found in the TEM study [24], an additional interfacial layer comprised of the nonswitching HfO2 phase (tetragonal) was included to comprehensively represent the full device [22]. Namely, during the crystallization (annealing) step, oxygen is absorbed by the electrodes due to the favorable electrochemical potential: The reaction between the oxygen-depleted interfacial layer and the TiN electrode leads to the formation of an interfacial TiOx layer close to the electrodes. According to ab initio studies, an oxygen-deficient HfO2 film tends to stabilize into a tetragonal (nonswitching) phase [24, 25], as confirmed by TEM studies (see Chapter 7.2). In device simulation, this tetragonal interfacial layer with a higher k value of 38 is also included to describe at best the full device.

Fig. 9.3.2 Polycristallinity and domain distributions within ferroelectric HfO2-based thin films. (A) Granular nature of polycrystalline FE HfO2 and domain (switching) distributions of the devices. (B) 3D TCAD-based model of FeCap comprising domains, grain boundaries, and interfaces. The encircled areas in (A) denote areas of FE capacitor devices that comprise a large number of grains/domains ensembles. (Reproduced with permission of Springer JCEL from M. Pešic, et al., A computational study of hafniabased ferroelectric memories: from ab initio via physical modeling to circuit models of ferroelectric device, J. Comput. Electron. 16(4) (2017) 1236–1256).

406

Ferroelectricity in Doped Hafnium Oxide

t = 0.05 s

t = 0.1 s

Current [a.u.]

t=0s

(B)

Simulated pristine

t=0s

t = 0.05 s

t = 0.1 s

Polarization [a.u.]

(A)

Simulated wake-up

(C)

Electric field [a.u.]

Fig. 9.3.3 Simulated wake-up of the TiN/IF/FE:HfO2/IF/TiN device: (A) Vacancy (red dots) evolution with the field cycling of the MIM FeCaP in three different stages (in the beginning, t¼ 0 s, middle of wake-up, t¼ 0.05 s, and in the woken-up stage, t ¼0.1 s). (B) Corresponding electric field evolution within the device with the field cycling of the FeCap in three different points in time at 4 MV/cm external applied field. IF represents a low-k interfacial layer whereas G1–G3 represent three different grains within the stack. (C) Resulting I-V and P-V characteristics obtained by removing the charges from the interface and changing the k-value of the grains undergoing a phase transformation. (Reproduced with permission of Wiley-VCH, M. Pešic, et al., Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (2016), 4601–4612).

The nonuniform field distributions within the stack in pristine conditions (caused by the multidomain nature and the oxygen vacancy and charge distribution within the stack, as shown in Fig. 9.3.3B), result in a doublepeak transient I-V (see Fig. 9.3.3C) and the corresponding constricted polarization-voltage (P-V) characteristic, which agrees well with measurements. The continuous cycling results in a redistribution of the charged vacancies by diffusion and charges. In addition, a vacancy-induced phase transition was considered [22, 25]. This allows obtaining a more uniform electric field within the device, which forces the alignment of switching fields (by removing internal bias) and results in merging of the switching peaks of the simulated I-V characteristics, accurately reproducing the experimental data and the FE window opening. Continuing the cycling beyond the wake-up phase leads to the closure of the memory window, that is, the fatigue phase. This is due to the increase of the defect concentration within the film, which reflects in the increase of the

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

407

leakage current [22, 23]. In order to correctly model the physical mechanisms responsible for this process, we used the bond breakage models [13, 14] implemented in the MDLab software (see Section 9.3.1 for details). Keeping in mind that the applied field significantly reduces bond breakage activation energy, faster oxygen vacancy defect generation occurs within the parasitically grown interfaces near the electrodes [22–24]. The higher field across these low-permittivity, defect-rich TiOx interfacial layers results in faster bond breakage and an exponentially higher degradation, that is, oxygen vacancy generation. The stress-induced defect generation, charge injection, and trapping significantly alter the local field distribution within the whole stack, impacting the switching kinetics. Moreover, these defects start assisting the TAT leakage current through paths located at the grain boundaries, which dominate the charge transport. The simulated defect concentration was fed into the 3D grain boundary model of the FeCap previously used for the modeling of the wake-up. Using this model, we verified the influence of the higher field at the interfaces on I-V and P-V characteristics observed in the fatigue phase (see Fig. 9.3.4). Here, the pure electrostatic influence of shielding is accompanied with the charge trapping that creates dipoles impeding the domain switching, which results in partial or even complete pinning of the domains [22, 23]. In order to allow comparison with undisturbed domains, the charge trapping within one corner of the top interface region was simulated. Charge injection and consequent trapping impact the local field distribution and pin the corner domain of the device shown in Fig. 9.3.5A–B. Locally increased fields initiate earlier onset of switching. However, the reduction of the total field within the bulk results in broadened peaks of the simulated I-V characteristics with decreasing magnitude, which completely resemble the experimental measured characteristics. Analogous to the measured characteristics, the simulated P-V characteristics show smoother transitions and possess reduced Pr compared to the woken-up case. By combining the inputs of electrical characterization, a defect with modeling a physical model of the mechanisms governing the wake-up and fatigue effect within the FeCap was derived (See Fig. 9.3.5). In a study by Pesˇic et al. [22], it was concluded that the mechanism responsible for the wake-up behavior is material and vacancy drift/diffusion causing the structural changes of the material (t-➔o-phase and m-➔o-phase transition) accompanied with charge redistribution. With continuing the field cycling, the polarization fatigue and degradation of the dielectric take place. The defect generation (oxygen vacancies) occurring during the memory state

408

Ferroelectricity in Doped Hafnium Oxide

Fig. 9.3.4 Charge trapping influence on the electric field: Polarization response and corresponding domain pinning due to the charge trapping of (A) woken-up and (B) fatigued stack. For reasons of clarity, single domain pinning is illustrated. Interface, IF comprises parasitically grown TiOx as well as a nonswitching portion of HfO2. Comparison of the woken up and degraded state obtained by simulation: (C) Current and voltage transients. (D) Polarization and current-voltage response. A broadening of the switching peak and consequent decrease of the remnant polarization is visible. (Reproduced with permission of Springer JCEL from M. Pešic, et al., A computational study of hafnia-based ferroelectric memories: from ab initio via physical modeling to circuit models of ferroelectric device, J. Comput. Electron. 16(4) (2017) 1236–1256).

alternation accompanied with charge injection were identified as the mechanisms that modify the switching properties of the FE film, giving rise to the pinning of domains and a consequent reduction of Pr. Even though the model includes the purely mathematical Preisach model of the hysteresis, the observed trends fully describe the behavior and features observed in the experiments. Nonetheless, a fully consistent approach would require self-consistent coupling of the phase transition theory and temperature-dependent Landau-Ginzburg model with a Poisson equation and contribution of the defects, as recently reported by Pesˇic et al. [26, 27]. Here, the nucleation and its entanglement and interplay with undesired defects are studied by a time-dependent Ginzburg-Landau model.

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

409

Fig. 9.3.5 The schematic model of the structural changes and corresponding physical effects occurring during the (A) pristine, (B) wake-up, and (C) fatigued stage of FeCap. Pinned domains are represented with the red rectangles; circles (solid line) denote the O vacancies, circles (dashed lines) a previous position of the O vacancies and circles with + and  sign denote positively and negatively charged vacancies, respectively. (Reproduced with permission of Wiley-VCH, M. Pešic, et al., Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (2016), 4601–4612).

References [1] MDLSoft Inc, www.mdlsoft.com. [2] D. Mun˜oz Ramo, J.L. Gavartin, A.L. Shluger, G. Bersuker, Spectroscopic properties of oxygen vacancies in monoclinic HfO2 calculated with periodic and embedded cluster density functional theory, Phys. Rev. B 75 (2007) 205336. [3] A.S. Foster, A.L. Shluger, R.M. Nieminen, Mechanism of interstitial oxygen diffusion in Hafnia, Phys. Rev. Lett. 89 (22) (2012) 225901. [4] L. Vandelli, A. Padovani, L. Larcher, R.G. Southwick III, W.B. Knowlton, G. Bersuker, A physical model of the temperature dependence of the current through SiO2/HfO2 stacks, IEEE Trans. Electron Devices 58 (9) (2011) 2878–2887. [5] A. Padovani, L. Larcher, G. Bersuker, P. Pavan, Charge transport and degradation in HfO2 and HfOx dielectrics, IEEE Electron Device Lett. 34 (5) (2013) 680–682. [6] A. Padovani, N. Raghavan, L. Larcher, K.L. Pey, Identifying the first layer to fail in dual layer SiOx/HfSiON gate dielectric stacks, IEEE Electron Device Lett. 34 (10) (2013) 1289–1291. [7] M. Zhang, Z. Huo, Z. Yu, J. Liu, M. Liu, Unification of three multi-phonon trapassisted tunneling mechanisms, J. Appl. Phys. 110 (2011) 114108. [8] K.A. Nasyrov, V.A. Gritsenko, Charge transport in dielectrics via tunneling between traps, J. Appl. Phys. 109 (2011) 093705.

410

Ferroelectricity in Doped Hafnium Oxide

[9] G. Jegert, A. Kersch, W. Weinreich, P. Lugli, Monte Carlo simulation of leakage currents in TiN/ZrO2/TiN capacitors, IEEE Trans. Electron Devices 58 (2) (2011) 327–334. [10] K. Huang, A. Rhys, Theory of light absorption and non-radiative transition in F-centres, Proc. R. Soc. Lond. 204A (1950) 406–423. [11] C.H. Henry, D.V. Lang, Non radiative capture and recombination by multiphonon emission in GaAs and GaP, Phys. Rev. B 15 (2) (1977) 989–1016. [12] L. Vandelli, A. Padovani, L. Larcher, G. Bersuker, D. Gilmer, P. Pavan, Modeling of the forming operation in HfO2-base resistive switching memories, in: 3rd IEEE International Memory Workshop, Monterey, CA, USA, May 22–25, 2011, pp. 119–122. [13] J. McPherson, J.Y. Kim, A. Shanware, H. Mogul, Thermochemical description of dielectric breakdown in high dielectric constant materials, Appl. Phys. Lett. 82 (13) (2003) 2121–2123. [14] L. Vandelli, A. Padovani, L. Larcher, G. Bersuker, Microscopic modeling of electrical stress-induced breakdown in poly-crystalline hafnium oxide dielectrics, IEEE Trans. Electron Devices 60 (5) (May 2013) 1754–1762. [15] G. Bersuker, et al., Metal oxide resistive memory switching mechanism based on conductive filament properties, J. Appl. Phys. 110 (12) (2011) 124518. [16] G. Bersuker, et al., Metal oxide RRAM switching mechanism based on conductive filament microscopic properties. in: 2010 International Electron Devices Meeting, San Francisco, CA, 2010, pp. 19.6.1–19.6.4, https://doi.org/10.1109/IEDM.2010.5703394. [17] P. Chandra, P.B. Littlewood, A Landau Primer for Ferroelectrics, Springer, Berlin Heidelberg, 2007, pp. 69–116. [18] C.R. Pike, et al., Characterizing interactions in fine magnetic particle systems using first order reversal curves, J. Appl. Phys. 85 (9) (1999) 6660–6667. [19] B. Jiang, Computationally efficient ferroelectric capacitor model for circuit simulation, in: Digest of Technical Papers-IEEE Symposium on VLSI Technology, 1997. [20] K. Dragosits, et al., Transient Simulation of Ferroelectric Hysteresis, in: Proceedings of the 3rd International Conference on Modeling and Simulation of Microsystems, 2000, pp. 433–436. [21] Synopsys. TCAD-Sentaurus Device User Manual, 2016. [22] M. Pesˇic, et al., Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (2016) 4601–4612. [23] M. Pesˇic, et al., Root cause of degradation in novel HfO2-based ferroelectric memories, in: 2016 IEEE International Reliability Physics Symposium (IRPS), 2016. [24] E. Grimley, et al., Structural changes underlying field-cycling phenomena in ferroelectric HfO2 thin films, Adv. Electron. Mater. (2016). [25] M. Hoffmann, et al., Stabilizing the ferroelectric phase in doped hafnium oxide Stabilizing the ferroelectric phase in doped hafnium oxide, J. Appl. Phys. 118 (7) (2015) 72006. [26] M. Pesˇic, V.D. Lecce, D. Pramanik, L. Larcher, Multiscale modeling of ferroelectric memories: insights into performances and reliability, in: 2018 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Austin, TX, 2018, pp. 111–114. https://www.doi.org/10.1109/SISPAD.2018.8551722. [27] M. Pesic, A. Padovani, S. Slesazeck, T. Mikolajick, L. Larche, Deconvoluting charge trapping and nucleation interplay in FeFETs: kinetics and reliability, in: 2018 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2018.

Modeling of Field Cycling Behavior of Ferroelectric Hafnia-Based Capacitors

411

Further Reading [28] M. Pesˇic, et al., A computational study of hafnia-based ferroelectric memories: from ab initio via physical modeling to circuit models of ferroelectric device, J. Comput. Electron. 16 (4) (2017) 1236–1256. [29] A. Padovani, D.Z. Gao, A.L. Shluger, L. Larcher, A microscopic mechanisms of dielectric breakdown in SiO2 films: an insight from multi-scale modeling, J. Appl. Phys. 121 (2017) 155101.

This page intentionally left blank

CHAPTER 10

Ferroelectric Hafnium Oxide Based Devices 10.1 Ferroelectric One Transistor/One Capacitor Memory Cell Milan Pešic*, Uwe Schroeder*, Thomas Mikolajick*,† * NaMLab gGmbH, Dresden, Germany Chair of Nanoelectronic Materials, TU Dresden, Dresden, Germany



10.1.1 Introduction In the past decade, the rise of social networks, the appearance of the Internet of Things (IoT), smart cities, autonomous driving, and the increased requirement for data storage could be witnessed. All topics together resulted in an exponential increase in data generation (big data) that continues at a tremendous pace. This can be illustrated in the best way by the fact that in the last 2 years, humanity generated an equal amount of data compared to all years prior. With the rise of big data, not only the need for new storage solutions such as solid-state disks, but also the urge for new low-power, high-speed nonvolatile memory (NVM) solutions increased. In addition to the issue of data generation, the processing speed of the same, bounded by the Von Neumann architecture, has to be improved. This mandates the gap closure between the fast random-access memory (RAM) and slow storage based on the solid-state NAND Flash and mechanical hard disk drives (HDD). Currently, different emerging memory concepts, such as phasechange RAM (PCRAM), conductive-bridge RAM (CBRAM), magnetoresistive RAM (MRAM), and ferroelectric RAM (FeRAM), are competing for the potential new NVM that is most often called storage class memory. Among the listed concepts, FeRAM offers the unmatchable low energy required for the writing of a bit of information (1 fJ) combined with high speed and nonvolatility; it could be a solution for nonvolatile random access memory (NVRAM). Besides, the classical capacitor-based FeRAM solution ferroelectric (FE) memories can also be realized based on a transistor with the ferroelectric integrated into the gate stack, which is usually called a Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00019-X

© 2019 Elsevier Ltd. All rights reserved.

413

414

Ferroelectricity in Doped Hafnium Oxide

ferroelectric field effect transistor (FeFET, see Chapter 10.4). The FeFET offers a nondestructive read-out combined with an ultimately small cell size. The classical capacitor-based FeRAM is comprised of at least one conventional MOS select transistor (1T) and one storage capacitor (1C) and has a destructive read-out (DRO). Ferroelectric tunnel junctions (FTJ) (see Chapter 10.3) are another rather new version of ferroelectric memories based on the resistance change observed in a metal ferroelectric-metal structure when the polarization is switched between two different states. The prospects of FTJs are not as clear yet as those for the other two flavors. This chapter is devoted to 1T-1C FeRAM and focuses on the benefits of using ferroelectric hafnium oxide in such devices. The chapter is organized as follows: First, the state-of-the-art FeRAM, which is based on perovskite ferroelectrics, will be discussed. Afterward, memory cell architecture and its operation are reviewed. This is followed by the properties and scalability of binary oxide-based FEs.

10.1.2 Ferroelectric Random Access Memory: Capacitor Integration The first version of ferroelectric memory was proposed in the early 1950s by MIT researchers [1]. The reported cross-point architecture used barium titanate (BTO) as an FE material and suffered from disturb issues. With the advancement of semiconductor technology, it was possible to use a metal-oxide-semiconductor (MOS) transistor as a select device to avoid disturbs. This memory cell that mimics the structure of the Dennard dynamic random access memory (DRAM) is called a FeRAM. The first commercialization goes back to the 1990s when Ramtron started producing such FeRAM devices based on ferroelectric lead zirconium titanates (PZT) [2]. Today, the most advanced products are fabricated by Texas Instruments using a 130-nm technology [3]. In the early timeframe, other perovskite ferroelectrics such as bismuth ferrite (BFO) [4] or layered perovskites such as strontium bismuth tantalate (SBT) [5] also have been tried. Even though all these materials have very well-suited ferroelectric properties, they are not the best material with respect to scaling and compatibility with CMOS processing [6]. In the case of PZT, a minimum thickness of about 70–100 nm is required due to a coercive field increase for lower PZT thickness [3, 7, 8]. FeRAMs are based on the inherent nature of the FE material that, according to the Landau theory of phase transitions, can be described with double-well potential with two stable polarization states, positive +Pr, and negative Pr, where Pr represents remanent polarization that can

Ferroelectric Hafnium Oxide Based Devices

415

represent a logical binary 0 and 1 (see also Chapter 1). Analogous to charge, the polarization scales with the area of the device (Eq. 10.1.1). When the planar capacitor devices are reduced in size, accordingly, the measurable polarization charge is reduced and currently only a three-dimensional (3D) capacitor can compensate for the area loss. In a study by Yeh et al. [9], the integration of 3D PZT capacitors with a comparable performance to the planar devices was reported, but never realized in mass production because the minimum required thickness of the PZT layer of 100 nm would not allow scaled devices below a 90–120 nm technology node. In addition, PZT proved to be extremely difficult to create the ferroelectric phase at the sidewall in scaled structures [10]. As a consequence, the miniaturization of PZT-based FeRAMs below the current technology [11] has not been pursued. As mentioned earlier, a variety of other ferroelectric materials were investigated such as SBT, BFO, and a polymer-based polyvinylidene fluoride (PVDF) mixture with tetrafluoroethylene (TFE). Besides PZT, only SBT entered mass production but failed to scale even earlier due to the difficulties of realizing the bottom contact of a stacked capacitor [6]. Discovery of the ferroelectricity in CMOS-compatible, hafnia-based materials such as hafnia and zirconia mixtures revived interest in both FeRAM and FeFETs and can enable the scalability of these devices. Similar materials were already implemented in high aspect ratio DRAM structures. Accordingly, matured deposition techniques are available to make conformal coatings and an effective 10-fold increase of available polarization has been demonstrated based on aluminum-doped hafnium oxide [12]. Up to now, a huge variety of hafnia dopants were reported, such as Al, Si, La, Y, Gd, and Sr, and various thermal budgets were considered (see Chapter 3.1). For integration of FE capacitors in a semiconductor chip after the select device transistor and plug fabrication processing, it is not allowed to exceed a thermal budget of 400–600°C to ensure a functioning plug contact. Because the doped HfO2 FE material needs to be crystalline to become ferroelectric and because the crystallization temperature is different for various dopant materials, the list of dopants in HfO2 is reduced so far to La, Gd, and Zr as possible admixtures.

10.1.3 Ferroelectric Random Access Memory Architectures and Operation The general construction of an FeRAM cell is similar to a DRAM cell. The storage capacitor, in this case a ferroelectric capacitor (FeCap), is connected in series to the select transistor (see Fig. 10.1.1B). Memory cells comprising

416

Ferroelectricity in Doped Hafnium Oxide

BL BL

WL

(A)

BL CS

WL

T1 CFE

PL T1 CFE1

T2

CBL

CFE2

Vref SA out

PL

2T/2C

BL

(B)

WL1

CFE1

WL2

CFE2

WL3

CFE3

WL4

CFE4

PL

(C)

Fig. 10.1.1 Schematic layouts and configuration of a FeRAM cell (A) 2T/2C, (B) 1T/1C, and (C) chain FeRAM cell. BL, bit-line; WL, word-line; PL, plate-line; CS, chip-select-line (selects certain chain of FeRAM cells); SA, sense amplifier; Vref, reference voltage; T, transistor; C, capacitor.

two transistors and two capacitors (2T/2C, see Fig. 10.1.1A) [13] have enhanced reliability because each cell has its own reference cell and therefore not only the signal is doubled, but all cycling degradation effects are very similar. However, obviously the cell size doubles. Therefore, a singletransistor single-capacitor (1T/1C, see Fig. 10.1.1B) configuration is preferred for high-density applications. The main difference between a DRAM and a FeRAM lies in the operation of the capacitor plate electrode and connected to these the precharge of the bitline before reading. While the DRAM plate line (PL) is grounded (or remains at half the supply voltage (VDD/2)), and the bitline is precharged to VDD/2 before sensing, the plate line needs to be pulsed and the bitline needs to be precharged to 0 V in the FeRAM case. Consequently, due to the high capacitance of the PL, the cell becomes slower [14]. Details about the cell operation and its figures of merit will be discussed below. Equivalently to the concept in the NAND Flash architecture, the storage element, that is, in the case of FeRAM a FeCap, can be connected in series (Fig. 10.1.1C). To enable access to a single cell, in this case, capacitors and corresponding select transistors must be connected in parallel. The nonselected cells are shorted using their respective select transistors. This architecture has a lower space requirement [13]. Moreover, in contrast to NAND Flash, where the reduction of read current by reading through the chain of cells results in a significant slowdown of the random access speed, here the reduced number of contacts on the PL lowers its capacitance and thus enables even faster access times compared to the traditional 1T/1C cell.

Ferroelectric Hafnium Oxide Based Devices

417

In the following, the operation principle of the 1T/1C cell will be discussed. Compared to DRAM, the PL is not grounded and plays a significant role in the operation of the 1T/1C memory cell. When the logical “0”and “1” states are being written, or the stored state of the cell is being read, the word line (WL) is pulsed to enable access to the storage capacitor. During the read operation, a voltage pulse is applied at the PL (to drive the FE storage layer into saturation) and the WL is simultaneously pulsed with the PL. As in the DRAM case, this read operation is destructive because during application of the read-pulse, the capacitor is always driven in the same polarization state. Hence, the readout operation comprises an additional rewriting step, required for setting the memory cell to its initial state. Determined by the polarization state (P+r or P r , which represents a stored 0 or 1, respectively) residing before the pulse, the resulting transient current either charges the BL capacitance or leaves it at around 0 V to rewrite the original polarization state (Fig. 10.1.2). In the following, the voltage change detected by the sense amplifier is given. The total charge Q of the system that will be preserved consists of the charge in the FeCap. It can be written as: Q ¼ 2  Pr  AFeRAM ,

(10.1.1)

where Pr represents the remanent polarization and A the area of the capacitor.If one looks at the difference between the “0” and “1” states, then the bitline capacitive charging current can be neglected, and the signal difference will only be the voltage at the bitline after charging by the switched

Fig. 10.1.2 Readout operation of the FeRAM (A) polarization versus voltage hysteresis and according (B) switching current response for the read operation depending on the previous state of the memory device.

418

Ferroelectricity in Doped Hafnium Oxide

charge. This change of the bitline voltage ΔVBL detected by the sense amplifier is given as [15]: ΔVBL ¼

2  Pr  AFeRAM CBL

(10.1.2)

Based on this derivation, it can be seen that in contrast to DRAM, where the storage capacitance is the most important property, the figure of merit of the FeRAM is the remanent polarization, which defines the difference in charge flowing to the bitline between the two memory states 1 and 0, consequently changing the bitline voltage detected by the sense amplifier.

10.1.4 Implications of Using HfO2-Based Ferroelectric Capacitors in FeRAM Memory Cells Because scaling of the perovskite or layered perovskite-based FeRAM is limited to a planar structure at a minimum technology node of about 100 nm and because it was shown earlier that hafnia-based ferroelectrics will also work in a 3D capacitor, we now want to examine the implications of using hafnia-based ferroelectrics in a 1T/1C FeRAM configuration. When looking at the data of hafnia-based ferroelectrics [16], the polarization is in the same range as for PZT, meaning that a similar signal is expected during sensing (see Eq. 10.1.2). On the other hand, two parameters are different. First, the permittivity of hafnia-based ferroelectrics is in the range of 30 while perovskites have a dielectric constant of more than 100. This will change the details of the capacitive load but will not significantly influence the basic operation of the device. Finally, however, the coercive field of doped HfO2 being about one order of magnitude higher than for PZT and even more enlarged compared to SBT needs to be carefully considered. First, a higher coercive field for doped HfO2 should result in longer retention times as the main advantage. However, because good retention times could already be reached for PZT-based memory cells, this topic might not be critical. The second consequence of the high coercive field is that one of the advantages of conventional FeRAM, the fact that the device can be operated using VDD even for technologies with supply voltages around 1 V, cannot be maintained when introducing ferroelectric hafnium oxide. With a typical coercive field of 1 MV/cm, a switching voltage of 1 V would mean that the thickness of the film needs to be as low as about 3–4 nm so that

Ferroelectric Hafnium Oxide Based Devices

419

polarization can be switched. This is assuming that the typical switching voltage is about three times the coercive field that needs to be applied to drive the polarization into saturation. This will be very challenging to achieve [17]. A third aspect of the high coercive field is its impact on reliability. Now, the field cycling during endurance stress will happen at much higher electric fields, and in many cases, even breakdown will limit the endurance [18]. Therefore, the field cycling of hafnia-based ferroelectrics was intensively discussed in Chapter 9.2 and will be briefly reviewed below. Please note that all the data presented were measured on very large test capacitors. This setup implies that the measurement itself will apply significant stress to the capacitor during every cycle. In a 1T/1C FeRAM, the capacitor would be much smaller, and it would be switched via the bitline having much lower capacitance than the components of the discrete measurement setups used for the material-related studies below. Therefore, better cycling performance is expected in a production-type 1T/1C configuration compared to the test capacitor case. Because up to now no reliable 1T/1C data has been published, this offset cannot be estimated quantitatively. However, the results presented below can be considered as a worst case for the potential FeRAM application. Optimized PZT-based FE memories provide a practically unlimited endurance by being able to withstand about 1016 cycles. Here, metal oxide electrodes needed to be introduced and PZT was doped to reduce the number of oxygen vacancies in the FE material to enhance the lifetime. The typical sequence for recording the endurance characteristics on capacitor test structures comprises a triangular read-out sequence nested between stress pulses required for setting the positive and negative polarized state, which would correspond to a programming and erase sequence in other memory devices. Again, it is clear that this test sequence will impose larger stress to the capacitor than it would be in a real write/read cycle in a FeRAM. In contrast to optimized PZT-based FeCaps, where today even on simple test capacitors extremely high cycle counts can be achieved, simple binary oxide-based capacitors exhibit limited endurance behavior with about 109 stress cycles (Fig. 10.1.3A bottom). Moreover, the field cycling behavior is characterized by an opening (wake-up) [20] and closure of the memory window (fatigue) (see Fig. 10.1.3A) similar to the case of nonoptimized PZT [21]. Further endurance is limited by a hard breakdown [22] of the ferroelectric caused by the high operation fields required for overcoming the coercive field of the FE material. In contrast to PZT, for HfO2 the operation fields are close

420

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.1.3 (A) Field cycling characteristics for HfZrO2 and La-doped HfZrO2 [19]: Remanent polarization as a function of applied field cycles at 2.3 MV/cm. (B) Cycles until breakdown for HfZrO2 films for different ZrO2 content (taken from Chapter 3.2, Fig. 3.2.9—full symbols) in comparison to a 1 at% La-doping in HfZrO2 (from Fig. 10.1.3A) (open symbols) [19].

to the breakdown field [22] due to the high coercive field of polar-doped HfO2. Further details about the wake-up, fatigue, and parasitics occurring during the lifetime of the FeCap can be found in Chapter 9.2. Even in the latest study by Chernikova et al. [19], which shows improved endurance behavior with up to 1011 cycles (Fig. 10.1.3A top: 1 at% La-doped HfZrO2), a fluctuation of the MW remains. It should be noted that even though endurance is lower compared to state-of-the-art PZT-based FeRAM, it already satisfies the requirements of some applications. Endurance values typically improve inversely proportional to the Pr value (Fig. 10.1.3B, see also Chapter 9.2, Fig. 9.2.4B), a feature that will be discussed and utilized in more detail in Chapter 10.2. The second most important characteristic of each nonvolatile memory is the ability to retain information for the specified amount of time. The retention of the FE device can be investigated using a positive-up negative-down (PUND)-like waveform (see Fig. 10.1.4A). Similar to the PUND measurement technique, the main idea lies in the ability to differentiate the pure ferroelectric response from the dielectric component of the current. For the test, four capacitors are required. A designated waveform (e.g., waveform 1) has to be applied to the discrete capacitor (e.g., capacitor 1), after which the device is baked at a certain temperature. After the baking step, the same pulse train is applied on the corresponding capacitor structure to verify the present polarization state. Results for the retention behavior of a capacitor can be different depending on the previous polarization state. Accordingly, same state (SS), new same state (NSS), and opposite state (OS) retention tests

21 31

14 23

32

41

24 34

43

44

Time [a.u.]

NSS+ = 21–12

NSS– = 43–32

SS+ = 21–11

SS– = 41–31

OS+ = 14–24

OS– = 34–44

(A)

Norm. polarization

12

bake = f(time)

Voltage [a.u.]

11

Norm. polarization

Ferroelectric Hafnium Oxide Based Devices

421

1.0 0.5 0.0 –0.5

NSS+ SS+ OS+

NSS− SS− OS−

–1.0 1.0 0.5 0.0 –0.5 –1.0 1E+0

NSS+ 1.5V NSS- 1.5V NSS+ 3V NSS- 3V

OS+ 1.5V OS- 1.5V OS+ 3V OS- 3V

1E+1 1E+2 Bake time at 125°C [h]

1E+3

(B)

(C) Fig. 10.1.4 (A) Sequence of voltage pulses used for same state (SS), new same state (NSS), and opposite state (OS) retention tests. (B) Calculation of the retention values specific for FeRAM from the respective integrated current response for FeRAM written with 3 V (top) and comparison between FeRAM written with 1.5 and 3 V (bottom). (C) Comparison of a scaled DRAM capacitor structure (1) compared to much simpler FeRAM (2) or (3) devices with 1/10 of surface area (devices not to scale).

are performed at an elevated temperature typically in the range of 85–125°C (see Fig. 10.1.4B). Detailed retention analysis of the ferroelectric memories is described by Rodriguez et al. [23]. The same methodology was applied by M€ uller et al. [24] on Si-doped HfO2-based capacitors. As shown in Fig. 10.1.4B, a stable retention performance for above 125°C is achieved. As expected, the retention behavior of the opposite state is most critical; here, a reduction in polarization is detected after 1000 h at 125°C. A detailed characterization shows that the reduction is mainly caused by

422

Ferroelectricity in Doped Hafnium Oxide

charge injection into defect states resulting in a shift of the hysteresis (imprint), as described in Chapter 9.2. Furthermore, the results indicate differences depending on the maximum applied voltage. Too low voltage results in an incomplete polarization switching of the FE layer. As expected, retention is reduced if an opposite polarization state was introduced before. In addition, higher crystallization temperatures result in a higher polar phase fraction of the material (see Chapter 3.1), lower depolarization fields, and, accordingly, an improved retention behavior is determined. Typically, the crystallization temperature is limited by the maximum allowed thermal budget in semiconductor fabrication processing. For a FeCAP structure, temperatures in the range of 500–600°C are used in general.

10.1.5 Scalability of the HfO 2-Based Ferroelectric Capacitor Comparing the scalability of an HfO2-based FeRAM to the very similar DRAM memory cell, the following assessment can be made. As mentioned above, both 1T/1C memory cells consist of a capacitor structure to store the information and a select transistor to correctly choose the capacitor device. In both cases, the stored information is identified by a sense amplifier. In the DRAM case, the charge storage in the capacitor needs to be correctly sensed. As mentioned in Section 10.1.3, in the FeRAM case, the polarization state can be characterized by measuring the switching current. Assuming that the quality of the sense amplifier is the same in a DRAM or FeRAM memory chip, the following equation can be used to determine the required relative capacitor area for both memory cells (see Eq. 10.1.1): QFeRAM ¼ AFeRAM 2P r ¼ QDRAM ¼ CV ¼ ADRAM ε0 εr V =d

(10.1.3)

By using a typical write voltage V of 0.5 V and thickness of the dielectric layer d of 6 nm for the DRAM case and a typical remanent polarization value of 15 μC/cm2 for the FeRAM case, the relative capacitor area can be determined. As a result, the FeRAM device needs about a factor 10 lower area compared to the DRAM example. Currently, a DRAM memory cell can be fabricated in F¼ 18 nm technology node having a footprint of 6F 2 as a basis of this cell with a 3D capacitor on top of the select transistor, where F means the minimum feature size. For the DRAM capacitor, an aspect ratio of 50:1 [25] is required to have a sufficient capacitor area. Accordingly, an FeRAM capacitor in the same 18 nm technology could have a reduced

Ferroelectric Hafnium Oxide Based Devices

423

aspect ratio in the current “cup-like” structure or a much simpler “pillartype” device could be assumed. Both structures could drastically reduce fabrication costs and enable much simpler scaling of the device. Because scaling of the DRAM cell has slowed down in recent years, new concepts for future scaling are required. Hence, a successful introduction of a reliable FeRAM cell could help the scalability of future 1T1C memory cells to smaller technology nodes.

10.1.6 Summary The main advantage of an FeRAM memory cell is the low energy needed for writing a bit of information, which enables a low-power NVM application. Because FE properties are visible in thin HfO2 films, an integration in high aspect ratio capacitor structures is feasible. This can be very advantageous because an FeRAM capacitor area is only 1/10 that of a corresponding DRAM capacitor providing the same amount of charge for sensing the memory state. The main topics to be resolved are related to the high coercive field of doped HfO2 films. Accordingly, reliability improvements are required for current devices. Related to this, oxygen vacancy concentrations need to be reduced to enhance the lifetime and decrease trapping (see Chapter 9.2). Hence, time- and temperature-dependent shifts of the polarization hysteresis can be avoided. Results presented in Chapter 10.2 can possibly overcome the remaining issues.

References [1] D. A. Buck, et al., Ferroelectrics for Digital Information Storage and Switching, Master Thesis, MIT Digital Computer Laboratory, 1952. [2] RAMTRON. F-RAM Technology Brief. Technical report, Ramtron, http://www. digikey.com/-Web%20Export/Supplier%20Content/ramtron-1140/pdf/ramtrontech-ferroelectric.pdf?redirected¼1, 2007. [3] J.A. Rodriguez, et al., Reliability properties of low-voltage ferroelectric capacitors and memory arrays, IEEE Trans. Device Mater. Reliab. 4 (3) (2004) 436–449. https://www.doi.org/10.1109/TDMR.2004.837210. [4] K. Maruyama, et al., New ferroelectric material for embedded FRAM LSIs, Fujitsu Sci. Tech. J. 43 (4) (2007) 502–507. [5] N. Nagel, T. Mikolajick, I. Kasko, W. Hartner, M. Moert, C. Pinnow, C. Mazure, An overview of FeRAM technology for high density applications, MRS Proc. 655 (2000), CC1.1.1. https://doi.org/10.1557/PROC-655-CC1.1.1. [6] C.U. Pinnow, et al., Material aspects in emerging nonvolatile memories, J. Electrochem. Soc. 151 (6) (2004) K13–K19. [7] J.F. Scott, C.A. Paz de Araujo, Ferroelectric memories, Science 246 (1989) 1400.

424

Ferroelectricity in Doped Hafnium Oxide

[8] J.F. Scott, et al., Switching kinetics of lead zirconate titanate submicron thin-film memories, J. Appl. Phys. 64 (1988) 787, https://doi.org/10.1063/1.341925. [9] C.-P. Yeh, et al., Fabrication and investigation of three-dimensional ferroelectric capacitors for the application of FeRAM, AIP Adv. 6 (3) (2016) 35128. [10] J.-M. Koo, et al., Fabrication of 3D trench PZT capacitors for 256Mbit FRAM device application. in: IEEE International Electron Devices Meeting, IEDM Technical Digest, 2005. https://doi.org/10.1109/IEDM.2005.1609345. [11] G. Fox et al., “Thin films texture and scaling effects in ferroelectric random access memory,” in Gordon Research Conference (Ceramics, Solid State Studies). [12] P. Polakowski, et al., Ferroelectric deep trench capacitors based on Al:HfO2 for 3D nonvolatile memory applications, in: IEEE 6th International Memory Workshop (IMW), 2014, pp. 1–4. [13] A. Sheikholeslami, P.G. Gulak, A survey of circuit innovations in ferroelectric randomaccess memories, Proc. IEEE 88 (5) (2000) 667–689. [14] M. Pesˇic, et al., Anti-ferroelectric-like ZrO2 non-volatile memory: inducing nonvolatility within state-of-the-art DRAM, in: IEEE Non-Volatile Memory Technology Symposium (NVMTS), 2017, pp. 1–4. [15] K. Kim, D.J. Jung, Future memory technology and ferroelectric memory as an ultimate memory solution, in: M. Lallart (Ed.), Ferroelectrics—Applications, IntechOpen, 2011. https://doi.org/10.5772/18550. [16] T. Mikolajick, et al., Doped hafnium oxide—an enabler for ferroelectric field effect transistors, Adv. Sci. Technol. 95 (2014) 136–145. [17] T.D. Hadnagy, Materials and production characterization requirements for the production of FRAM memory products, Integr. Ferroelectr. 18 (1–4) (1997) 1–17, https://doi.org/10.1080/10584589708221681. [18] E. Yurchuk, J. M€ uller, S. M€ uller, J. Paul, M. Pesˇic, R. van Bentum, U. Schroeder, T. Mikolajick, Charge-trapping phenomena in HfO2-based FeFET-type nonvolatile memories, IEEE Trans. Electron Devices 63 (9) (2016) 3501–3507. [19] A.G. Chernikova, M.G. Kozodaev, D.V. Negrov, E.V. Korostylev, M.H. Park, U. Schroeder, C.S. Hwang, A.M. Markeev, Improved ferroelectric switching endurance of La-doped Hf0. 5Zr0. 5O2 thin films, ACS Appl. Mater. Interfaces 10 (3) (2018) 2701–2708. [20] M. Pesˇic, F.P. Fengler, L. Larcher, A. Padovani, T. Schenk, E.D. Grimley, X. Sang, J.M. LeBeau, S. Slesazeck, U. Schroeder, T. Mikolajick, Physical mechanisms behind the field-cycling behavior of HfO2-based ferroelectric capacitors, Adv. Funct. Mater. 26 (2016) 4601–4612, https://doi.org/10.1002/adfm.201600590. [21] F.P.G. Fengler, et al., Comparison of hafnia and PZT based ferroelectrics for future non-volatile FRAM applications, in: 2016 46th European Solid-State Device Research Conference (ESSDERC), 2016, pp. 369–372. [22] M. Pesic, et al., Root cause of degradation in novel HfO2-based ferroelectric memories, in: 2016 IEEE International Reliability Physics Symposium (IRPS), Pasadena, CA, 2016, pp. MY-3-1-MY-3-5. https://doi.org/10.1109/IRPS.2016.7574619. [23] J. Rodriguez, et al., Reliability of ferroelectric random access memory embedded within 130 nm CMOS, in: Proceedings of the 2010 IEEE International Reliability Physics Symposium (IRPS), Garden Grove, CA, USA, 2010, pp. 750–758. [24] S. Mueller, J. Muller, U. Schroeder, T. Mikolajick, Reliability characteristics of ferroelectric Si:HfO2 thin films for memory applications, IEEE Trans. Device Mater. Reliab. 13 (1) (2013) 93–97, https://doi.org/10.1109/TDMR.2012.2216269. [25] U. Schroeder, K. Cho, Materials for DRAM memory cell applications, in: Thin Films on Silicon, Materials and Energy, vol. 8, World Scientific, 2016.

CHAPTER 10.2

Antiferroelectric One Transistor/ One Capacitor Memory Cell Milan Pešic, Uwe Schroeder NaMLab gGmbH, Dresden, Germany

10.2.1 Doped HfO2 and ZrO2 for DRAM and FRAM Applications While searching for the high-k phase that could enable scaling the dynamic random access memory (DRAM), researchers from Qimonda were investigating the electrical characteristics of doped HfO2 and ZrO2 films. By doping HfO2 and performing capacitance-voltage (C-V) measurements, they observed anomalous behavior compared to classic C-V performance as that present in linear dielectric metal-insulator-metal (MIM) capacitor structures. After completing polarization-voltage measurements (P-V), Boescke et al. [1] reported that besides the ferroelectric hysteresis observed for 4.4% cationic doping with Si, higher doping concentrations of Si and pure ZrO2 thin films [2] sandwiched between the TiN top and bottom electrode resulted in an antiferroelectric (AFE)-like or field-induced ferroelectric (FFE) behavior. An AFE hysteresis loop is characterized by two hysteresis branches occurring for positive and negative fields called the critical field, Ecr. Up to now, two theories about the occurrence of these AFE properties have been considered: (1) according to Kittel [3], the AFE film comprises antiparallel lattice (domains) that cancel each other at zero field, resulting in zero remanent polarization after the removal of the electric field; (2) a centrosymmetric-tetragonal phase that is responsible for the AFE behavior is being transformed to a noncentrosymmetric, possibly orthorhombic phase resulting in a polarization-hysteresis when the critical field for transformation is reached [4]. This transformation is volatile, as with the removal of the external bias this behavior is lost and returned in a previous stage. Independent of the theory and in contrast to ferroelectrics (FE) that are characterized by a remanent polarization Pr, AFE materials are volatile and cannot be employed for nonvolatile applications.

Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00020-6

© 2019 Elsevier Ltd. All rights reserved.

425

426

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.2.1 The response of Si-doped HfO2 mixtures (0 cation% Si linear dielectric; 4.4 cat% Si ferroelectric; 6 cation% Si antiferroelectric) on an externally applied electric field. Polarization-voltage characteristics for linear dielectric (red-solid) ferroelectric (dashedgray), and antiferroelectric (black-solid line) Si-doped hafnium films.

One interesting feature of the AFE material is that its endurance strength is orders of magnitude higher than its FE counterparts [5] (see also Chapters 9.2 and 10.1), a property that is of high interest for memory applications. Besides this, AFE ZrO2 and highly Si-doped HfO2 have properties that make them attractive for memory applications: A reasonable high dielectric constant as well as very good leakage and reliability performance (Fig. 10.2.1).

10.2.2 Theoretical Basics of Antiferroelectric Nonvolatile Memory Another very important feature of an AFE material is that the two branches of the AFE hysteresis can be operated independently [6] without losing the high endurance potential. Hence, it was proposed to utilize this high endurance property and integrate the material into a nonvolatile memory (NVM) stack [5, 6]. To understand this approach, an analysis of the free energy potential responsible for the AFE switching is required. Based on the LandauGinzburg-Devonshire (LGD) theory [7], in the vicinity of a phase transition, the stationary free energy potential shown in Fig. 10.2.2A (without a builtin electric field) can be represented as a polynomial expansion of the electrical polarization as discussed in Chapter 1. It was pointed out that the electric field component of the equation can be separated into [6, 8]: E ¼ Eexternal  Ebuiltin

(10.2.1)

Antiferroelectric One Transistor/One Capacitor Memory Cell

427

Fig. 10.2.2 (A) Free energy and corresponding (B) polarization hysteresis of an antiferroelectric material at zero external fields with (solid) and without (dotted) internal bias field. The shift in the polarization characteristics along the voltage axis results in a remanent polarization and therefore enables nonvolatility. (C) Free energy of an antiferroelectric material with internal bias field as a function of the applied external field together with the corresponding nonvolatile AFE-like hysteresis (center). (Reproduced from M. Pešic, M. Hoffmann, C. Richter, T. Mikolajick, U. Schroeder, Nonvolatile random access memory and energy storage based on antiferroelectric like hysteresis in ZrO2, Adv. Funct. Mater. 26 (41) (2016) 7486–7494 with permission of Wiley-VCH).

where Eexternal represents the external electric field excitation and Ebuiltin denotes the induced built-in bias field. This built-in bias can be used to modify the AFE free energy potential, as shown in Fig. 10.2.2 (red trace). Due to the bias field, the P-V characteristics of the AFE are biased and shifted along the field axis. Hence one branch of the double hysteresis is centered in such a way that an operation between the polarized and nonpolarized state is

428

Ferroelectricity in Doped Hafnium Oxide

possible [5, 6]. Accordingly, an operation scheme of a classical single transistorsingle capacitor (1T/1C) FeRAM cell can be utilized [9] (see Chapter 10.1). Because switching occurs between a polarized and a nonpolarized state, the switching fields are drastically reduced by about a factor of 2. Hence, remanent polarization values are half the FE case. Energetically, in contrast to FE materials where the double well potential is centered around the origin, here the double well potential energy is shifted, for example, toward more negative fields (see Fig. 10.2.2A). Due to the lower barrier height compared to the FE case, the polarization states are therefore less stable and more sensitive to high temperatures, as shown by simulation and experiments [5, 6]. To generate such a built-in bias field, two stabilization paths were suggested: a) Usage of electrodes with different work function (WF) values [5, 6]. b) Usage of an interface layer including fixed charges or dipoles at the hetero-interface between the two high-k materials [10, 11]. In the first approach, the built-in bias field Ebuiltin discussed in Eq. (10.2.2) is generated as: Ebuiltin ¼

1

ðWF TE  WF BE Þ, (10.2.2) tFE e where tFE represents the thickness of the dielectric, e the elementary charge, WFTE the work function value of the top electrode, and WFBE the work function value of the bottom electrode. The second approach can be split into two physical effects, that is, the needed built-in bias can be generated by introduction of an (a) interfacial dipole [12]; or (b) fixed charges between dielectric layers [13]. In the latter case, the composition change from one material to another can induce negative or positive fixed charges [13] that modify the free energy of the system. Similarly, a surface density difference of oxygen atoms at the interface between two oxide materials can result in a dipole formation [12]. This built-in bias, especially in the WF-engineered case, depends on the thickness of the AFE layer as the work function difference is factored with the thickness to generate the built-in field that shifts the hysteresis. Hence, to shift the position of the peak sufficiently and enable nonvolatility, thin AFE films are necessary. After above-discussed theoretical considerations, in following the fabrication of the AFE-nonvolatile memory storage capacitor will be reviewed.

10.2.3 Realization of Antiferroelectric Nonvolatile Memory According to the previously discussed methods for generation of the built-in bias, two types of antiferroelectric capacitors were fabricated. In both

Antiferroelectric One Transistor/One Capacitor Memory Cell

429

processing schemes, an AFE ALD-grown ZrO2 film is deposited on the TiN bottom electrode (BE). In the WF-engineered scheme, two different top electrodes are fabricated on crystallized ZrO2: TiN and RuO2. Details can be found in Refs. [5, 6]. In the second approach, Al2O3 was deposited on top of a TiN/ZrO2 stack and the overall dielectric stack was capped with a TiN top electrode. The following anneal induced the desired AFE tetragonal phase, and a standard device patterning was performed to fabricate the TiN/ZrO2/Al2O3/TiN capacitor structure [11]. Advantages and disadvantages of both approaches will be addressed later. By performing the standard dynamic hysteresis test on the symmetric capacitor structures, AFE-like behavior characterized with double-loop hysteresis in P-V and two double-peak I-V systems was observed (see Fig. 10.2.3A) [6]. Repetition of the same type of measurement on the same structure with RuOx TE resulted in a centered ferroelectric-like I-V and P-V behavior [5, 6]. A similar behavior was found for devices after interface engineering to form a dipole (fixed charge) layer [11]. Even though the interface engineering approach is fully CMOS compatible and does not require RuOx deposition, the usage of an interface can have negative implications on the device performance. The interfacial layer can result in effects commonly observed in field effect transistors such as:

Fig. 10.2.3 Comparison of the electrical properties of TiN/ZrO2 AFE material stacks with (A) work function (RuOx top electrode) and (B) interface engineering (with an Al2O3 interface and TiN top electrode) approach. Preservation of AFE behavior within both the TiN/ZrO2/RuOx and the TiN/ZrO2/Al2O3/TiN stack is observed by extending the voltage amplitude to more positive fields.

430

Ferroelectricity in Doped Hafnium Oxide

a) A voltage drop over the interfacial layer requires the use of higher voltages and hence can have implications on low power usage and degradation of the device. b) Depolarization fields in the nonpolar dielectric interface layer that tend to flip the stored state and cause a retention loss. c) The interfacial state between the two materials together with high voltage can facilitate leakage of the entire cell (see Fig. 10.2.3B). Details of the latter approach are discussed in Ref. [11]. To extend the study on the devices that could be potentially used in a single transistor cell (1T-memory cells) configuration, ZrO2/TiN and ZrO2/ RuOx stacks were deposited on the SiO2 and Si substrates forming a metal oxide semiconductor (MOS) stack. Analogous to the MIM AFE-RAM device, it was reported that MOS-based capacitors exhibited shifted, wake-up free current switching characteristics with a centered right branch of the P-V hysteresis [5]. Furthermore, as ultimately scaled FE devices suffer from higher variability, to investigate possible improvements or drawbacks, the variability of AFE-like films should be investigated. To address this fundamental question, first-order reversal curves (FORC) were recorded [6, 10]. Utilization of the AFE-RAM films yields not only a reduction of the coercive field but also a decrease of the variability (distribution) of both the coercive field and the built-in bias compared to the FE equivalents [10]. Even though this attractive feature together with the scalability of the AFE-effect observed in PFM measurements [10] might pave the path for utilization of the AFE-RAM based stacks in ultimately scaled 1X nm devices, the huge obstacle to be overcome is manifested through the increasing depolarization field Edep and retention instability (the Edep/Ec ratio is directly related to the retention behavior [10]). Therefore, it can be concluded that an AFE nonvolatile memory could be more suitable as the capacitor-based solution integrated into 1T/1C cells [14]. In the next section, endurance, retention, and imprint as the main reliability detractors of the (A)FE-based devices will be discussed.

10.2.4 Performance and Reliability of Antiferroelectric Capacitor After a detailed discussion of the basic properties of the AFE-based device, the reliability features should be reviewed. At the beginning of Section 10.2.2, it was mentioned that AFE devices have enhanced endurance strength compared to FE-based equivalents. To verify this observation

Antiferroelectric One Transistor/One Capacitor Memory Cell

431

and confirm the hypothesis, endurance experiments were executed on the typical 10 nm thick FE Si:HfO2 and equivalent ZrO2-based MIM capacitor with two TiN electrodes. To simulate the equivalent stress, a field amplitude of 3 MV/cm was used as the program/erase (PRG/ERS) condition. Indeed, utilization of the AFE film resulted in significantly improved field cycling performance with respect to the FE-based capacitor equivalent. Almost wake-up and fatigue-free cycling behavior were found for a ZrO2 AFE material with a TiN bottom and an RuO2 top electrode up to 109 cycles. This feature could qualify the AFE-based memories after further optimization not only for embedded but also for standalone memory solutions. In case of the Pt TE, the built-in bias increases due to the higher WF difference between the TE and BE. Even though the increased offset could potentially improve the retention of AFE-RAM, the strong asymmetry between the top and bottom injection causes imprint-like behavior and a reduction of the polarization magnitude. As one of the most important features of nonvolatile memories, retention of the proposed concepts was investigated. Retention of polarization-based memories is discussed in detail in Refs. [15, 16] and was reviewed in Chapter 10.1. Typically, positive/negative opposing state (OS), positive/ negative same state (SS), and its “new” equivalents (NSS+/) were defined (see Chapter 10.1 for details). The recording sequence of these states is depicted in Section 10.1.3 while the procedure comprising four sequences can be explained as follows. For the retention test, four devices are required; a single sequence is applied on the desired device after which the device is placed in an oven for baking; upon the end of the baking step, the same voltage sequence is applied to the same device (see Section 10.1.4 for details). It was reported that all states showed the possibility to retain the stored state at least up to 85°C, which rounds up the nonvolatility performance of the suggested concept. Nonetheless, as predicted by simulation, a reduced retention capability compared to FeRAM is observed. As the last reliability test, an imprint measurement was performed only for the (A)FE device. Imprint denotes a shift of the hysteresis characteristics due to the field generated by the stored polarization state (see Chapter 9.2 for details). In contrast to the FE-based memories that utilize positive and negative polarization states, an AFE-RAM uses one polarized and one nonpolarized state. Therefore, an imprint for only the polarized state is expected and could be confirmed by experiments. Moreover, a too high work function difference between the TE and BE might result in imprint during field cycling (see Fig. 10.2.4) and operation of the device [9].

432

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.2.4 (A) Comparison of the endurance characteristics recorded on a TiN/ZrO2/ RuOx (triangles), TiN/ZrO2/Pt (diamonds), and TiN/ZrO2/TiN (circles) antiferroelectric capacitor. Strong biasing and imprint-like behavior are observed for capacitors with high work function difference. (B) Retention of the OS, SS, NSS, and its negative equivalents recorded at 85°C. (C) Imprint behavior of a TiN/ZrO2/RuOx capacitor in the polar and nonpolar state.

10.2.5 Integration and Operation of an Antiferroelectric Capacitor for Nonvolatile Memory Applications In ZrO2-based DRAM capacitors, an Al2O3 interlayer was used for blocking the grain boundary propagation and leakage reduction, which is beneficial for preservation of the stored charge state and in turn improves the reliability and lifetime of the capacitor stack. For a ZrO2 (A)FeRAM capacitor, it was shown [5] that use of an ultrathin Al2O3 interlayer does not strongly influence the coercive voltage and polarization properties of the stack, but significantly reduces the leakage of the cell. Moreover, as in the DRAM case, in 1T/1C polarization-based memories, the charge is the figure of merit that determines how many cells can be connected to a given bit line. Hence, depending on the residing state of the storage capacitor, a high or low magnitude current is being detected. Details about the cell functionality can be found in Ref. [9] and are discussed in Chapter 10.1. In contrast to FeRAM where positive and negative remanent polarization defines the MW of 2Pr, AFE-RAM uses only one polar state, therefore effectively halving the

Antiferroelectric One Transistor/One Capacitor Memory Cell

433

MW to just Pr. As polarization scales with area, integration of these capacitors into high aspect ratio three-dimensional structures would be beneficial. A recent study [5, 6] pointed out that even in the state-of-the-art DRAM capacitors based on ZrO2/Al2O3/ZrO2 dielectric stacks, AFE behavior is present. Accordingly, measurements could be performed on integrated high aspect ratio capacitors (32:1) realized in 46 nm buried word-line technology, which showed a tremendous boost of the switching current and polarization charge. It was estimated that a 2Pr value of 180 μC/cm2 could be reached [5]. Hence, a standard DRAM ZrO2 3D capacitor could be adjusted for (A)FeRAM applications just by changing the top electrode. In the memory array, only the cell layout needs to be changed by adding an additional plate line to the DRAM cell (Fig. 10.2.5).

Fig. 10.2.5 (A) P-V characteristics of ZAZ-based AFE-RAM biased for different voltage ranges. (B) P-V curve for a two-dimensional (right axis, solid) and a three-dimensional (32:1 aspect ratio, left axis, dashed) ZrO2-based capacitor. (C) Endurance of a threedimensional ZAZ capacitor recorded with 4 V at 300 kHz [8].

434

Ferroelectricity in Doped Hafnium Oxide

One of the biggest advantages of the AFE-RAM compared to FeRAM is the critical field needed for switching, which is only half the common coercive field of the hafnia-based FE materials, thus halving the operation voltage for the AFE device. Compared to binary oxide FE memories operated at 3 MV/cm, AFE-RAM requires voltages in the range of 1.5 MV/cm [14]. As the AFE-RAM uses the equal stack to state-of-the-art DRAM, which is typically operated at 0.5–0.6 V, only a slight increase of the film thickness (from 7 to 8.5 nm) would enable an operation voltage of 1.2 V. This remarkable feature fulfills the reliability requirements of the standalone memories extrapolated to 10 years [14].

10.2.6 Summary In summary, it can be concluded that the recent discovery of antiferroelectricity in a doped-HfO2 or a ZAZ stacked dielectric layer, which is a standard capacitor dielectric material of current DRAM memory cells, can pave a new way toward a nonvolatile memory with an endurance comparable to DRAM [17]. This functonality could be ascribed to the halved operation voltage of the AFE-based compared with the FE-based NV memory cell. The mature technology and fabrication processes are another critical merit of these materials. AFE-based nonvolatile memories are characterized by low-voltage switching, which reduces the operation-induced degradation and enhances the endurance of the memory tremendously. Even though this endurance gain comes with a retention penalty, AFE-based memories represent a low-power memory solution that could fulfill the requirements of standalone memories when results are extrapolated to 10-year specification requirements.

References [1] T.S. B€ oscke, et al., Ferroelectricity in hafnium oxide thin films Ferroelectricity in hafnium oxide thin films, Appl. Phys. Lett. 102903 (2011) 0–3. [2] J. M€ uller, et al., Ferroelectricity in simple binary ZrO2 and HfO2, Nano Lett. 12 (8) (2012) 4318–4323. [3] C. Kittel, et al., Theory of antiferroelectric crystals, Phys. Rev. 82 (1951) 729. [4] A.K. Tagantsev, et al., The origin of antiferroelectricity in PbZrO3, Nat. Commun. 4 (2013) 2229. [5] M. Pesic, S. Knebel, M. Hoffmann, C. Richter, T. Mikolajick, U. Schroeder, How to make DRAM non-volatile? Anti-ferroelectrics: a new paradigm for universal memories, in: 2016 IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, 2016, pp. 11.6.1–11.6.4, https://doi.org/10.1109/IEDM.2016.7838398.

Antiferroelectric One Transistor/One Capacitor Memory Cell

435

[6] M. Pesˇic, M. Hoffmann, C. Richter, T. Mikolajick, U. Schroeder, Nonvolatile random access memory and energy storage based on antiferroelectric like hysteresis in ZrO2, Adv. Funct. Mater. 26 (41) (2016) 7486–7494. [7] P. Chandra, P.B. Littlewood, A Landau Primer for Ferroelectrics, Springer, Berlin Heidelberg, 2007, pp. 69–116. [8] M. Pesˇic, Gate Stack Engineering for Emerging Polarization based Non-volatile Memories, PhD Thesis, TU Dresden. BoD-Books on Demand, 2017. [9] M. Pesˇic, M. Hoffmann, C. Richter, S. Slesazeck, U. Schroeder, T. Mikolajick, Antiferroelectric-like ZrO2 non-volatile memory: inducing non-volatility within state-ofthe-art DRAM, in: 17th Non-Volatile Memory Technology Symposium (NVMTS), Aachen, 2017, pp. 1–4, https://doi.org/10.1109/NVMTS.2017.8171307. [10] M. Pesic, et al., Anti-ferroelectric ZrO2, an enabler for low power non-volatile 1T/1C and 1T random access memories, in: 47th European Solid-State Device Research Conference (ESSDERC), Leuven, 2017, pp. 160–163, https://doi.org/10.1109/ ESSDERC.2017.8066616. [11] M. Pesˇic, et al., Built-in bias generation in anti-ferroelectric stacks: methods and device applications, IEEE J. Electron Devices Soc. (2018), https://doi.org/10.1109/ JEDS.2018.2825360. [12] K. Kita, A. Toriumi, Origin of electric dipoles formed at high-k/SiO2 interface, Appl. Phys. Lett. 94 (13) (2009) 132902. [13] D.K. Simon, P.M. Jordan, T. Mikolajick, I. Dirnstorfer, On the control of the fixed charge densities in Al2O3-based silicon surface passivation schemes, ACS Appl. Mater. Interfaces 7 (51) (2015) 28215–28222. [14] M. Pesˇic, U. Schroeder, S. Slesazeck, T. Mikolajick, Comparative study of reliability of ferroelectric and anti-ferroelectric memories, IEEE Trans. Device Mater. Reliab. (2018), https://doi.org/10.1109/TDMR.2018.2829112. [15] J. Rodriguez, et al., Reliability of ferroelectric random access memory embedded within 130 nm CMOS, in: IEEE International Reliability Physics Symposium, 2010, pp. 750–758. [16] S. Mueller, J. Muller, U. Schroeder, T. Mikolajick, Reliability characteristics of ferroelectric Si:HfO2 thin films for memory applications, IEEE Trans. Device Mater. Reliab. 13 (1) (2013) 93–97, https://doi.org/10.1109/TDMR.2012.2216269. [17] Schroeder, U. and Pesic, M., NaMLab gGmbH, 2017. Application of Antiferroelectric Like Materials in Non-Volatile Memory Devices. U.S. Patent Application 15/057,884.

This page intentionally left blank

CHAPTER 10.3

Ferroelectric Tunnel Junction Shosuke Fujii, Masumi Saitoh Toshiba Corporation, Tokyo, Japan

10.3.1 Introduction A ferroelectric tunnel junction (FTJ) is a two-terminal, nonvolatile memory composed of a thin ferroelectric layer sandwiched between conductive metal electrodes (Fig. 10.3.1). By application of an electric field across the stack, polarization reversal occurs, and this leads to modulation of the barrier height in the ferroelectric layer. The change in the barrier height could significantly alter the tunneling current across the stack. Therefore, the tunneling current can detect the direction of the polarization. In other words, data can be stored in an FTJ as a direction of the polarization, and the data can be read out as the tunneling current across the stack. The nonvolatile memory function is realized in this manner. Because the FTJ utilizes modulation of the tunneling current, not the ferroelectric switching current, the direction of the polarization can be detected nondestructively. This is advantageous compared with current ferroelectric random access memory (FeRAM) technology because FeRAM requires a destructive reading scheme [1]. The concept of the FTJ was first proposed by L. Esaki et al. in the 1970s as a “polar switch.” Experimental studies on the FTJ, however, only began in recent years because of difficulties in the fabrication of an ultrathin ferroelectric layer that allows detectable tunneling current to flow. Successful operation of an FTJ was demonstrated in 2009 with an ultrathin BaTiO3 ferroelectric layer [2]. The change in the tunneling current as a result of polarization reversal is often called the tunneling electroresistance (TER) effect. The detailed mechanisms of the TER effect have been studied theoretically. The asymmetric screening length effect [3, 4], the strain effect [4], the composite potential barrier effect [4, 5], and the reversible metallization effect [6] have been suggested. Among them, FTJs with composite barriers are theoretically expected to exhibit a larger TER effect, owing to larger modulation of the potential profile induced by polarization reversal. A recent experimental study has demonstrated the significant enhancement of the TER effect Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00021-8

© 2019 Elsevier Ltd. All rights reserved.

437

438

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.3.1 Schematic illustrations for the potential profiles of an FTJ composed of a ferroelectric (FE) layer sandwiched by the bottom (M1) and top (M2) electrodes with different screening length. Dotted gray lines show the potential profiles without taking into account the effect of remanent polarization. Solid black lines show potential profiles modulated by the polarization.

for a composite barrier that combines a perovskite BaTiO3 ferroelectric layer and a paraelectric SrTiO3 layer [7]. The TER effect could also depend on the current transport mechanism across the stack. Current transport across a thin insulator is broadly classified into three mechanisms: direct tunneling, Fowler-Nordheim (FN) tunneling, and thermionic current [8]. At low voltage, the transport mechanism across a thin ferroelectric layer is mainly direct tunneling while FN tunneling dominates in the relatively high-voltage range. Thermionic current could be dominant when the ferroelectric layer is thick enough to suppress direct tunneling current. All three types of current are expected to show the TER effect, and these tend to have a higher TER value for a thicker ferroelectric layer. However, it should be emphasized here that the higher value of the TER for the thicker ferroelectric layer is at the expense of current density. Although lower current density would be beneficial for realizing a memory device with larger capacity and lower power consumption, a detectable amount of current is at least necessary for reliable and fast memory operation. A large TER value with reasonable tunneling current is therefore desirable. Thus, it is theoretically expected that employing the change in the transport mechanism by polarization reversal from direct tunneling to FN tunneling is a promising way to obtain a large TER value with high tunneling current [8]. Another critical parameter for the TER effect is the depolarization field (Edep). The Edep is an electric field opposing polarization, and this is the origin of the modulation of barrier height in the ferroelectric layer. It can be

Ferroelectric Tunnel Junction

439

formed by the finite screening length of the metal electrode as well as by insertion of an additional layer such as an insulator or semiconductor between the ferroelectric layer and the metal electrode [4]. Although the Edep is indispensable for the TER effect, it could destabilize, or even overturn, the polarization. Therefore, precise control of the Edep is important for FTJ switching. Detailed discussion on the effect of the Edep is presented in a later section.

10.3.2 Ferroelectric HfO2-Based Tunnel Junction The essential element of the FTJ is a ferroelectric layer that is thin enough to allow detectable tunneling current. Before the discovery of ferroelectricity in HfO2, it was thought that only high-quality, nondefective, and epitaxially grown perovskite films could induce ferroelectricity in the ultrathin region [9]. The difficulty in forming an ultrathin ferroelectric layer was one of the reasons for the long hiatus between the conceptual proposal and experimental demonstration. In contrast to the conventional perovskite ferroelectric materials, ferroelectric HfO2 has a unique feature: thinner ferroelectric HfO2 can be formed more easily [10, 11]. Thus, ferroelectric HfO2 was thought to be suitable for the FTJ [12]. Cross-sectional TEM images of a ferroelectric HfO2-based tunnel junction (HfO2 FTJ) are shown in Fig. 10.3.2. It consists of an 8-nm-thick ferroelectric HfO2 layer and a 1.8-nm-thick paraelectric SiO2 layer sandwiched between the top and bottom electrodes. Fig. 10.3.3 shows typical I-V characteristics for the HfO2 FTJ. A clear increase of current was observed after application of positive bias voltage. In general, such

Fig. 10.3.2 Cross-sectional TEM images for an HfO2 FTJ device. The magnified image (right) shows that it is composed of a top electrode (TE), an 8-nm-thick ferroelectric HfO2, a 1.8-nm-thick paraelectric SiO2, and a bottom electrode (BE).

440

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.3.3 Representative I-V characteristics for the HfO2 FTJ. After application of positive bias voltage (blue), tunneling current across the stack is increased (red). The LRS can be switched back to HRS by applying negative bias (black). Right: potential profiles for the LRS and HRS for the HfO2 FTJ.

resistance change could occur by several mechanisms other than polarization reversal. One of the well-recognized mechanisms is the formation and dissolution of a conductive filament in an insulator. A memory device showing switching with this mechanism is called a resistive random access memory (RRAM), and HfO2 is a material commonly used for the RRAM. A simple but definitive way to discriminate the filament-based mechanism from others is to evaluate the area dependence of low-resistance state (LRS). The LRS current of the RRAM is independent of the device area because the conductive filament is formed locally. Fig. 10.3.4 shows current densities of the LRSs for the HfO2 FTJs with different device areas. The excellent agreement reveals that the LRS current is proportional to the device area and that the switching is not associated with the local filament. Another possible switching mechanism could be defect generation in the ferroelectric layer or the paraelectric layer due to electrical stress. A more careful examination is required to rule out the possibility of this mechanism. In the present study, we fabricated several HfO2 FTJs with different combinations of thicknesses of paraelectric SiO2 and ferroelectric HfO2, as shown in Table 10.3.1 and Fig. 10.3.5. If the switching mechanism is due to the stress-induced leakage current (SILC), all the devices are expected to exhibit switching. No switching in single HfO2 and thin HfO2/thick SiO2 stacks shows that an increase of current due to the SILC is negligible for our devices.

Ferroelectric Tunnel Junction

441

Fig. 10.3.4 Tunneling current densities of the LRSs for the HfO2 FTJs with different device areas. The excellent agreement in the entire voltage range demonstrates that the LRS is not associated with local filament formation.

Table 10.3.1 Thicknesses of “thick” and “thin” ferroelectric HfO2 and paraelectric SiO2 Ferroelectric ΗfΌ2 Paraelectric SiO2

Thick Thin

8 nm 5 nm

1.8 nm 0.8 nm

Four kinds of HfO2 FTJ were fabricated with different combinations of thicknesses of paraelectric SiO2 and ferroelectric HfO2.

Concerning the switching associated with polarization reversal, it is theoretically expected that the metal/ferroelectric HfO2/metal symmetric structure does not show a noticeable TER effect [3, 13]. This is because the profile of the potential barrier for each direction of polarization is symmetric, and consequently little change in the height of the potential barrier is expected. It is thus reasonable that the TER effect is not observed for our single HfO2 FTJ. Furthermore, almost no TER effect is found in the thin HfO2/thick SiO2 stack. As we discuss below, it indicates that the depolarization field, Edep, in the stack strongly affects the stability of polarization and degrades the TER effect.

10.3.3 Depolarization Field in the HfO2 FTJ The depolarization field, Edep, across a ferroelectric layer in an FTJ is given by the following equation.

442

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.3.5 I-V characteristics for the four HfO2 FTJ devices with different combinations of thicknesses. The TER is recognized for the thick HfO2/thick SiO2 and thin HfO2/thin SiO2 stacks while the thick HfO2 and Thin HfO2/thick SiO2 stacks do not show a noticeable TER effect.

  εPE tFE 1 Edep ¼ 1+ εFE ε0 εFE tPE P

(10.3.1)

where εFE and tFE are the dielectric constant and thickness of the ferroelectric layer, and εPE and tPE are the dielectric constant and thickness of the paraelectric layer in the FTJ stack. P is remanent polarization of the ferroelectric layer and ε0 is permittivity of vacuum. In an actual device, the paraelectric component could be formed intentionally by inserting an insulator or a semiconductor. It could also be formed unintentionally by nonideal screening length of the electrode, or a “dead layer” at the electrode interface. We assume that the ferroelectric layer is 10-nm-thick HfO2 with a dielectric constant of 30 [14], and the paraelectric layer is 1.5-nm-thick SiO2 with a dielectric constant of 3.9. If we also assume a remanent polarization of 20 μC/cm2, which is an ordinary value for the ferroelectric HfO2, then the Edep is calculated to be 4 MV/cm. This value is much larger than

Ferroelectric Tunnel Junction

443

the reported coercive field, Ec, of the ferroelectric HfO2, 1 MV/cm [14]. In principle, the remanent polarization of 20 μC/cm2 cannot be maintained with this structure. It is considered that a fast decay in the polarization proceeds immediately after removal of an electric field for polarization reversal, and eventually, the polarization converges to a value where the Ec balances the Edep [15, 16]. In this example, the Ec (1 MV/cm) could balance the Edep when the remanent polarization is decreased to around 5 μC/cm2. The maximum possible polarization in the HfO2 FTJ is not determined by the material property but limited by the Edep. If the thickness of the HfO2 is decreased to 5 nm without changing the other parameters, the balance point is decreased to 3.8 μC/cm2. Although thinning of the HfO2 is beneficial for reducing switching voltage and for enhancing tunneling current, the decreased polarization could degrade the TER effect significantly. Simultaneous thinning of the paraelectric layer could be a solution to avoid this drawback because the Edep is decreased with decreased tPE, according to Eq. (10.3.1). In addition to the Edep at the external voltage of 0 V, we also should pay attention to the Edep during a read operation. Because the polarization is at the balance point at 0 V after a program or an erase operation, a subsequent read operation with nonzero external bias could further destabilize the polarization. This effect is called read disturb. The Edep including external voltage for reading, Vread, is expressed as   P εPE tFE 1 εPE 1+ + Vread (10.3.2) Edep ¼ εFE ε0 εFE tPE εFE tPE + εPE tFE We assume that the data is read using an external voltage of 1 V. In this case, the balance point of the polarization at 1 V for a 5 nm HfO2/1.5 nm SiO2 stack is calculated to be 1.5 μC/cm2, which is less than half the value of the balance point at 0 V, 3.8 μC/cm2. If the read voltage is increased to 2 V, the maximum possible polarization is expected to be overturned to 0.8 μC/cm2. Because a detectable amount of read current is at least needed for reliable operation as mentioned above, a high read voltage may be required to obtain a sufficient amount of tunneling current. However, the read operation itself could degrade the TER effect.

10.3.4 Tunneling Electroresistance of the HfO2 FTJ: A Theoretical Approach We try to understand the effect of the thickness of the paraelectric SiO2 layer on the TER effect by simulating the TER of the HfO2 FTJs during the read

444

Ferroelectricity in Doped Hafnium Oxide

operation. I-V characteristics for the LRS and high-resistance state (HRS) are simulated by Wenzel-Kramers-Brillouin (WKB) approximation, considering the potential profile being modulated by the polarization [5]. As the ideal case, we assumed the maximum possible value of the remanent polarization at a given external voltage. Concerning the read operation, the FN tunneling mechanism is adopted to be a reasonable method of obtaining a detectable amount of tunneling current. Because it is known that the conduction mechanism of the SiO2 layer changes to FN tunneling when the applied electric field is larger than about 10 MV/cm, the read operation is assumed to be performed using an external voltage (Vread) that can apply 10 MV/cm to the SiO2 layer in the FTJ stack. In fact, the experimental I-V curves shown in Fig. 10.3.5 indicate that tunneling current is detectable once the read voltage exceeds about 2 V, which corresponds approximately to 10 MV/cm across the SiO2 layer. The procedure for calculating the TERs for the HfO2 FTJs with various SiO2 thicknesses is as follows. First, we calculate two maximum possible polarizations at 0 V, which face in opposite directions, using Eq. (10.3.1). The Ec is assumed to be 1 MV/cm. According to Eq. (10.3.2), one of the two states could be destabilized by applying Vread, whereas the other state can maintain its polarization during the read operation because the read voltage reduces the Edep. Therefore, the polarization during reading is the smaller of the polarization values at 0 V and at Vread. Fig. 10.3.6 shows the relationship between the maximum possible polarizations and read voltage for the HfO2 FTJ of the 5 nm HfO2/1.5 nm SiO2 stack. While the polarization facing up maintains its value during reading, the polarization facing down is modulated by the read operation because of increased Edep. Thus, the actual polarization window available for memory operation, which is defined as the Pr window, is smaller than the window at 0 V. Examples of a calculated potential barrier profile at 0 V and at Vread are illustrated in Fig. 10.3.7. Here, the SiO2 thickness is 1.5 nm, the barrier height of the SiO2 is 3.5 eV, the HfO2 thickness is 5 nm, and its barrier height is 2 eV. Current flowing across the potential barrier is then calculated using the WKB approximation.    ð m∗ e EF  Ex J ¼ 2 3 kB T log 1 + exp (10.3.3) Ttunneling dEx kB T 2π ħ " ð rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi # 2m∗ eðV 0 ðxÞ  Ex Þ Ttunneling ¼ exp 2 dx (10.3.4) ħ2

Ferroelectric Tunnel Junction

445

Fig. 10.3.6 The maximum possible polarizations that face in opposite directions for 5 nm HfO2/1.5 nm SiO2 stack, as a function of the read voltage. The dielectric constant for the HfO2 and SiO2 is assumed to be 30 and 3.9, respectively, and the coercive field of the HfO2 is assumed to be 1 MV/cm. While the polarization facing up (red) shows the value independent of the read voltage, the polarization facing down (blue) is strongly affected by the read voltage. Therefore, the actual polarization window available for a memory operation (Pr window at Vread) is smaller than the window at 0 V.

Fig. 10.3.7 Calculated potential profiles of the HRS (blue) and LRS (red) for the 5 nm HfO2/1.5 nm SiO2 FTJ at external voltages of 0 V (left) and Vread (right).

where V0 (x) is the energy barrier height at position x, Ex the longitudinal energy of an electron, m* the effective mass of electron that was assumed to be 0.42m0, and m0 the electron mass in vacuum. The other symbols e, kB, T, EF, and ℏ have the usual meanings. Fig. 10.3.8 shows the TER, which is defined as the ratio of LRS and HRS currents, as a function of the SiO2

446

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.3.8 Simulated TER (blue), which is defined as the current ratio of HRS and LRS at Vread, and the Pr window at Vread (red) as a function of the SiO2 thickness. While the Pr window is monotonically increased with reducing the SiO2 thickness, the TER shows a peak trend against the SiO2 thickness.

thickness. The HfO2 thickness is fixed to 5 nm. The TER strongly depends on the SiO2 thickness. It is found that the TER shows a peak trend against the SiO2 thickness. A decrease of the TER for thinner SiO2 FTJ is considered to be due to a smaller impact of the paraelectric SiO2 layer on the modulation of the tunneling current, and as described above, a symmetric FTJ without a paraelectric layer, which corresponds to 0-nm-thick SiO2, does not exhibit the TER effect. The degradation of the TER for thicker SiO2 FTJ, on the other hand, originates from the larger read voltage required for gaining a detectable amount of tunneling current. Larger read voltage and thicker SiO2 layer lead to a smaller Pr window, according to Eq. (10.3.2), resulting in a smaller TER effect. Our experimental data is in good agreement with this theoretical trend. As shown in Fig. 10.3.4, the HfO2 FTJ of a thin HfO2/thin SiO2 stack showed the TER, whereas the thin HfO2/thick SiO2 and single HfO2 devices do not. Therefore, it is indicated both theoretically and experimentally that there is an optimum thickness of the SiO2 layer for the TER effect. Similar trends are reported experimentally and theoretically for BaTiO3 FTJs [7, 17], where the TER effect exhibits peak profile against the thickness of the FTJ stack.

10.3.5 Summary In this section, we reviewed the switching properties of the HfO2 FTJ and addressed critical parameters to design an optimum device structure for a

Ferroelectric Tunnel Junction

447

large TER effect. In particular, we focused on the effect of the Edep on the TER during the read operation. The Edep limits remanent polarization and also causes read disturb. Precise control of the Edep is thus important to realize high-performance and highly reliable FTJs. The guidelines provided here will facilitate the design of high-performance HfO2 FTJ. Finally, we point out other issues regarding the reliability of the HfO2 FTJ. First, the extraordinarily high Ec leads to narrowing the margin between polarization reversal and dielectric breakdown. According to the thermochemical model that describes the breakdown strength of the dielectric, the HfO2 with the dielectric constant of 25 has a breakdown electric field of 4 or 7 MV/cm, depending on its crystalline structure [18]. This value is close to the coercive field of the ferroelectric HfO2, 1 MV/cm, meaning that voltage applied for polarization reversal could cause a breakdown. Therefore, cycling endurance could be an issue for practical use. Here, film properties could be improved by using a combination of a crystalline HfO2based ferroelectric and an amorphous dielectric layer [19], a layer stack that also improves the memory window. Second, the large tunneling current, which is required for basic operation of the FTJ, could degrade long-term data retention. The large tunneling current at the same time could lead to a higher electron-trapping rate during data retention. In particular, the potential profile of the LRS shown in Fig. 10.3.7 attracts electron injection from both the top and bottom electrodes. The electron trapping in the stack alleviates barrier modulation that is induced by the remanent polarization, leading to a gradual narrowing of the LRS/HRS ratio [15]. Thus, long-term data retention could be another issue. Since the first demonstration of the HfO2 FTJ in 2016 [20], a number of reports on the HfO2-based FTJ have been published from several research groups [19, 21–23]. Research on the HfO2 FTJ is gaining attraction. Further studies are necessary to clarify the details of the physics underlying the switching as well as to improve the device performance and reliability for future commercial products.

References [1] J.F. Scott, C.A.P. De Araujo, Ferroelectric memories, Science 246 (1989) 1400, https://doi.org/10.1126/science.246.4936.1400. [2] V. Garcia, S. Fusil, K. Bouzehouane, S. Enouz-Vedrenne, N.D. Mathur, A. Barthelemy, M. Bibes, Giant tunnel electroresistance for nondestructive readout of ferroelectric states, Nature 460 (2009) 81, https://doi.org/10.1038/nature08128. [3] M.Y. Zhuravlev, R.F. Sabirianov, S.S. Jaswal, E.Y. Tsymbal, Giant electroresistance in ferroelectric tunnel junctions, Phys. Rev. Lett. 94 (2005) 246802, https://doi.org/ 10.1103/PhysRevLett.94.246802.

448

Ferroelectricity in Doped Hafnium Oxide

[4] H. Kohlstedt, N.A. Pertsev, J. Rodrı´guez Contreras, R. Waser, Theoretical currentvoltage characteristics of ferroelectric tunnel junctions, Phys. Rev. B 72 (2005) 125341, https://doi.org/10.1103/PhysRevB.72.125341. [5] M.Y. Zhuravlev, Y. Wang, S. Maekawa, E.Y. Tsymbal, Tunneling electroresistance in ferroelectric tunnel junctions with a composite barrier, Appl. Phys. Lett. 95 (2009) 052902, https://doi.org/10.1063/1.3195075. [6] X. Liu, J.D. Burton, E.Y. Tsymbal, Enhanced tunneling electroresistance in ferroelectric tunnel junctions due to the reversible metallization of the barrier, Phys. Rev. Lett. 116 (2016) 197602, https://doi.org/10.1103/PhysRevLett.116.197602. [7] L. Wang, M.R. Cho, Y.J. Shin, J.R. Kim, S. Das, J.-G. Yoon, J.-S. Chung, T.W. Noh, Overcoming the fundamental barrier thickness limits of ferroelectric tunnel junctions through BaTiO3/SrTiO3 composite barriers, Nano Lett. 16 (2016) 3911, https:// doi.org/10.1021/acs.nanolett.6b01418. [8] D. Pantel, M. Alexe, Electroresistance effects in ferroelectric tunnel barriers, Phys. Rev. B 82 (2010), 134105https://doi.org/10.1103/PhysRevB.82.134105. [9] E.Y. Tsymbal, A. Gruverman, V. Garcia, M. Bibes, A. Barthelemy, Ferroelectric and multiferroic tunnel junctions, MRS Bull. 37 (2012) 138, https://doi.org/10.1557/ mrs.2011.358. [10] E. Yurchuk, J. M€ uller, S. Knebel, J. Sundqvist, A.P. Graham, T. Melde, U. Schr€ oder, T. Mikolajick, Impact of layer thickness on the ferroelectric behaviour of silicon doped hafnium oxide thin films, Thin Solid Films 533 (2013) 88, https://doi.org/10.1016/j. tsf.2012.11.125. [11] P. Polakowski, J. M€ uller, Ferroelectricity in undoped hafnium oxide, Appl. Phys. Lett. 106 (2015) 232905, https://doi.org/10.1063/1.4922272. [12] A. Chernikova, M. Kozodaev, A. Markeev, D. Negrov, M. Spiridonov, S. Zarubin, O. Bak, P. Buragohain, H. Lu, E. Suvorova, A. Gruverman, A. Zenkevich, Ultrathin Hf0.5Zr0.5O2 ferroelectric films on Si, ACS Appl. Mater. Interfaces 8 (2016) 7232, https://doi.org/10.1021/acsami.5b11653. [13] D.I. Bilc, F.D. Novaes, J. Iniguez, P. Ordejon, P. Ghosez, Electroresistance effect in ferroelectric tunnel junctions with symmetric electrodes, ACS Nano 6 (2012)1437, https://doi.org/10.1021/nn2043324. [14] J. M€ uller, P. Polakowski, S. Mueller, T. Mikolajick, Ferroelectric hafnium oxide based materials and devices: assessment of current status and future prospects, ECS Trans. 64 (2014) 159, https://doi.org/10.1149/06408.0159ecst. [15] N. Gong, T.P. Ma, Why is FE-HfO2 more suitable than PZT or SBT for scaled nonvolatile 1-T memory cell?—a retention perspective, IEEE Electron Device Lett. 37 (2016) 1123, https://doi.org/10.1109/LED.2016.2593627. [16] A. Stamm, D.J. Kim, H. Lu, C.W. Bark, C.B. Eom, A. Gruverman, Polarization relaxation kinetics in ultrathin ferroelectric capacitors, Appl. Phys. Lett. 102 (2013)092901, https://doi.org/10.1063/1.4794865. [17] Z.J. Ma, T.J. Zhang, R.K. Pan, M.G. Duan, M. He, Optimal dielectric thickness for ferroelectric tunnel junctions with a composite barrier, J. Appl. Phys. 111 (2012) 074311, https://doi.org/10.1063/1.3700245. [18] J. McPherson, J.-Y. Kim, A. Shanware, H. Mogul, Thermochemical description of dielectric breakdown in high dielectric constant materials, Appl. Phys. Lett. 82 (2003) 2121, https://doi.org/10.1063/1.1565810. [19] B. Max, M. Hoffmann, S. Slesazeck, T. Mikolajick, Ferroelectric tunnel junctions based on ferroelectric/dielectric Hf0.5Zr0.5O2/Al2O3 capacitor stacks, in: IEEE European Solid-State Device Research Conference (ESSDERC) Dresden, Germany, 3–6 September, 2018. [20] S. Fujii, Y. Kamimuta, T. Ino, Y. Nakasaki, R. Takaishi, M. Saitoh, First demonstration and performance improvement of ferroelectric HfO2-based resistive switch with low

Ferroelectric Tunnel Junction

449

operation current and intrinsic diode property, in: 2016 IEEE Symposium on VLSI Technology, 2016, p. 148, https://doi.org/10.1109/VLSIT.2016.7573413. [21] F. Ambriz-Vargas, G. Kolhatkar, R. Thomas, R. Nouar, A. Sarkissian, C. GomezYa´n˜ez, M.A. Gauthier, A. Ruediger, Tunneling electroresistance effect in a Pt/ Hf0.5Zr0.5O2/Pt structure, Appl. Phys. Lett. 110 (2017) 093106, https://doi.org/ 10.1063/1.4977028. [22] A. Chouprik, A. Chernikova, A. Markeev, V. Mikheev, D. Negrov, M. Spiridonov, S. Zarubin, A. Zenkevich, Electron transport across ultrathin ferroelectric Hf0.5Zr0.5O2 films on Si, Microelectron. Eng. 178 (2017) 250, https://doi.org/10.1016/j. mee.2017.05.028. [23] X. Tian, A. Toriumi, New opportunity of ferroelectric tunnel junction memory with ultrathin HfO2-based oxides, in: IEEE Electron Devices Technology and Manufacturing Conference, 2017, p. 63, https://doi.org/10.1109/EDTM.2017.7947507.

This page intentionally left blank

CHAPTER 10.4

Ferroelectric Field Effect Transistor Johannes Mueller*, Stefan Slesazeck†, Thomas Mikolajick†,‡ * GlobalFoundries Dresden, Dresden, Germany NaMLab gGmbH, Dresden, Germany Chair of Nanoelectronic Materials, TU Dresden, Dresden, Germany





10.4.1 Introduction The ferroelectric field-effect transistor (FeFET) is best described as a conventional MISFET that contains a ferroelectric oxide instead of or in addition to the commonly utilized SiOx, SiOxNy, or HfO2 insulators. The former case requires the direct and preferably epitaxial contact of the ferroelectric to the semiconductor channel (metal-ferroelectric-semiconductor-FET, MFSFET), whereas the latter and commonly applied case maintains a buffer layer between the channel material and the ferroelectric (metal-ferroelectric-insulator-semiconductor-FET, MFISFET). By additionally introducing a floating gate in between the buffer layer and the ferroelectric, a metal-ferroelectric-metal-insulator-semiconductor structure (MFMIS) may be obtained that shares its equivalent circuit representation with the MFISFET approach. By applying a sufficiently high voltage pulse to the gate of the FeFET that results in a voltage drop across the ferroelectric layer being larger than its coercive voltage (Vc), the polarization direction of the ferroelectric can be set to either assist in the inversion of the channel or to enhance its accumulation state. This results in a polarization-dependent shift of the threshold voltage (VT), which allows for a nondestructive read and a 1T memory operation comparable to that of FLASH devices.

10.4.2 Basic Working Principle A simplified approach describing the ferroelectric field effect transistor is given by Miller and Mcworther [1]. It combines a nonlinear semiconductor capacitance, a dielectric insulator capacitance, and a ferroelectric capacitance whose polarization state depends on its previous voltage history. When

Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00022-X

© 2019 Elsevier Ltd. All rights reserved.

451

452

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.4.1 Gate Stack of FeFETs based on perovskite ferroelectrics (A) in comparison to HfO2-based FeFETs (B) illustrating the scaling issues of perovskite ferroelectrics. For the calculation of the aspect ratio, a state-of-the-art 2x nm technology is assumed, resulting in a gate length on the order of 20 nm.

applying a certain gate voltage (VG), the voltage drop across the individual components of the gate stack as illustrated in Fig. 10.4.1 is given by: VG ¼ Ψ S + Ψ IF + Ψ FE + ΦMS ,

(10.4.1)

where ΨS represents the surface potential of the semiconductor and ΦMS the work function difference between the chosen gate metal and semiconductor channel material. Ψ IF represents the voltage drop across the dielectric insulator at the interface and is given as Ψ IF ¼

tIF QS ðΨ S Þ, ε0 εIF

(10.4.2)

with the physical thickness of the dielectric at the interface tIF, the dielectric constant εIF of the insulator, and the surface charge of the semiconductor QS as a function of Ψ S, which can be obtained from the one-dimensional Poisson equation [2]. The voltage history-dependent behavior of the FeFET is added when taking into account that the voltage drop across the ferroelectric layer Ψ FE is a function of the polarization of the ferroelectric PFE: Ψ FE ¼

tFE ½QS ðΨ S Þ  P ðEFE Þ, ε0 εFE

(10.4.3)

Ferroelectric Field Effect Transistor

453

with tFE and εFE representing the thickness and dielectric constant of the ferroelectric layer. The polarization P as a function of the electric field in the ferroelectric EFE can be approximated by different models. In the original publication by Miller and Mcworther [1], the voltage dependent history of the polarization is described by a hyperbolic tangent function. In order to additionally model minor hysteresis loops according to Preisach [3], the model is designed in such a way that independent of its starting point, the function approximates the saturated polarization loop [4]. Thus the Preisach model approximates the average polarization behavior of a multitude of ferroelectric domains. A more physical model description is based on the single domain Landau model that is described in more detail in Chapter 1. However, for the sake of simplicity, in the following the Preisach model will be adopted. With this complete set of equations, the total gate voltage VG and the field distribution in the individual layers of the FeFET can be obtained by numerical iteration of the surface potential Ψ S. Fig. 10.4.2 shows the evolution of the surface potential of a FeFET model system as given by Fig. 10.4.1B. When first setting the remanent polarization Pr to zero (blue graph), the behavior of a nonferroelectric, or in other words the conventional MISFET, is obtained. The surface potential transitions from accumulation to strong inversion with increasing gate voltage. By definition, the

Fig. 10.4.2 Simulated channel surface potential of a MFISFET in dependence on the applied gate voltage in comparison to a nonferroelectric MISFET (Pr ¼ 0).

454

Ferroelectricity in Doped Hafnium Oxide

threshold voltage of the transistor is reached when the surface potential exceeds the fermi potential Ψ F by a factor of two. For remanent polarization values different from zero (red graph), the hysteretic nature of the FeFET becomes apparent. Depending on the direction of the gate voltage sweep, two distinct threshold voltage values can be identified. This threshold voltage shift is referred to as the memory window of the FeFET and describes its ability to at least store a binary memory state. In a different context, it is important to note that the steepening of the Ψ S slope for the ferroelectric case, observable in Fig. 10.4.2, is related to polarization reversal and should not be confused with the negative capacitance effects described in Chapter 10.5. In order to obtain a deeper understanding of the working principle of the ferroelectric field effect transistor, Fig. 10.4.3A and B depict the polarization and the electric field hysteresis of the ferroelectric layer as a function of the applied gate voltage sweep for three different amplitudes. It becomes obvious that, compared to the usually observed P-V hysteresis in a MFM capacitor, the polarization of the ferroelectric layer in the FeFET is strongly distorted. The abruptly changing slope of the P-V hysteresis can be understood from the nonlinear field-profile of EFE, which is caused by the series

Fig. 10.4.3 Polarization (A) and the electric field hysteresis (B) of the ferroelectric layer with corresponding (C) gate capacitance and (D) drain current of the FeFET transistor for applied gate voltage sweeps with three different amplitudes

Ferroelectric Field Effect Transistor

455

connection of the ferroelectric capacitor and the variable space charge capacitance of the semiconductor. The flattening of the PV-hysteresis, on the other hand, is a direct consequence of the hysteretic behavior of EFE with respect to VG. In order to understand this behavior, it is important to note that, for example, when decreasing VG from a positive value, EFE becomes negative before VG reaches zero. As a consequence, the FeFET has to cope with a nonzero EFE in its idle state (VG ¼ 0). This field is called the depolarization field Edep and is always opposed to the ferroelectric polarization direction [5]. Due to its destabilizing polarity, Edep is believed to be one of the root causes for polarization reversal and therefore memory window reduction and retention loss in FeFET technologies. As already illustrated by the VG dependence of Ψ S in Fig. 10.4.2, the polarization of the ferroelectric layer causes a hysteretic threshold voltage shift in the FeFET. This is further reflected in the C  VG and ID  VG characteristics of the model system depicted in Fig. 10.4.3C and D. The memory window corresponding to the flat band or threshold voltage shift is graphically illustrated. It can be observed that for the chosen model system, the memory window increases when increasing the VG sweep amplitude from 4 to 8 V. However, when increasing the gate sweep up to 12 V, the memory window remains saturated at the level of the  8 V gate voltage sweep. In order to understand this behavior with respect to the polarization of the ferroelectric, it is helpful to reconstruct the P-V hysteresis known from MFM capacitors by plotting the polarization P over the electric field EFE. The result of this reconstruction for all three VG sweep conditions is presented in Fig. 10.4.4A. It can be observed that the Pr value of the ferroelectric layer increases with increasing sweep amplitude. This indicates that the memory window saturation observed in Fig. 10.4.3D occurs before polarization saturation. This example was chosen to illustrate that there is no

Fig. 10.4.4 Polarization hysteresis of the ferroelectric layer (A) and electrical field over the interfacial layer (B) of the FeFET structure extracted from the data in Fig. 10.4.3.

456

Ferroelectricity in Doped Hafnium Oxide

direct proportionality between memory window and remanent polarization Pr, which is certainly different from the expectation based on the capacitorbased FRAM. When illustrating the actual FeFET polarization value at VG ¼ 0 as obtained in Fig. 10.4.3A in the reconstructed MFM hysteresis in Fig. 10.4.4A (red dots, P(Edep)), it becomes apparent that only a small portion of the ferroelectric polarization is used to maintain the threshold voltage shift of the FeFET. Then, what determines and limits the memory window of the FeFET? A simplified way to understand and memorize the creation of the memory window in the FeFET is to visualize the flat band voltage case. Assuming an ideal MFIS structure (ideal means no fixed or trapped charge in the dielectrics and the workfunction of the gate electrode is equal to the one of the semiconductor), the flat band case will mean that all capacitor charges in the series connection of the gate stack components are zero. For a normal transistor this is trivial, but in the FeFET case, zero surface charge of the ferroelectric layer can only be obtained at the coercive voltages VC+ or VC. This automatically results in a spread between two flat band conditions, which allows approximating the maximum memory window MW as MW ¼ VC + + jVC j ¼ 2VC ¼ 2EC tFE  ΔVFB  ΔVT :

(10.4.4)

In a nonideal MFIS structure, the memory window will be shifted by the work function and the effective charge in the insulator stack. However, its size will stay about the same. Note that this simple derivation assumes that the polarization charge is high enough to drive the MFIS structure from accumulation to strong inversion when switching. If the polarization is not high enough to achieve this boundary condition, the memory window will be lower and a function of the polarization. A more complex way of understanding the opening of the memory window considers the effect of the depolarization field Edep on the remaining polarization. As can be seen by the red dots in Fig. 10.4.4A, the electric field in the resting state under zero applied gate voltage comes already very close to the coercive field EC of the ferroelectric. Under such conditions and for realistic ferroelectric films, where the shape of the polarization hysteresis loop is determined by the distribution of the coercive field for all the individual domains, a significant portion of the domains will already be flipped back, yielding a significant decrease of the remanent polarization. Nevertheless, with the coercive field EC being an intrinsic material parameter, tFE remains as the main parameter determining the maximum achievable memory window in a given FeFET system. Based on the

Ferroelectric Field Effect Transistor

457

approximation given in Eq. (10.4.4), the EC saturation at 8 V in Fig. 10.4.4 coinciding with the memory window saturation 8 V in Fig. 10.4.3C and D can now be understood. This poses certain scaling limitations to the FeFET system, which will be addressed in Section 10.4.3.

10.4.3 Scaling and Variability at the Nanoscale In order to assess the material and device requirements for a scalable FeFET technology, the intrinsic electrostatics as well as the manufacturability and ferroelectric variability of the gate stack need to be considered. First, it is important to note that—as described in the previous section—the extent of the aforementioned VT-shift (memory window) in FeFET devices is primarily determined by the VC of the implemented ferroelectric rather than by its remanent polarization Pr [1]. This results in a scaling versus memory window trade-off as Vc is proportional to the coercive field Ec and thickness tFE of the ferroelectric. The inability of the commonly utilized perovskite-based FeFETs to laterally scale beyond the 180 nm node is therefore not solely based on the insufficient thickness scaling of perovskite ferroelectrics [6, 7], but rather due to their low Ec (SBT: 10–100 kV/cm, PZT: 50 kV/cm, summarized in Ref. [8]) that, in order to maintain a reasonable memory window, requires compensation by a large tFE. Aspect ratios as illustrated for a scaled perovskite FeFET suffer from electrostatic as well as structural integrity issues and are no longer manufacturable in terms of conventional patterning approaches. A solution to this scaling retardation is provided by the high coercive field (1–2 MV/cm) and thickness scalable FE-HfO2 [9]. This CMOScompatible material innovation enabled the demonstration of a FeFET technology scaled down to the 28 nm node utilizing a conventional HKMG technology that was already used in high-volume production [10]. Moreover, a similar ferroelectric gate stack was implemented recently into a 22-nm fully depleted SOI technology (FDSOI) [11]. The close resemblance of the high-k metal gate (HKMG) transistor and the FE-HfO2-based memory transistor proves especially useful for the realization of an embedded memory solution with greatly reduced mask counts as compared to, for example, embedded FLASH technologies. Fig. 10.4.5 chronologically summarizes the published data on FeFET technologies with respect to their physical gate length (LG) and choice of material. Due to the aforementioned electrostatics, a clear step in the scaling trend with the introduction of ferroelectric hafnium oxide can be observed.

458

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.4.5 Reported FeFET scaling in the literature compared to the trend line as given by the ITRS roadmap. Ferroelectric HfO2 enabled to close the scaling gap and allows to follow the ITRS trend line since the first realization of HfO2 based FeFETs in 2012.

Despite promising results obtained for perovskite-based FeFET devices implemented into 64 Kb NAND-Arrays at a feature size of 5 μm [12], little was known about the variability and array characteristics of FeFET devices scaled to technology nodes approaching the grain or domain size of the implemented ferroelectrics. These data can only be obtained from aggressively scaled devices such as the FE-HfO2-based FeFET technologies. In 2015, the first data showing a clear low and high VT separation in a sub-kB FE-HfO2-based FeFET array with LG: 34 nm was demonstrated [13]. Nevertheless, in order to fully judge the variability of ferroelectric phase stability at the nanoscale and to guide material optimization, a fundamental understanding of the phenomenon is required. Chapter 9.1 summarizes the most recent findings and the current understanding. It is interesting to note that the abrupt switching behavior of a highly scaled device seems no longer to support the application space of neuromorphic functionality based on a gradual VT shift, for which the FeFET was believed to be a suitable candidate [13]. Based on this result, either devices with a larger gate area stack above the silicon wafer or multiple devices seem to be necessary for such applications that require more precise control of several VT levels.

10.4.4 Retention Limitations With the appearance of ferroelectric hafnium oxide (FE-HfO2), the FeFET has experienced a renaissance regarding scalability and CMOS compatibility

Ferroelectric Field Effect Transistor

459

and has become a serious contender for an embedded memory solution in 2x nm HKMG technologies and beyond (Ref. [14] and references therein). However, at this stage of development and device implementation, the FeFET faces some trade-off between endurance and retention as well as between scalability and memory window (MW), as addressed in the previous section. In particular, the key feature of the FE-HfO2 based FeFET—a high coercive field (Ec) in combination with an ultrathin SixO(N)y interface—has proven ambivalent in nature. On the one hand, the high Ec is the key enabler for device scaling and retention, but on the other hand, it challenges the data retention and cycling endurance of the novel FeFET device [15]. Data retention of the FE-HfO2-based FeFET was measured and extrapolated to reach 10 years [10]. Moreover, it was shown that even single domains in small-scaled devices could retain their polarization state [16]. Investigation of the data retention behavior at elevated temperatures indicates that the degradation occurs within the first few minutes and afterward remains stable for longer bake periods (see Fig. 10.4.6A). Moreover, a similar shift to the lower threshold voltage for both the low- (LVT) and the high-VT (HVT) state can be observed under certain conditions, as depicted in Fig. 10.4.6B. Such behavior indicates that charge trapping and detrapping phenomena after program/erase operation play a significant role in the data retention behavior, rather than back-switching of single domains. Thus modeling the influence of both domain stability and charge trapping phenomena as well as secondary effects such as the influence of channel

Fig. 10.4.6 Retention measurement of 0.28 μm2 cell 64 kBit array at 250°C normalized with respect to the high-VT state (A) and comparison of ID-VG curves before and after 1 h 300°C anneal (B) [11].

460

Ferroelectricity in Doped Hafnium Oxide

doping and geometrical size effects on the data retention behavior of the FeFET devices is the subject of current research.

10.4.5 Endurance Limitations It was shown that HfO2-based FeFETs that are integrated into state-of-theart, gate-first HKMG processes start degrading after 104 switching cycles [10, 11]. The degradation of the SixO(N)y interface driven by cyclic charge trapping during the program (PGM) and erase (ERS) operation was identified as the root cause for the endurance degradation [17]. A higher cycling endurance up to 107 write cycles was demonstrated for FeFETs that were manufactured in a gate-last process [18]. Comparing these results gives an indication that the higher thermal budget that is experienced by the ferroelectric and interfacial layer in the gate-first process (resulting, i.e., from source/drain junction anneals after gate processing) might cause stronger scavenging of the interfacial layer. That, in turn, might lead to an increased number of defects resulting in a stronger degradation during cycling. Hence, one key to improve the endurance characteristic might be to optimize the integrity of the interfacial oxide layer. However, in order to overcome this endurance limitation beyond 107 switching cycles, new strategies have to be derived. As already mentioned, there is little difference in the absolute PGM and ERS voltages applied to a conventional, perovskite-based FeFET and a FE-HfO2-based FeFET. However, due to the markedly reduced thickness of the ferroelectric and the insulating buffer layer in FE-HfO2 approaches, the internal fields of the gate stack are dramatically increased, giving rise to parasitic tunneling of charge carriers. In this context, it is important to note that the high fields in the nonferroelectric insulating layer allowing for direct or even Fowler-Nordheim tunneling are not solely driven by the high PGM and ERS pulses, but are additionally enhanced by the ferroelectric polarization itself. This can be illustrated by numerical simulation results based on the FeFET description given in Section 10.4.2. The parameters of the FE-HfO2-based FeFET device used as a reference are given in Fig. 10.4.1B. In Fig. 10.4.7, the electrical field hysteresis in the HfO2 as well as in the interfacial layer for both a ferroelectric and a dielectric gate stack are shown for a gate voltage (VG) decreasing from 10 V to zero. In Fig. 10.4.7, the electrical fields in the HfO2 (EHfO2) and the interface (EIF) were extracted assuming a program operation at VG ¼5 V. It is readily observed that the trends in electric field distribution for the two stacks, ferroelectric and dielectric, are inverted. For the ferroelectric stack, the

Ferroelectric Field Effect Transistor

2 0 –2

Ferroelectric gate stack

–4 –10

(A)

40

Dielectric gate stack

EIF (MV/cm)

EHfO2 (MV/cm)

4

–5

461

0 VG (V)

5

Dielectric gate stack

20 0

Ferroelectric gate stack

–20 –40 –10

10

(B)

–5

0 VG (V)

5

10

Fig. 10.4.7 Simulated electrical field strength hysteresis for a ferroelectric (blue solid lines, parameters according to Fig. 10.4.1B) and a dielectric (red dashed lines, same stack, but without polarization) gate stack for a VG excitation voltage oscillating from 10 V to zero. In (A) the electrical field in the hafnium oxide is given, whereas in (B) the electrical field in the interfacial layer (here SiO2) is given.

polarization reversal from the negative polarization state to the positive polarization state leads on the one hand to a reduced electrical field in the HfO2, and on the other hand to an even further increased interfacial field strength. Due to the fact that charge injection was not considered in this simulation, this behavior can simply be explained by conservation of the electric displacement !

D ¼ ε0 E + P ¼ ε0 εHf O2 EHf O2 + PS z}|{ ¼ ε0 εIF EIF ,

(10.4.5)

where D is the electric displacement and ε0 the vacuum permittivity. Accordingly, simply judging from the analytical description of the electric displacement, it becomes clear that PS is both responsible for the increase in EIF as well as for the decrease in EHfO2. Unfortunately, this is disadvantageous for the device operation because it increases the gate voltage required for saturated polarization switching and also affects device reliability because EIF is strongly increased. This increase in EIF is additionally illustrated in the band diagram in Fig. 10.4.8B. In the example given here, the ferroelectric stack already enables Fowler-Nordheim tunneling whereas the dielectric stack remains in a direct tunneling regime for VG ¼5 V. In order to analyze the impact of PS on FeFET device operation in more detail, additional simulations were performed in which PS was varied, and its impact on both MW and interfacial field stress EIF was analyzed (see Fig. 10.4.9).

462

Ferroelectricity in Doped Hafnium Oxide

Fig. 10.4.8 Simulated electrical field strength for a ferroelectric (parameters according to Fig. 10.4.1B) and dielectric (same stack, but without polarization) gate stack at 5 V applied gate bias. In (A) the electrical field in the hafnium oxide and the interfacial layer was extracted. The striped blue bars illustrate results for the ferroelectric stack and the blank red bars for the stack containing dielectric HfO2 only. (B) Compares the band diagram of both stacks at 5 V applied gate voltage (blue dashed: ferroelectric; red solid: dielectric).

Fig. 10.4.9 Simulated memory window ΔVT (primary y-axis) and interfacial field stress EIF (secondary y-axis) of a ferroelectric gate stack as a function of the spontaneous polarization PS (remaining parameters according to Fig. 10.4.1B). The data were extracted for a VG excitation of 5 V.

For a fixed gate voltage of  5 V, varying the spontaneous polarization from 0 to 50 μC/cm2 yields a maximum memory window of around 1.3 V for a spontaneous polarization between 5 and 10 μC/cm2. Below 5 μC/cm2, the memory window drops dramatically, and above 10 μC/cm2, the memory window decreases gradually. Even though the memory window should be rather independent of PS due to the fact that MW 2ECtHfO2 [19], the behavior can reasonably be explained as follows: For PS values smaller than 5 μC/cm2, the channel surface charge density induced by the spontaneous polarization is not sufficient for the given parameterization of the simulation (this does not imply that small PS values cannot induce large memory windows [20]). Moreover, for PS values larger

Ferroelectric Field Effect Transistor

463

than 10 μC/cm2 and for 5 V fixed gate voltage, the ferroelectric is more and more operated in a subloop state that effectively decreases the memory window. Hence, the expected saturation of the memory window for larger spontaneous polarization even turns into a degradation of MW. Besides these observations, Fig. 10.4.9 shows that the interfacial field stress monotonically increases with larger PS values and gradually saturates. The increase of EIF with increasing PS can be explained by Eq. (10.4.4), whereas the saturating behavior is caused by the inability of the 5 V gate bias to fully polarize ferroelectric films with a higher PS. Saturating the MW at high PS values requires large switching voltages and leads to a further increase of EIF. In total, these simulations show that besides being in general detrimental to data retention [5], a large spontaneous polarization and the accompanying large EIF might be one of the possible origins for the interface degradation of nonvolatile FE-HfO2-based FeFETs [17]. It becomes apparent that reducing parasitic charge injection requires the damping of the polarization impact on the nonferroelectric insulator as well as the general reduction of the electric fields by beneficially influencing the capacitive divider between ferroelectric CFE and insulator capacitance CIF. Different strategies to reduce or even eliminate this high interfacial field stress during PGM and ERS operation in FE-HfO2-based FeFETs are possible: tailoring of the polarization of FE-HfO2, subloop operation to reduce the impact of polarization during switching, the engineering of the capacitive divider of the gate stack utilizing higher-k interfaces and direct growth on silicon or separate area scaling of CFE and CIF in MFMIS gate structures. The different possibilities are examined in the following.

10.4.5.1 Tailoring the Ferroelectric Polarization Reducing the value of the spontaneous polarization PS to a minimum value sufficient for memory window saturation appears to be a viable solution for a significant moderation of internal fields stress in FeFET technologies. However, because the value of the spontaneous polarization is intrinsically connected to the ions and crystal structure of the ferroelectric, it is highly challenging to influence the basic value of PS without jeopardizing the purity and lateral homogeneity of the ferroelectric phase. For ferroelectric hafnium oxide, the theoretical value for spontaneous polarization was recently predicted to be around 51 μC/cm2 and showed only a minor response to different dopants [21]. Referring back to the calculations of

464

Ferroelectricity in Doped Hafnium Oxide

the last sections, such a high spontaneous polarization would exert significant electrical field stress on the interface between FE-HfO2 and the silicon bulk when switched into saturation. Assuming it is not possible to influence the intrinsic value of PS, the only possibility to reduce the spontaneous polarization is by orientation control of the polarization axis with respect to the axis of the electrical field being applied. However, even if it would be possible to control the polarization axis (texture control or epitaxial growth would be required), there is an obvious drawback connected to the rotated polarization axis: The reduction of the projected polarization onto the field axis is accompanied by a reduced projection of the electrical field onto the polarization axis. Accordingly, a higher gate voltage needs to be applied to switch polarization states, which again increases the interfacial field stress due to the overall increased gate voltage. Hence, the effect of controlling the polarization orientation for reducing interfacial field stress is very limited. The first experimental work on controlling polarization axis alignment for FE-HfO2 is currently being performed by research groups in Japan [22–24] and is described in more detail in Chapter 4.

10.4.5.2 Utilizing Subloop Operation A viable solution to suppress charge trapping and to achieve endurance cycles of more than 1012 (limited by testing time) has been experimentally demonstrated for large-area, μm-sized FE-HfO2-based FeFET devices utilizing low voltage operation in combination with an SiO2 interface about 3 nm thick [25, 26]. A possible explanation for this improved endurance performance was given in Ref. [15]. It is assumed that due to the nonsaturated polarization and Ec of the ferroelectric subloops, which are accessed at low voltage, the MW remains small, but also the electric field stress on the rather thick interface can be minimized, yielding significantly less charge trapping and therefore higher endurance. In the saturated memory window regime, the system is bound by constant field scaling, meaning that in order to obtain a saturating switching field in the ferroelectric, the PGM/ERS voltage has to be increased with increasing interfacial layer thickness tIF, whereas the maximum electric field stress in the interface EIF for saturated polarization switching remains largely independent of tIF. However, when considering the ability of ferroelectrics to access minor hysteresis loops, it is possible to define operating conditions below the saturated polarization switching and therefore below the maximum field stress in the interfacial layer. The existence of this subloop behavior in FE-HfO2-based systems

Ferroelectric Field Effect Transistor

465

has been directly observed in the polarization hysteresis of metal-insulatormetal capacitors as well as in the programming slope of long channel FeFETs [27]. In contrast to the constant field scaling in the saturated polarization regime, the increase of interfacial layer thickness in the nonsaturated regime may offer a true benefit. The possibility to significantly reduce EIF by low voltage operation allows for PGM/ERS conditions that are no longer dominated by Fowler-Nordheim tunneling [15]. The remaining charge injection into the conduction band of the hafnium oxide may rely on direct tunneling alone, which in turn is strongly suppressed with increasing tIF. Even though this high endurance strategy jeopardizes longtime retention due to the low stability of unsaturated polarization loops, it suggests a possible pathway to a 1T DRAM application of the FE-HfO2-based FeFET. However, because the minor hysteresis loop behavior of FE-HfO2 forms the core of this high endurance strategy, its physical origin and scalability need to be considered. The existence of subloops in the polarization hysteresis of a ferroelectric is a cumulative phenomenon relying on a multigrain, multidomain state and a statistical distribution of ferroelectric switching events. When shrinking the device size to the dimensions of the grains or even single domains, a different situation arises that was recently investigated by Mulaosmanovic et al. [16] using highly scaled FE-HfO2-based FeFETs; it is described in Chapter 9.1 in more detail. As a consequence of this transition from a continuous to an abrupt switching behavior for decreasing device sizes, the utilization of subloop operation to overcome the endurance limitations of FE-HfO2-based FeFETs has to be handled with care. Moreover, it should be noted that based on the assumption that subloop switching is enabled by a superposition of different switching voltages in large-area devices, the local polarization impact of early switching grains on the interfacial field stress might remain unchanged. Hence, the effect of subloop switching on endurance improvement remains unclear for small-scaled devices and is the subject of further research.

10.4.5.3 Tailoring the Capacitive Divider In order to switch the ferroelectric polarization of a FeFET, a voltage drop across the ferroelectric layer exceeding the coercive voltage needs to be realized. The distribution of the applied gate voltage within the gate stack of a MFIS-based FeFET is determined by the capacitive voltage divider between the capacitance of the ferroelectric layer, the capacitance of the interfacial

466

Ferroelectricity in Doped Hafnium Oxide

layer, and the semiconductor capacitance. Hence, the reduction of the gate voltage required for switching and the minimization of unnecessary voltage drops across the interface and the semiconductor can be influenced by the capacitive divider of the gate stack. However, simply altering the capacitive divider by scaling the layer thicknesses will fail to reduce the interfacial field stress that is responsible for the endurance degradation in FE-HfO2-based FeFETs. The reason is that in spite of a reduction of switching voltage to be applied to the gate, the electrical field over the interface will stay constant. A certain impact might be expected by the increase of the direct tunneling distance from the silicon channel toward trap states that are located at the boundary between the interfacial silicon oxide and the ferroelectric layer. Further, it can be noted that during PGM and ERS operation, the FeFET is either in strong inversion or strong accumulation, thus reducing the impact of the semiconductor capacitance to a minimum. Hence, the only remaining way to influence the interfacial field stress EIF during saturated polarization switching (EHfO2 2Ec) is the maximization of the dielectric constant ratio εIF/εHfO2 or alternatively the maximization of the area ratio AIF/AHfO2, where AHfO2 represents the area of the ferroelectric HfO2 layer and AIF the area of the interfacial layer, which is in direct contact with the semiconductor channel material. Because the dielectric constant and the ferroelectric properties of a material are rather intrinsic, only the modification of εIF by selection of a suitable material remains as an option for changing the capacitive divider toward lower interfacial field stress EIF. Comparing the simulation results depicted in Fig. 10.4.10A and B illustrates the reduced switching voltage and interfacial field stress to be expected when increasing εIF beyond the dielectric constant of SiO2. The increased εIF suppresses the Fowler-Nordheim tunneling, which most likely dominates the program operation of the reference device illustrated in Fig. 10.4.10A. A further increase of εIF would ultimately require modified FN tunneling through the HfO2 to reach its conduction band. Charge trapping would be reduced to direct tunneling into energetically available trap sites close to the interface. However, the breakdown field as well as the band offset of the material of choice have to be taken into account. Moreover, the replacement of SiO2 by a higher-k interfacial layer poses a severe technological challenge that was extensively investigated during the introduction of high-k metal gate transistor technologies [28]. Proven concepts such as increasing the nitrogen content in SiOxNy or the use of SiN instead of SiO2 only offer a minor increase of εIF and may result in a reliability trade-off due to a higher

Ferroelectric Field Effect Transistor

467

Fig. 10.4.10 Band diagrams of HfO2-based FeFETs during program operation. A saturated polarization switching with EHfO2 ¼ 2Ec. is achieved at (A) VG ¼ 7.5 V for the reference device according to Fig. 10.4.1B; (B) VG ¼ 3.9 V for the reference device with εIF enhanced to 16 or AIF/AHfO2 enhanced to 4; (C) VG ¼ 2.7 V for the reference device configured as an MFS-FET.

interfacial trap density [29, 30]. Ultimately the replacement of SiO2 by a high-k material with εIF above 8 will require the direct growth of a CMOS-compatible metal oxide with a sufficiently large band offset and thermal stability in direct contact with silicon [28, 31]. This significantly narrows down the choice of material to a few metal oxides, among which HfO2 itself can be found. This means that if technological challenges such as the regrowth of an SiO2 interfacial layer after thermal treatment or the availability of manufacturing-ready direct growth techniques of metal oxides on silicon can be overcome, the more logical choice appears to be the direct transition to a metal-ferroelectric-semiconductor-FET (MFS-FET). The moderate band bending experienced by such a FE-HfO2-based MFS-FET structure during PGM operation is displayed in Fig. 10.4.10C. Depending on the interface quality, endurance values similar to the best results (>109) obtained for saturated polarization switching in metal-ferroelectric-metal capacitors might be expected [32]. Considering first indications of an orthorhombic phase in HfO2 directly grown on silicon [33, 34] and the significant progress in the interface-less growth of FE-HfO2 on Ge [35], the first feasibility studies on actual MFS-FET devices might soon be available. Details on the epitaxial growth of hafnium oxide on silicon are discussed in Chapter 4. Finally, the rather straightforward approach to alter the capacitive divider within the gate stack of a FeFET by influencing the area ratio AIF/AHfO2 can be discussed. The separate scaling of the individual capacitor areas requires the utilization of a MFMIS gate structure, whose feasibility for FeFET devices has already been demonstrated [36]. In terms of a reduction of EIF changing the area ratio, AIF/AHfO2 is equivalent to changing the ratio of the dielectric constants εIF/εHfO2. For this reason, the improved electric field distribution within the gate stack for AIF/AHfO2 ¼4 can be observed similar to the one depicted in Fig. 10.4.10B. Considering the ability of

468

Ferroelectricity in Doped Hafnium Oxide

the floating gate (FG) to store electrical charge, achieving a large area ratio to suppress charge trapping appears mandatory for the functionality of the MFMIS-FET gate stack. Different pathways to realize such a structure in contemporary technologies are illustrated in Fig. 10.4.11. In a gate-first technology, the enlargement of the interfacial area with respect to the ferroelectric area might simply be achieved by separately patterning the dielectric and the ferroelectric part of the gate stack using the FG as an etch stop (Fig. 10.4.11 left). Alternatively, shrinking of the control gate (CG) alone may suffice. As a major drawback, the enhanced complexity of the gate stack and the reduced scalability with increasing area ratio has to be accepted. When utilizing a high-k first metal last process technology as a starting point for the conformal deposition of FE-HfO2, area scaling of the MFMIS-FET will occur naturally (see Fig. 10.4.11 center). However, the sizing of the CG plug responsible for this area scaling depends on the gate length and the thickness of the FE-HfO2. This imposes a restriction on the available area ratios. Achieving a large area ratio while simultaneously maintaining the lateral footprint of the device may be achieved by using a recess gate approach (see Fig. 10.4.11 right). The depth of the recess defines the size of the dielectric capacitor, whereas the buried CG contact defines the area of the ferroelectric capacitor. Restrictions for the achievable area ratio are given by the technological limits of the recess and the decreasing on-current of the FeFET with increasing channel length.

Fig. 10.4.11 Schematic FeFET device cross-sections illustrating different pathways toward a reduction of interfacial field stress in HfO2-based FeFETs by separately scaling the area of the ferroelectric and the dielectric capacitor within a MFMIS-FET gate stack. (Left) Lithographically sizing the capacitor areas in a gate-first technology; (center) utilizing the intrinsic size reduction of the gate plug-in replacement gate; (right) sizing of the gate contact in a recess gate.

Ferroelectric Field Effect Transistor

469

References [1] S.L. Miller, P.J. McWhorter, Physics of the ferroelectric nonvolatile memory field effect transistor, J. Appl. Phys. 72 (1992) 5999. [2] S.M. Sze, Physics of Semiconductor Devices, Wiley, Hoboken, 1981. € [3] F. Preisach, Uber die magnetische Nachwirkung, Z. Phys. 94 (1935) 277. [4] S.L. Miller, J.R. Schwank, R.D. Nasby, M.S. Rodgers, Modeling ferroelectric capacitor switching with asymmetric nonperiodic input signals and arbitrary initial conditions, J. Appl. Phys. 70 (1991) 2849. [5] T.P. Ma, J.-P. Han, Why is nonvolatile ferroelectric memory field-effect transistor still elusive? IEEE Electron Device Lett. 23 (2002) 386. [6] T. Oikawa, H. Morioka, A. Nagai, H. Funakubo, K. Saito, Thickness scaling of polycrystalline Pb(Zr,Ti)O3 films down to 35nm prepared by metalorganic chemical vapor deposition having good ferroelectric properties, Appl. Phys. Lett. 85 (2004) 1754. [7] J. Celinska, V. Joshi, S. Narayan, L. McMillan, C. Paz de Araujo, Effects of scaling the film thickness on the ferroelectric properties of SrBi2Ta2O9 ultra thin films, Appl. Phys. Lett. 82 (2003) 3937. [8] J. M€ uller, P. Polakowski, S. Mueller, T. Mikolajick, Ferroelectric hafnium oxide based materials and devices: assessment of current status and future prospects, ECS J. Solid State Sci. Technol. 4 (2015) N30–N35. [9] T.S. B€ oscke, J. M€ uller, D. Br€auhaus, U. Schr€ oder, U. B€ ottger, Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors, in: IEEE International Electron Devices Meeting (IEDM), Washington D.C., USA, 5–7 December, 2011, pp. 547–550. [10] J. M€ uller, E. Yurchuk, T. Schlosser, J. Paul, R. Hoffmann, S. M€ uller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kucher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schroder, T. Mikolajick, Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG, in: Symposium on VLSI Technology (VLSIT), 2012, pp. 25–26. [11] S. D€ unkel, M. Trentzsch, R. Richter, P. Moll, C. Fuchs, O. Gehring, M. Majer, S. Wittek, B. M€ uller, T. Melde, H. Mulaosmanovic, S. Slesazeck, S. M€ uller, J. Ocker, M. Noack, D.-A. L€ ohr, P. Polakowski, J. M€ uller, T. Mikolajick, J. H€ ontschel, B. Rice, J. Pellerin, S. Beyer, A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond, in: IEEE International Electron Devices Meeting (IEDM), San Francisco, 2017, pp. 19.7.1–19.7.4. [12] X. Zhang, M. Takahashi, K. Takeuchi, S. Sakai, 64 kbit ferroelectric-gate-transistorintegrated NAND flash memory with 7.5 V program and long data retention, Jpn. J. Appl. Phys. 51 (2012). 04DD01. [13] S. Mueller, S. Slesazeck, T. Mikolajick, J. Muller, P. Polakowski, S. Flachowsky, Nextgeneration ferroelectric memories based on FE-HfO2, in: Joint IEEE International Symposium on Applications of Ferroelectric, IEEE International Symposium on Integrated Functionalities and Piezoelectric Force Microscopy Workshop (ISAF/ISIF/ PFM), 2015, pp. 233–236. [14] J. M€ uller, T.S. B€ oscke, S. M€ uller, E. Yurchuk, P. Polakowski, J. Paul, D. Martin, T. Schenk, K. Khullar, A. Kersch, W. Weinreich, S. Riedel, K. Seidel, A. Kumar, T.M. Arruda, S.V. Kalinin, T. Schl€ osser, R. Boschke, R. van Bentum, U. Schr€ oder, T. Mikolajick, Ferroelectric hafnium oxide: A CMOS-compatible and highly scalable approach to future ferroelectric memories, in: IEEE International Electron Devices Meeting (IEDM), Washington, 2013. p. 10.8.1. [15] J. M€ uller, S. M€ uller, P. Polakowski, T. Mikolajick, Ferroelectric hafnium oxide: a game changer to FRAM? in: 14th Non-Volatile Memory Technology Symposium (NVMTS), Jeju, South Korea, 2014.

470

Ferroelectricity in Doped Hafnium Oxide

[16] H. Mulaosmanovic, S. Slesazeck, J. Ocker, M. Pesic, S. M€ uller, S. Flachowsky, J. M€ uller, P. Polakowski, J. Paul, S. Jansen, S. Kolodinski, C. Richter, S. Piontek, T. Schenk, A. Kersch, C. Kunneth, R. van Bentum, U. Schr€ oder, T. Mikolajick, Evidence of single domain switching in hafnium oxide based FeFETs: enabler for multilevel FeFET memory cells, in: IEEE International Electron Devices Meeting (IEDM), Washington, 2015. p. 26.8.1. [17] E. Yurchuk, S. Mueller, D. Martin, S. Slesazeck, U. Schroeder, T. Mikolajick, J. M€ uller, J. Paul, R. Hoffmann, J. Sundqvist, T. Schlosser, R. Boschke, R. van Bentum, M. Trentzsch, Origin of the endurance degradation in the novel HfO2-based 1T ferroelectric non-volatile memories, in: IEEE International Reliability Physics Symposium (IRPS), 2014. p. 2E.5.1. [18] K. Chatterjee, S. Kim, G. Karbasian, A.J. Tan, A.K. Yadav, A.I. Khan, C. Hu, S. Salahuddin, Self-aligned, gate last, FDSOI, ferroelectric gate memory device with 5.5-nm Hf0.8Zr0.2O2, high endurance and breakdown recovery, IEEE Electron Device Lett. 38 (10) (2017) 1379–1382. [19] M. Ullmann, Dissertation, Universit€at der Bundeswehr Hamburg, Hamburg, 2002. [20] S. M€ uller, J. M€ uller, A. Zaka, T. Herrmann, E. Yurchuk, U. Schr€ oder, T. Mikolajick, Performance Investigation and Optimization of Si:HfO2 FeFETs on a 28 nm Bulk Technology, in: IEEE International Symposium on the Applications of Ferroelectric and Workshop on the Piezoresponse Force Microscopy (ISAF/PFM), Prague, Czech Republic, 21–25 July, 2013, pp. 248–251. [21] S. Clima, D.J. Wouters, C. Adelmann, T. Schenk, U. Schroeder, M. Jurczak, G. Pourtois, Identification of the ferroelectric switching process and dopant-dependent switching properties in orthorhombic HfO2: a first principles insight, Appl. Phys. Lett. 104 (92906) (2014). [22] T. Shimizu, K. Katayama, T. Kiguchi, A. Akama, T.J. Konno, H. Funakubo, Growth of epitaxial orthorhombic YO1.5-substituted HfO2 thin film, Appl. Phys. Lett. 107 (32910) (2015). [23] K. Katayama, T. Shimizu, O. Sakata, T. Shiraishi, S. Nakamura, T. Kiguchi, A. Akama, T.J. Konno, H. Uchida, H. Funakubo, Orientation control and domain structure analysis of {100}-oriented epitaxial ferroelectric orthorhombic HfO2-based thin films, J. Appl. Phys. 119 (2016). 134101. [24] T. Kiguchi, S. Nakamura, A. Akama, T. Shiraishi, T.J. Konno, Solid state epitaxy of (Hf,Zr)O2 thin films with orthorhombic phase, J. Ceram. Soc. Jpn. 124 (2016) 689. [25] C.-H. Cheng, A. Chin, Low-leakage-current DRAM-like memory using a onetransistor ferroelectric MOSFET with a Hf-based gate dielectric, IEEE Electron Device Lett. 35 (2014) 138. [26] Y.C. Chiu, C.H. Cheng, C.Y. Chang, M.H. Lee, H.H. Hsu, S.S. Yen, Low power 1T DRAM/NVM versatile memory featuring steep sub-60-mV/decade operation, fast 20-ns speed, and robust 85°C-extrapolated 1016 endurance, in: Symposium on VLSI Technology (VLSIT), 2015, pp. T184–T185. [27] J. M€ uller, T.S. B€ oscke, U. Schr€ oder, R. Hoffmann, T. Mikolajick, L. Frey, Nanosecond polarization switching and long retention in a novel MFIS-FET based on ferroelectric HfO2, IEEE Electron Dev. Lett. 33 (2012) 185. [28] J. Robertson, High dielectric constant gate oxides for metal oxide Si transistors, Rep. Prog. Phys. 69 (2006) 327. [29] K. Kushida-Abdelghafar, K. Watanabe, J. Ushio, E. Murakami, Effect of nitrogen at SiO2/Si interface on reliability issues—negative-bias-temperature instability and Fowler–Nordheim-stress degradation, Appl. Phys. Lett. 81 (2002) 4362. [30] J. Ocker, S. Kupke, S. Slesazeck, T. Mikolajick, E. Erben, M. Drescher, A. Naumann, F. Lazarevic, R. Leitsmann, Influence of nitrogen trap states on the electronic properties of high-k metal gate transistors, in: IEEE International Integrated Reliability Workshop (IIRW), 12–16 October, 2014, pp. 86–89.

Ferroelectric Field Effect Transistor

471

[31] K.J. Hubbard, D.G. Schlom, Thermodynamic stability of binary oxides in contact with silicon, J. Mater. Res. 11 (1996) 2757. [32] P. Polakowski, S. Riedel, W. Weinreich, M. Rudolf, J. Sundqvist, K. Seidel, J. M€ uller, Ferroelectric deep trench capacitors based on Al:HfO2 for 3D nonvolatile memory applications, in: 6th IEEE International Memory Workshop (IMW), 2014. [33] S. Migita, Y. Morita, W. Mizubayashi, H. Ota, Preparation of epitaxial HfO2 film (EOT ¼0.5 nm) on Si substrate using atomic-layer deposition of amorphous film and rapid thermal crystallization (RTC) in an abrupt temperature gradient, in: IEEE International Electron Devices Meeting (IEDM), 5–7 December, 2010. p. 11.5.1. [34] Y. Morita, S. Migita, W. Mizubayashi, M. Masahara, H. Ota, Two-step annealing effects on ultrathin EOT higher-k (k ¼ 40) ALD-HfO2 gate stacks, in: 42nd European Solid-State Device Research Conference (ESSDERC), 2012, pp. 81–84. [35] P.D. Lomenzo, Q. Takmeel, C.M. Fancher, C. Zhou, N.G. Rudawski, S. Moghaddam, J.L. Jones, T. Nishida, Ferroelectric Si-doped HfO2 device properties on highly doped germanium, IEEE Electron Device Lett. 36 (2015) 766. [36] E. Tokumitsu, K. Okamoto, H. Ishiwara, Low voltage operation of nonvolatile metalferroelectric-metal-insulator-semiconductor (MFMIS)-field-effect-transistors (FETs) using Pt/SrBi2Ta2O9/Pt/SrTa2O6/SiON/Si structures, Jpn. J. Appl. Phys. 40 (2001) 2917.

Further Reading [37] S.-M. Yoon, H. Ishiwara, in: B.-E. Park, H. Ishiwara, M. Okuyama, S. Sakai, S.M. Yoon (Eds.), Ferroelectric-Gate Field Effect Transistor Memories: Device Physics and Applications, Springer Netherlands, Dordrecht, 2016, pp. 311–333.

This page intentionally left blank

CHAPTER 10.5

Negative Capacitance in HfO2and ZrO2-Based Ferroelectrics

Michael Hoffmann*, Stefan Slesazeck*, Thomas Mikolajick*,†, Cheol Seong Hwang‡ *

NaMLab gGmbH, Dresden, Germany Chair of Nanoelectronic Materials, TU Dresden, Dresden, Germany ‡ Department of Materials Science and Engineering and Inter-University Semiconductor Research Center, College of Engineering, Seoul National University, Seoul, Republic of Korea †

10.5.1 Introduction While the previous chapters have focused on memory applications using ferroelectrics of fluorite structure (namely HfO2 and ZrO2), here we will review and discuss the use of negative capacitance (NC) in these materials to reduce the power dissipation in field-effect transistors (FETs). Salahuddin and Datta first proposed this idea in 2008, where they suggested employing a ferroelectric layer as a gate insulator in a FET, which could then be stabilized in an NC state below a certain critical film thickness [1]. In this NC state, the ferroelectric would be completely depolarized and exhibit no polarization hysteresis, which would enable an amplification of the semiconductor surface potential with respect to the gate voltage. Therefore, the subthreshold swing of such a negative capacitance field-effect transistor (NCFET) could be lower than the fundamental Boltzmann limit of ln(10)kBT/e, where kB is the Boltzmann constant, T is the temperature, and e is the elementary charge [2]. This would enable a further reduction of the supply voltage, which would significantly reduce the power consumption of the whole circuit. Such a decrease of the supply voltage is urgently needed if device dimensions are to be scaled down further because the power density would rise exponentially if the Boltzmann limit cannot be overcome. Ferroelectrics based on HfO2 and ZrO2 would be ideal for such NC applications for the same reasons outlined in the chapters focused on ferroelectric memories. They are lead-free simple binary oxides, which are fully compatible with state-of-the-art complementary metal-oxidesemiconductor (CMOS) fabrication [3, 4]. Furthermore, they are scalable, and temperature stable even below 10 nm in thickness. High-quality films

Ferroelectricity in Doped Hafnium Oxide https://doi.org/10.1016/B978-0-08-102430-0.00023-1

© 2019 Elsevier Ltd. All rights reserved.

473

474

Ferroelectricity in Doped Hafnium Oxide

can be deposited on three-dimensional (3D) structures using atomic layer deposition (ALD) [5]. Indeed, because HfO2 gate dielectrics are already well established in sub-30 nm CMOS nodes, in principle, it should be easy to obtain NC behavior in such transistors by slightly changing the HfO2 deposition process (or composition) to induce ferroelectricity. Then, a change of the film thickness would be enough to stabilize the NC state. However, as many publications on NC already have discussed, in reality domain-related mechanisms complicate the single-domain Landau model-based picture [6–11], which was applied in the original publication [1]. While there have been quite a few reports of “hysteresis-free” NCFETs using HfO2-based ferroelectrics so far [12–19], it is still debatable if these observations are definitive proof of stabilized NC in HfO2-based ferroelectrics. Indeed, many of the theoretical and experimental reports of ferroelectric NC found in the literature raise concerns about the validity of the model assumptions (e.g., single-domain ferroelectric) and interpretation of experimental results (e.g., negligible hysteresis only in DC measurements). The concept of NC was initially based on the single-domain Landau theory, which does not consider any effects of domain formation. In contrast, in real ferroelectrics, it is well established that domains will form under the presence of depolarization fields [20]. Furthermore, many of the experimental NCFET publications that show a subthreshold swing far below 60 mV/ decade at room temperature also show large hysteresis in the transfer characteristics [21, 22], which is expected for reverse domain-induced ferroelectric switching but not for the stabilized NC state. Such hysteretic NC effects are not stable and only transient in nature, making them undesirable for lowpower logic transistors. Additionally, there are two different device structures that have been applied for NCFETs: A significant fraction of all NC publications has applied an internal metal gate between the ferroelectric and the actual gate dielectric such as SiO2 [12, 13, 15, 21, 22]. The rest use a ferroelectric directly in contact with a thin interfacial oxide layer [14, 16–19]. However, these two structures are only identical in singledomain Landau theory when neglecting any leakage currents to or from the internal metal gate [8, 10, 11, 23]. Another topic still under debate is if NC effects in HfO2-based ferroelectrics are fast enough for logic device applications. However, recent experiments have shown promising results up to GHz operating frequencies [16]. This chapter is organized as follows: First, we want to disambiguate the term NC to discriminate the sometimes-ambiguous usage found in the literature. Here, we will distinguish mainly transient NC effects, which exhibit

Negative Capacitance in HfO2- and ZrO2-Based Ferroelectrics

475

hysteresis, from stabilized NC without hysteresis. Subsequently, we will review and discuss the literature on NC in HfO2- and ZrO2-based ferroelectrics, where we will first look at the experimental evidence for transient NC effects in HfO2-based capacitors as well as modeling approaches found in the literature. Next, we will review the literature on experimental NCFET using HfO2-based ferroelectrics and argue why there is still a need for a fundamental proof of stabilized NC in these materials. Lastly, we will summarize the current understanding of NC in HfO2-based ferroelectrics and identify promising areas for future research.

10.5.2 Disambiguation of Negative Capacitance Effects In the literature on ferroelectric NC, several different physical manifestations of the NC phenomenon and domain switching related mechanisms have been reported under the same label, which seems to have caused some confusion in the community. To discuss the topic of NC in a meaningful way, we have to carefully define the NC effects we are interested in and use distinct terminology for separate physical origins of such effects. The term ferroelectric NC literally states that a ferroelectric has a capacitance CFE