Electronic Devices, Circuits, and Systems for Biomedical Applications: Challenges and Intelligent Approach
 032385172X, 9780323851725

Table of contents :
Front-Matte_2021_Electronic-Devices--Circuits--and-Systems-for-Biomedical-Ap
Electronic Devices, Circuits, and Systems for Biomedical Applications: Challenges and Intelligent Approac
Copyright_2021_Electronic-Devices--Circuits--and-Systems-for-Biomedical-Appl
Copyright
Contributor_2021_Electronic-Devices--Circuits--and-Systems-for-Biomedical-Ap
Contributors
Preface_2021_Electronic-Devices--Circuits--and-Systems-for-Biomedical-Applic
Preface
Chapter organization
Chapter-1---Carbon-based-electrodes-as-a-scaffol_2021_Electronic-Devices--Ci
1 . Carbon-based electrodes as a scaffold for the electrochemical sensing of pharmaceuticals: a special case of immunosuppressa ...
1. Introduction
2. Carbon materials used for electrode modifications
3. The electroactive immunosuppressant drugs
4. Bare carbon electrodes as a platform for the electroanalysis of immunosuppressant drugs
5. Electroanalysis of immunosuppressants on modified carbon electrodes
6. Electrochemical biosensors for immunosuppressants using carbon electrodes
7. Conclusion and outlook
Acknowledgments
References
Chapter-2---Selenium-based-amorphous-sem_2021_Electronic-Devices--Circuits--
2 . Selenium-based amorphous semiconductors and their application in biomedicine
1. Introduction
1.1 Crystalline and noncrystalline semiconductors
1.2 Band models in amorphous semiconductors
1.3 CFO model
1.4 Davis and Mott model
1.5 MDS model
2. Defects in selenium
3. Optical analysis
4. Electrical analysis
5. Synthesis of selenium nanoparticles (SeNPs)
5.1 Chemical techniques
5.2 Physical techniques
5.3 Green techniques
5.3.1 Synthesis of SeNPs using bacteria
5.3.2 Synthesis of SeNPs using other microbes
5.3.3 Synthesis of SeNPs using plants
5.3.4 Synthesis of SeNPs using green chemical agents
6. Applications of SeNPs for biomedical purposes
7. Conclusion
References
Chapter-3---Nanodevices-for-bi_2021_Electronic-Devices--Circuits--and-System
3 . Nanodevices for biomedical applications
1. Introduction
2. Nanodevices in implantable devices
2.1 Cardiac pacemaker
2.2 Implantable cardioverter defibrillators
2.3 Brain stimulator
2.4 Neuromuscular stimulation
2.5 Retinal prosthesis
2.6 Cochlear implant
3. Nanodevices for IMD memories
4. Nanodevices for wireless power systems
5. Nanodevices for temperature sensors
6. Nanodevices for image sensors
6.1 Image sensors
6.2 Charge-coupled device image sensors
6.2.1 CCD peripheral circuitry
6.3 CMOS image sensors (CIS)
6.3.1 CIS peripheral circuitry
6.3.2 Microlens and illumination
6.3.2.1 Photodiode material
6.4 Scientific CMOS
6.5 Nanophotonic image sensors
7. Applications of image sensors in biomedicine
7.1 CCD for spectrometry
7.2 sCMOS for optical microscopy in biomedicine
7.3 Pill camera for ingestible wireless capsule endoscopy
7.4 Implantable image sensor: retinal prosthetic
8. Conclusion
References
Websites
Chapter-4---Analytical-model-and-sensitivity-ana_2021_Electronic-Devices--Ci
4 . Analytical model and sensitivity analysis of a gate-engineered dielectric modulated junctionless nanowire transistor-based ...
1. Introduction
2. Device structure
3. Development of analytical model
3.1 Boundary condition 1
3.2 Boundary condition 2
3.3 Boundary condition 3
3.4 Region 1
3.5 Region 2
3.6 Region 3
3.7 To determine V2 and V3
3.8 Analytical modeling of threshold voltage
3.9 Modeling of subthreshold drain current in the biosensor
3.10 Modeling of drain current in the linear and saturation region
4. Simulation setup
5. Results and discussion
6. Conclusion
7. Appendix A
8. Appendix B
9. Appendix C
References
Chapter-5---Design-and-development-of-AlGaN-GaN_2021_Electronic-Devices--Cir
5 . Design and development of AlGaN/GaN HEMT for biosensing applications for detection of cancers, tumors, and kidney malfuncti ...
1. Introduction
1.1 Sensor functionalization
1.2 GaN HEMT history and operation
1.3 Lattice mismatch and strain in III-N semiconductors
1.4 High electron mobility transistors (HEMTs)
1.5 Two-dimensional electron gas (2DEG)
1.6 AlGaN/GaN heterostructure HEMT
1.7 AlGaN/GaN HEMT device fabrication flow
1.7.1 MOCVD growth of epi-stack on Si substrate
1.7.2 Ohmic source (S)/drain (D) deposition
1.7.2.1 # Mask 1: S/D contacts
1.7.3 Device passivation
1.7.3.1 #Mask 3: for etching of the Si3N4 passivation layer
1.7.3.2 #Mask 4: gate oxide deposition, metallization, and padding
1.8 GaN HEMT sensor motivation
1.9 GaN HEMTs for biosensing application for detection
1.9.1 Existing models and their limitations
1.9.2 Analytical model: HEMT breast cancer sensor
1.9.3 C-erbB-2 numeric model
1.9.4 Sensor model
1.9.5 PH detection
1.10 Challenges faced in HEMT sensor commercialization
1.11 Conclusion
References
Chapter-6---Preprocessing-of-the-electroca_2021_Electronic-Devices--Circuits
6 . Preprocessing of the electrocardiogram signal for a patient parameter monitoring system
1. Introduction
2. Biomedical signals
3. Artifacts associated with electrocardiogram signals
3.1 Baseline wander
3.2 Power line interference
3.3 High-frequency noise
3.4 Random artifacts
4. Adaptive filters for noise cancellation
4.1 LMS algorithm
4.2 SDLMS algorithm
4.3 SELMS algorithm
4.4 SSLMS algorithm
4.5 RLS algorithm
4.6 Weiner filter
4.7 Kalman filter
4.8 Tapped delay line adaptive linear network
4.9 ANFIS adaptive filter
4.10 Wavelet transform for denoising
5. Patient parameter monitoring system
5.1 Preprocessing of ECG signal for a portable bedside cardiac monitor
5.2 Current trends in design of patient monitoring systems
6. Summary
References
Chapter-7---A-study-on-sleep-stage-clas_2021_Electronic-Devices--Circuits--a
7 . A study on sleep stage classification based on a single-channel EEG signal
1. Introduction
2. Methodology
2.1 PSG data and qualitative evaluation
2.2 Quantitative evaluation
2.2.1 Preprocessing
2.2.2 Feature extraction
2.2.2.1 Empirical mode decomposition
2.2.2.2 Ensemble empirical mode decomposition
2.2.2.3 Variational mode decomposition
2.2.3 Classification
3. State-of-the-art analysis based on automated sleep scoring
3.1 PSG dataset
3.1.1 Sleep EDF database expanded
3.1.2 Cyclic alternating pattern database
3.2 Comparative studies
4. Results and discussion
5. Conclusion
Acknowledgment
References
Chapter-8---Implementation-of-ultra-low_2021_Electronic-Devices--Circuits--a
8 . Implementation of ultra-low-power electronics for biomedical applications
1. Introduction
2. Related work
3. Sensors
3.1 Components of biomedical system
3.2 Pacemaker
3.2.1 Types of pacemaker
3.2.2 Risks involved in pacemakers
3.2.3 New pacemaker functionality
3.2.4 Battery life of a pacemaker
4. Sensing techniques
4.1 Wearable sensing technology
4.1.1 Illustration of wearable sensing technology
4.1.2 Micromachine system motion sensor
4.1.3 Flexible sensor
4.1.4 Wearable biosensors
4.2 Biochip technology
4.2.1 Genetic factor chips
4.2.2 Protein microarray chips
4.2.3 Cell chips
4.2.4 Tissue chips
4.2.5 Organoid chips
4.3 Biosensors
4.3.1 Biologic molecular sensor
4.3.2 Cell-based sensors
4.4 Implantable sensors technology
4.4.1 Biocompatibility
4.4.2 Biofunctionality: sensitivity and specificity
4.4.3 Miniaturizing nanomaterials
4.4.4 Lifetime
4.5 Neural sensing and interfacing
5. Wireless remote sensing technology
6. Method initiation with improved techniques
7. Conclusion
References
Chapter-9---Sensors-and-the_2021_Electronic-Devices--Circuits--and-Systems-f
9 . Sensors and their application
1. Introduction
1.1 Active and passive sensors
1.2 Analog and digital sensors
1.3 Inverse sensors
1.4 Based on means of detection
1.5 Based on conversion phenomenon
2. Types of sensors
2.1 Temperature sensor
2.2 Proximity sensor
2.3 FET biosensor
2.4 Accelerometer
2.5 IR sensor
2.6 Pressure sensor
2.7 Light sensor
2.8 Ultrasonic sensor
2.9 Touch sensors
2.10 Color sensors
2.11 MOS sensors
2.12 Temperature and humidity sensors
2.13 Torque sensor
2.14 Magnetic sensor
2.15 Hall effect sensor
2.16 Magneto diode
3. Application of the sensors
3.1 Healthcare
3.2 Wearable body sensors
3.3 Some of the wearable body sensors
3.3.1 Accelerometer
3.3.2 Gyroscope
3.4 Cardiopulmonary and vascular monitoring (CVD)
3.5 Neurologic function monitoring
3.6 Physical therapy and rehabilitation
3.7 Biosensors
3.8 Early detection of COVID-19 with the help of FET and MOSFET biosensor
3.9 Agriculture
3.10 Soil water measurement sensor
3.10.1 Mathematic calculation
3.11 Water content sensor
3.12 Sensor for soil moisture content
3.13 Sensor for soil electrical conductivity measurement
3.14 pH sensor
3.15 Deployment of pH sensor
3.16 Weed seeker sensor
3.17 Temperature sensor
3.18 Sensors application in daily life
3.19 Industry
3.20 Pressure sensor
3.21 Air Pollution
3.22 PM-2.5 particle concentration sensor
3.23 Carbon monoxide sensor
3.24 Hazard gas sensor
3.25 Safety and security
3.26 Education
4. Enabling sensors with IoT and machine learning
Abbreviations
References
Chapter-10---ADC-and-DAC-for-b_2021_Electronic-Devices--Circuits--and-System
10 . ADC and DAC for biomedical application
1. Introduction [1,2]
1.1 Transducers (sensors)
1.2 ADC
1.3 Processing unit
1.4 DAC
2. Analog-to-digital conversion [1–4]
2.1 Sampling
2.2 Filters
2.3 Quantizer and quantization error
2.4 Encoder
2.4.1 Unipolar code
2.4.2 Bipolar codes
3. Data converter parameters
3.1 Signal-to-noise ratio
3.2 Harmonic distortion
3.3 Signal-to-noise and distortion ratio
3.4 Analog bandwidth
3.5 Noise factor
3.6 Aperture time, aperture delay time, and aperture jitter
3.7 DAC settling time
3.8 Glitch impulse area
4. Data converter architectures [1,5–7]
4.1 DAC architecture
4.1.1 The thermometer DAC (voltage mode)
4.1.2 Thermometer (fully decoded) DACs
4.1.3 R-2R DACs
4.1.4 Oversampling interpolating DACs
4.2 ADC architecture
4.2.1 The comparator (1-bit ADC)
4.2.2 Successive approximation ADCs
4.2.3 Dual-slope ADCs
4.2.4 Pipelined ADCs
4.2.5 Sigma-delta (Σ−Δ) ADCs
5. ADC application in biomedical electronics
6. Conclusion
References
Chapter-11---A-low-power-reconfigurabl_2021_Electronic-Devices--Circuits--an
11 . A low-power reconfigurable ADC for bioimpedance monitoring system
Pipe line analog to digital converter
1 Introduction
1.1 Static performance
1.1.1 Least significant bit
1.1.2 Static error
1.1.3 Offset error
1.1.4 Gain error
1.1.5 Integral nonlinearity
1.1.6 Differential nonlinearity
1.2 Dynamic performance
1.2.1 S/N ratio
1.2.2 SNDR
1.2.3 Effective number of bits
1.2.4 Spurious-free dynamic range
1.2.5 Dynamic range
2. Pipelined ADC architecture
2.1 S/H circuit
2.1.1 Sampling time
2.1.2 Holding time
2.2 Comparator
2.3 Transmission gate
2.3.1 Structure of transmission gate
2.3.2 Working
2.4 Subtractor
2.4.1 Working
2.5 Residue amplifier
3. Automatic adaptation unit
3.1 Sampling speed configuration
3.2 Reconfigurable amplifiers
3.3 Resolution configuration
3.4 Power in pipelined stages
3.5 Automatic adaptation
3.5.1 Preamplifier
3.5.2 Schmitt trigger
3.5.3 Upper threshold voltage
3.5.4 Lower threshold voltage
3.5.5 Hysteresis voltage
3.5.6 Transfer characteristics
3.6 Frequency-to-voltage converter (FVC)
3.6.1 Logic block
4. DTMOS logic
5. Simulation results of the designed circuitry
6. Performance
7. Conclusion
References
Further reading
Chapter-12---Design-of-a-16-bit-500-M_2021_Electronic-Devices--Circuits--and
12 . Design of a 16-bit 500-MS/s SAR-ADC for low-power application
1. Introduction
1.1 Paper organization
2. Overview of analog-to-digital converters
2.1 Analog-to-digital converter
2.2 Working principle of ADC
2.3 Performance factors of an ADC
2.4 Applications of ADCs
2.5 Classification of ADCs
2.6 Comparison of different ADCs
3. Successive approximation register
3.1 An N-Bit SAR-ADC architecture
3.2 SAR algorithm
4. Proposed SAR-ADC design
4.1 Digital-to-analog converter
4.2 Comparator
5. Conclusion
References
Chapter-13---Design-and-applications-of-_2021_Electronic-Devices--Circuits--
13 . Design and applications of rail-to-rail FC-OTA and second-generation CCII+ cell
1. Introduction
2. Circuit schematic and description of low-voltage, low-power FC-OTA
3. Simulation results of OTA
3.1 AC analysis of OTA
3.2 DC sweep analysis of OTA
3.3 Transient analysis of OTA in unity gain configuration
4. Second-generation current conveyor (CCII)
4.1 AC analysis of CCII+ cell
4.2 DC sweep analysis of CCII+cell
4.3 Transient analysis of CCII+ cell
5. Applications of operational transconductance amplifiers
5.1 Five-OTA-based MISO biquadratic filters
5.2 Two-OTA-based Gm-C MISO type biquadratic filter
5.3 SIMO voltage mode biquadratic filter
5.4 Three-phase oscillators using FC-OTAs
5.5 Full-wave rectifiers using MO-CM-OTA
5.6 FC-OTA-based signal adder
6. Applications of second-generation positive CCII cell
6.1 MISO type biquadratic filter
6.2 Quadrature oscillator
6.3 Current mode instrumentation amplifier
6.4 Voltage and current adders
7. Conclusions
References
Chapter-14---The-role-of-electronic-fil_2021_Electronic-Devices--Circuits--a
14 . The role of electronic filters in biomedical applications: a brief survey
1. Introduction
2. Literature review
2.1 ECG/EMG
2.2 MRI
2.3 Mammography
2.4 Electronic prosthetics
3. Conclusions and future scope of work
References
Chapter-15---Fingerprint-based-smart-m_2021_Electronic-Devices--Circuits--an
15 . Fingerprint-based smart medical emergency first aid kit using IoT
1. Introduction
2. IoT in healthcare
2.1 Redefining healthcare
3. Literature review
4. Proposed methodology
5. Hardware description
5.1 Arduino UNO
5.1.1 Specifications
5.2 NodeMCU
5.2.1 Specification
5.3 Heartbeat sensor
5.3.1 Working principle of heartbeat sensor
5.3.2 Circuit diagram of heartbeat sensor
5.3.3 Heartbeat sensor board
5.3.4 Specifications
5.4 Fingerprint sensor
5.4.1 Types of fingerprint sensors
5.4.2 Optical fingerprint sensor
5.4.3 Working principle of optical fingerprint sensor
5.4.4 Specifications
5.5 GSM module
5.6 GPS unit
5.7 LCD unit
6. Results and discussion
7. Conclusion
8. Future enhancement
References
Chapter-16---An-overview-of-the-dynamics-_2021_Electronic-Devices--Circuits-
16 . An overview of the dynamics of telemedicine and robotics for the benefit of mankind
1. Introduction
2. Telemedicine and robotics as the key for a smarter future
2.1 Telemedicine technology
2.2 Robotics in healthcare
2.2.1 Robots in surgery
2.2.2 Robots for intervention
2.2.3 Robots for rehabilitation or other assistance
3. Global scenario of demand and cost
4. Future aspects
5. Summary
References
Chapter-17---A-guidance-system-to-read-and_2021_Electronic-Devices--Circuits
17 . A guidance system to read and analyze the traffic rules for the visually impaired human
1. Introduction
2. The magnitude of the problem
3. Literature survey
4. Materials and methods
5. Implementation
6. Results and discussion
7. Conclusion and future work
References
Chapter-18---An-overview-of-the-various-med_2021_Electronic-Devices--Circuit
18 . An overview of the various medical devices for diagnosis, monitoring, and treatment of diseases
1. Introduction
2. Medical devices for diagnosis
2.1 Diagnosis of blood disorders
2.2 General-purpose improvement of medical care
2.3 Detection of brain pressure
2.4 Diagnosis of arterial diseases
2.5 Diagnosis of cancer
2.6 Diagnosis of infectious diseases
2.7 Diagnosis of liver fibrosis
2.8 Diagnosis in psychiatry
2.9 Diagnosis using artificial intelligence-based techniques
2.10 Smartphone-based diagnosis
2.11 Diagnosis of inflammatory diseases
2.12 Applications of internet of things for diagnosis
2.13 Application of smart polymers in medical diagnosis
3. Monitoring of different diseases with medical devices
3.1 Tele-home healthcare
3.2 Interface devices
3.3 Monitoring the physical activity of patients
3.4 Glucose monitoring
3.5 Detection of diseases and measurement of breath flow
3.6 Diabetic foot disorder
3.7 Implantable devices for monitoring
3.8 Health monitoring projects
3.9 Smartphone Android applications for health monitoring
3.10 Monitoring of Parkinson disease
3.11 Other chronic disease monitoring
3.12 Application of IoT in heart diseases
3.13 Blood pressure and heart disease monitoring
4. Treatment of different diseases with medical devices
4.1 Multiple signal modes for medical services
4.2 Treatment of eye diseases
4.3 Treatment of liver diseases
4.4 Treatment of neurologic disorders
4.5 Treatment with magnetic medical devices
4.6 Treatment for obesity
4.7 Treatment with mobile devices and their sensors
4.8 Treatment of cardiovascular diseases
4.9 Some other issues with the medical devices
5. Conclusions
References
Chapter-19---Efficient-wireless-power-_2021_Electronic-Devices--Circuits--an
19 . Efficient wireless power transfer system for biomedical applications
1. Introduction
1.1 Implantable devices and their power management
1.2 Classification of wireless power technology for implantable system
2. Design of WPT system
2.1 Common coil structures
2.1.1 Circular coil
2.1.2 Rectangular coils
2.1.3 Double coils
3. Power conditioning units
3.1 Transmitter side power conversion and management
3.1.1 SiT8008B oscillator
3.1.2 The operating frequency
3.1.3 Class-E power amplifier
3.1.4 Class-E power amplifier transistor DMG230UK-7
3.1.5 Driver LM5134
3.2 Receiver side power conversion and management
3.2.1 Backward data communication unit
4. Challenges and solutions
4.1 Variation of output load
4.2 Misalignment
4.3 Resonance detuning
4.4 Electrical safety
4.5 Biocompatibility
5. Conclusion
References
Chapter-20---Impact-of-IoT-in-bio_2021_Electronic-Devices--Circuits--and-Sys
20 . Impact of IoT in biomedical applications: Part I
1. Introduction
2. Architectural levels of IoT
2.1 Layer 1: sensors and physical devices
2.2 Layer 2: data acquisition system for sensor
2.3 Layer 3: edge information technology systems
2.4 Layer 4: analysis and data storage
3. IoT sensors used in healthcare and biomedical sciences
3.1 Glucometer
3.2 Temperature sensor
3.3 Blood pressure sensor
3.4 Airflow sensor
3.5 Electrocardiogram sensor
3.6 Electromyography sensor
4. IoT-based medical devices
5. Impact of IoT in healthcare
5.1 Real-time remote monitoring
5.2 Smart pills
5.3 Diabetes management
5.4 Blood pressure monitoring
5.5 Connected contact lenses
6. Security and privacy concerns in IoT-based medical devices for biomedical applications
6.1 IoT security issues
6.2 Threats at perception layer
6.3 Threats at network layer
6.4 Threats at middleware layer
6.5 Threats at gateway
6.6 Threats at application layer
6.7 Privacy solutions of IoT for biomedical applications
7. Conclusion and future scope
References
Chapter-21---Impact-of-IoT-in-biom_2021_Electronic-Devices--Circuits--and-Sy
21 . Impact of IoT in biomedical applications: Part II
1. Introduction to IoT in biomedical applications
1.1 History of IoT in biomedical applications
1.2 Architecture and working
1.2.1 Perception layer
1.2.2 Network layer
1.2.3 Storage and Processing layer
1.2.4 Application layer
1.3 Design considerations of IoT
2. Hospital management system and mobile applications using IoT
2.1 Medical applications using IoT
3. Integrated devices for a single parameter
4. Integrated devices for multiple parameters
5. Challenges of IoT
5.1 Mechanisms to prevent IoT threats
5.2 IoT laws and policies in various countries
6. Conclusion and future work
Further reading
Chapter-22---Health-monit_2021_Electronic-Devices--Circuits--and-Systems-for
22 . Health monitoring system
1. Introduction
2. Nanotechnology for disease diagnosis
2.1 Biomedical applications
2.2 Introduction to nanosensors
2.3 Nanotechnology for Alzheimer disease
2.4 Nanotechnology for Parkinson disease
2.5 Nanotechnology for cancer diagnostics
3. Analysis of exhaled breath
4. Types of sensors
4.1 MOS sensor
4.2 Carbon polymer array
4.3 Carbon nanotube
4.4 Optical sensors
4.4.1 Colorimetric sensor array
4.4.2 Optical fiber
4.5 Gas chromatography
4.6 Calorimetric methods
4.7 Gold nanoparticle-based sensor
4.7.1 Monolayer-capped gold nanoparticle
4.7.2 Chronic disease detection through gold nanoparticle sensors
5. Smart health monitoring systems
5.1 Sensor systems
5.2 Communication systems
5.2.1 Edge-based architecture for the healthcare industry 4.0
5.2.2 Some case studies
5.3 Sensor data analysis or processing system
6. Conclusion
Abbreviations
References
Chapter-23---Real-time-remote-heal_2021_Electronic-Devices--Circuits--and-Sy
23 . Real-time remote health monitoring using IoT sensors
1. Introduction
1.1 Overview of the internet of things
1.2 Barriers and challenges of IoT
1.2.1 Data security and privacy
1.2.2 Tremendous data handling and analytics
1.2.3 Interoperability
1.2.4 Network connectivity
1.2.5 Excessive cost
1.3 Benefits of IoT in health monitoring
1.3.1 Simultaneous reporting and monitoring
1.3.2 Cross-functional connectivity and accessibility
1.3.3 Data categorization and analysis
1.3.4 Surveillance and notifications
1.3.5 Remote medical assistance
2. IoT medical devices for health monitoring
2.1 Overview of wireless body area network
2.1.1 Remote activation of medical devices
2.1.2 Wearable IoT-enabled sensing devices
2.1.3 Implantable IoT-enabled sensing devices
2.2 Architecture of wireless body area network
3. Technologies integrated with IoT and blockchain for healthcare
3.1 Role of artificial intelligence in healthcare
3.2 Significance of machine learning for healthcare informatics
3.3 Deep learning for healthcare data analysis
4. Applications of IoT sensors in health monitoring
4.1 Remote temperature monitoring for vaccines
4.2 Drug effectiveness tracking
4.3 Medication refill reminder technology
5. IoT in biomedical applications
6. Open research challenges of IoT in health monitoring
7. Conclusion
References
Chapter-24---E-health-moni_2021_Electronic-Devices--Circuits--and-Systems-fo
24 . E-health monitoring system
1. Introduction
2. Technology used
3. Proposed model
3.1 Objective of the work
3.2 System approach
3.2.1 Data acquirement
3.2.2 Data processing
3.2.3 Data storage
3.2.4 Data transmission
3.3 Medicine monitoring
3.4 Emergency button
3.5 MyMonitor
3.6 Smartwatch
4. Results and discussion
4.1 Pulse rate
4.2 Body temperature and activity
4.3 Blood pressure
4.4 Blood oxygen
5. Conclusion
References
Chapter-25---Comparative-analysis-of-variou_2021_Electronic-Devices--Circuit
25 . Comparative analysis of various supervised machine learning techniques for diagnosis of COVID-19
1. Introduction
2. Problem formulation
2.1 Data sets description
2.2 Data analysis
2.3 Data preprocessing
2.4 Evaluation metrics
3. ML
3.1 kNN (k-nearest neighbor)
3.2 Random forest (RF)
3.3 Bagging algorithm
4. Result analysis
4.1 kNN model
4.2 Random forest
4.3 Bagging algorithm
5. Conclusion and future work
References
Index_2021_Electronic-Devices--Circuits--and-Systems-for-Biomedical-Applicat
Index
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
Z

Citation preview

Electronic Devices, Circuits, and Systems for Biomedical Applications Challenges and Intelligent Approach

Edited by Suman Lata Tripathi Valentina E. Balas S.K. Mohapatra Kolla Bhanu Prakash Janmenjoy Nayak

Academic Press is an imprint of Elsevier 125 London Wall, London EC2Y 5AS, United Kingdom 525 B Street, Suite 1650, San Diego, CA 92101, United States 50 Hampshire Street, 5th Floor, Cambridge, MA 02139, United States The Boulevard, Langford Lane, Kidlington, Oxford OX5 1GB, United Kingdom Copyright © 2021 Elsevier Inc. All rights reserved. No part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopying, recording, or any information storage and retrieval system, without permission in writing from the publisher. Details on how to seek permission, further information about the Publisher’s permissions policies and our arrangements with organizations such as the Copyright Clearance Center and the Copyright Licensing Agency, can be found at our website: www.elsevier.com/permissions. This book and the individual contributions contained in it are protected under copyright by the Publisher (other than as may be noted herein). Notices Knowledge and best practice in this field are constantly changing. As new research and experience broaden our understanding, changes in research methods, professional practices, or medical treatment may become necessary. Practitioners and researchers must always rely on their own experience and knowledge in evaluating and using any information, methods, compounds, or experiments described herein. In using such information or methods they should be mindful of their own safety and the safety of others, including parties for whom they have a professional responsibility. To the fullest extent of the law, neither the Publisher nor the authors, contributors, or editors, assume any liability for any injury and/or damage to persons or property as a matter of products liability, negligence or otherwise, or from any use or operation of any methods, products, instructions, or ideas contained in the material herein. Library of Congress Cataloging-in-Publication Data A catalog record for this book is available from the Library of Congress British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library ISBN: 978-0-323-85172-5 For information on all Academic Press publications visit our website at https://www.elsevier.com/books-and-journals

Publisher: Mara Conner Acquisitions Editor: Fiona Geraghty Editorial Project Manager: Rachel Pomery Production Project Manager: Prasanna Kalyanaraman Cover Designer: Matthew Limbert

Typeset by TNQ Technologies

Contributors J. Ajayan SNS College of Technology, Coimbatore, Tamil Nadu, India S.S. Ashraf School of Engineering Sciences and Technology, Jamia Hamdard, New Delhi, India Neeta Awasthy GLB Group of Institutions, Noida, UP, India Sandip Bag Department of Biomedical Engineering, JIS College of Engineering, Kalyani, West Bengal, India S. Baskaran S.K.P Engineering College, Tiruvannamalai, Tamil Nadu, India Soumyadeepa Bhaumik Heritage Institute of Technology, India Shilpi Birla Manipal University, Jaipur, Rajasthan, India Preethika Immaculate Britto Department of Biomedical Engineering, College of Engineering (Woman), King Faisal University, Saudi Arabia Avik Chakraborty ECE Department, Jalpaiguri Government Engineering College, Jalpaiguri, West Bengal, India Joy Chowdhury ECE Department, NIT, Rourkela, Odisha, India J.K. Das School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Sourav Das School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Ananya Dastidar Department of Instrumentation and Electronics Engineering, College of Engineering and Technology, Bhubaneswar, Odisha, India Ningthoujam Dinita Devi Radiation Oncology and Radiotherapy, RIMS, Imphal, Manipur, India Pijush Dutta Department of Electronics and Communication Engineering, Global Institute of Management and Technology, Krishnagar, West Bengal, India Souvik Ganguli Department of Electrical and Instrumentation Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India

xxi

xxii

Contributors

Karabi Ganguly Department of Biomedical Engineering, JIS College of Engineering, Kalyani, West Bengal, India Anil Kumar Gautam Electronics and Communication Engineering, Department of North Eastern Regional Institute of Science and Technology Deemed to be University, Nirjuli, Arunachal Pradesh, India Pratik Ghosh School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Vishnuvardhanan Govindaraj Department of Biomedical Engineering, Kalasalingam Academy of Research and Education, Krishnankoil, Tamilnadu Saumyadip Hazra Department of Electrical and Instrumentation Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India Satyaranjan Jena School of Electrical Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Harsimran Jit Kaur Chitkara University Institute of Engineering and Technology, Chitkara University, Punjab, India Kakarla Hari Kishore Department of Electronics and Communication Engineering, Koneru Lakshmaiah Education Foundation, Guntur, India P. Rama Krishna Department of Electronics and Communication Engineering, Koneru Lakshmaiah Education Foundation, Guntur, India; Anurag Group of Institutions, Hyderabad, India Rajasree G. Krishnan Department of Chemistry, Amrita School of Arts and Sciences, Amrita Vishwa Vidyapeetham, Kollam, Kerala, India Kanak Kumar Electronics Engineering, IIT(BHU), Varanasi, Uttar Pradesh, India Abhimanyu Kumar Department of Electrical and Instrumentation Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India Asok Kumar Student Welfare Department, Vidyasagar University, Medinipur, West Bengal, India Raushan Kumar School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Rajesh Kumbhakar School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Suman Lata Tripathi Lovely Professional University, Phagwara, Punjab, India

Contributors

xxiii

Swanirbhar Majumder Information Technology, Tripura University, Agartala, Tripura, India A. Mohanbabu Karpagam College of Engineering, Coimbatore, Tamil Nadu, India Sushanta Kumar Mohapatra School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Pallikonda Rajasekaran Murugan Department of Electronics and Communication Engineering, Kalasalingam Academy of Research and Education, Krishnankoil, Tamilnadu V. Nikhila University of Waterloo, Waterloo, Ontario, Canada Damodar Panigrahy Department of Electronics and Communication Engineering, SRM Institute of Science & Technology, Kattankulathur, Tamil Nadu, India Monika Parmar Chitkara School of Engineering and Technology, Chitkara University, Himachal Pradesh, India Rajesh Kumar Patjoshi NIST, Berhampur, Odisha, India P.K. Patra School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Shobhandeb Paul Department of Electronics and Communication Engineering, Guru Nanak Institute of Technology, Panihati, West Bengal, India T. Poongodi School of Computing Science and Engineering, Galgotias University, Greater Noida, Uttar Pradesh, India S. Prithi Department of Computer Science and Engineering, Rajalakshmi Engineering College, Chennai, Tamil Nadu, India G. Boopathi Raja Department of Electronics and Communication Engineering, Velalar College of Engineering and Technology, Erode, Tamil Nadu, India Shasanka Sekhar Rout GIET University, Gunupur, Odisha, India Nirmal Kumar Rout School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Pradeep Kumar Sahu School of Electrical Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India

xxiv

Contributors

Sakthivel Sankaran Department of Biomedical Engineering, Kalasalingam Academy of Research and Education, Krishnankoil, Tamilnadu A. Santhy Department of Chemistry, Amrita School of Arts and Sciences, Amrita Vishwa Vidyapeetham, Kollam, Kerala, India Beena Saraswathyamma Department of Chemistry, Amrita School of Arts and Sciences, Amrita Vishwa Vidyapeetham, Kollam, Kerala, India M. Saravanan SNS College of Technology, Coimbatore, Tamil Nadu, India Arghyadeep Sarkar Department of Electrical and Computer Engineering at McMaster University, Canada Angsuman Sarkar ECE Department, Kalyani Government Engineering College, Kalyani, West Bengal, India Shubham Saxena School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India Tripurari Sharan Electronics and Communication Engineering, Department of North Eastern Regional Institute of Science and Technology Deemed to be University, Nirjuli, Arunachal Pradesh, India Aanchal Sharma Bundelkhand Institute of Engineering and Technology, Jhansi, Uttar Pradesh, India N.K. Shukla King Khalid University, Saudi Arabia Swati Sikdar Department of Biomedical Engineering, JIS College of Engineering, Kalyani, West Bengal, India Sinam Ajitkumar Singh Information Technology, Tripura University, Agartala, Tripura, India Tejender Singh School of Electronics and Electrical Engineering, Lovely Professional University, Punjab, India Neha Singh Manipal University, Jaipur, Rajasthan, India Sinam Ashinikumar Singh Electronics & Communication Engineering Department, NERIST, Nirjuli, Arunachal Pradesh, India Nagavarapu Sowmya GIET University, Gunupur, Odisha, India

Contributors

xxv

Tanya Srivastava Department of Computer Science and Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India Yashonidhi Srivastava Department of Electrical and Instrumentation Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India D. Sumathi SCOPE, VIT-AP University, Amaravati, Andhra Pradesh, India P. Suresh School of Mechanical Engineering, Galgotias University, Greater Noida, Uttar Pradesh, India M. Swathi Department of Electronics and Communication Engineering, Vignana Bharathi Institute of Technology, Hyderabad, India; CMR Institute of Technology, Hyderabad, Telangana, India Arunprasath Thiyagarajan Department of Biomedical Engineering, Kalasalingam Academy of Research and Education, Krishnankoil, Tamilnadu Suman Lata Tripathi Lovely Professional University, Phagwara, Punjab, India Sahil Virk Mentor Graphics Pvt. Ltd., Uttar Pradesh, India Rohit Yadav School of Electronics Engineering, KIIT, Deemed to be University, Bhubaneswar, Odisha, India

Preface Changing environmental conditions and the increase in diseases have affected human life to a large extent. In this context, researchers and industry people are continuously working to improve the performance of biomedical devices at a different level. Electronic devices and circuits are now an integral part of health diagnosis and monitoring systems. Also, the increasing demand for portable devices and wearables for health monitoring leads to the demand for ultra-low-power, efficient devices. Low power consumption led to several design challenges at the integrated circuit (IC) level with variations in device structure, material, and connectivity with other supporting biomedical equipment. The present book will cover every aspect of the biomedical device and circuit challenges to improve their performance in terms of power consumption, frequency, noise immunity, and IC area, etc. The book will also explore the new technologies and materials embedded with this biomedical equipment. This book will help in the design and development of new low-power, high-speed, efficient biomedical devices, circuits, and systems with new technologic solutions. It will develop an understanding of new materials to improve device performance even with smaller dimensions and lower costs. This book also deals with the new methodologies to enhance system performance and will provide key parameters to explore the devices and circuit performance based on biomedical applications. The most important thing is to bridge the gap between researchers working on different areas of biomedical devices, circuits, and systems along with artificial intelligence techniques and machine learning methodologies, leading to the new technologic solutions to healthcare applications. The impact of this title is to provide a major area of concern to develop a foundation for the implementation process and technologic solutions for new biomedical devices, circuits, and architectures. It will be helpful for researchers and designers to find out key parameters for future work in this area. The researchers working on biomedical devices and circuits can correlate their work with other requirements of smart, efficient healthcare applications. Key features: • The book presents the major design challenges and research potential in biomedical systems. • The book relates healthcare system design, industries working on low-power, efficient, and highly secured biomedical electronic devices, circuits, and systems. • The writing style of the book is simple and can be used by graduate students to PhD scholars and researchers. • The book presents the fundamentals concepts of design and implementations in an interactive way using images and photographs for easy and fast understanding. • There is a slow and smooth transition of the book from the basic concepts to the advanced biomedical systems designs. • The book not only focuses on the basics but also provides real-time system designs. • It will be helpful for researchers and designers to find out key parameters for their future work in the area of biomedical instrumentation.

xxvii

xxviii

Preface

Chapter organization This book is organized into 25 chapters. Chapter 1 gives forecasts for the reader to enlighten the upcoming research in electrochemical sensing of pharmaceuticals by exploring the properties of various carbon-based electrodes. Chapter 2 deals with selenium-based amorphous semiconductors properties and their application in the biomedical field. Chapter 3 deals with memories for implantable devices using nanodevices that can be used in biomedical applications where images are captured and analyzed for diagnostic and therapeutic purposes. Chapter 4 presents the analytical model of a gate-engineered dielectric modulated junctionless nanowire transistor to efficiently detect biomolecules electrically and in a label-free manner. Chapter 5 discusses the needs, investigation, and importance of GaN biosensors for the early diagnosis of a wide variety of diseases to provide significant reductions in death rates as a result of timely treatment, which is a present-day challenge in many developed countries. Chapter 6 focuses on the role of electrocardiogram signal for patient monitoring systems, associated artifacts, and filtering techniques to remove these artifacts. Chapter 7 describes the various applications regarding the importance of the prediction of sleep stage scoring based on the qualitative method along with traditional quantitative methods. Chapter 8 emphasizes tracking of the device data, patient health using wireless remote sensing techniques, along with an ensemble of various blocks like an operational amplifier and operational transconductance amplifier. Chapter 9 gives an idea about different types of sensor elements, used to detect events, that give data to other electronic devices, specifically for biomedical applications. Chapter 10 gives a brief introduction to different analog-to-digital converter (ADC) and digital-toanalog converter architectures and parameters with an application for the biomedical field. Chapter 11 introduces a reconfigurable pipeline ADC deliberated for implantable surveillance devices for bioimpedance applications. Chapter 12 proposes a low-power successive approximation register-analog to digital converter (SAR-ADC) with a high sampling rate and implementation on 45-nm CMOS technology node for biomedical applications. Chapter 13 presents an ultra-low-power folded cascode bulk-driven voltage to current converter, also called an operational transconductance amplifier, operating in weak inversion or subthreshold region. Chapter 14 describes the design and comparison of electronic filters for the analysis of medical images from EEG, EMG, mammography, etc. Chapter 15 is a fingerprint-based system that can entirely replace the usual paper-based records and electronic records system by providing recorded patient medical information such as body temperature, respiratory rate, glucose level, and heart rate. Chapter 16 explains the transformation in the healthcare system with telemedicine and robotics technologies that provides a complementary and synergistic approach in maintaining a healthy lifestyle.

Preface

xxix

Chapter 17 focuses on aiding people to recognize traffic signals and maneuver around without help using LabVIEW. Chapter 18 deals with the use of artificial intelligence, the internet of things (IoT), app-based detection, and monitoring techniques in the biomedical field. Chapter 19 proposes a transmitter and receiver circuit for the wireless power transfer system to develop an optimal inductive link for implantable devices. Chapter 20 focuses on the rising patterns of IoT-based applications that are being utilized to improve an individual’s life and update their physical conditions for a healthier lifestyle. Chapter 21 discusses patient monitoring by GPS smart soles, depression monitoring by smartwatches, glucose monitoring, efficient drug management, and hand hygiene monitoring as some of the applications of IoT. Chapter 22 deals with the smart sensor, the system for diagnosis of diseases, precisely chronological at an early stage, and helpful to process the electromagnetic or acoustic signals. Chapter 23 describes the overall framework of the IoT and the hindrances and challenges faced by implementing IoT in health monitoring. Chapter 24 emphasizes on improving the current standing position of the IoT in biomedical applications. Chapter 25 gives the proposed problem formulation containing the risk factor for COVID-19 and preceding datasets and also describes the machine learning technique for the data analysis.

CHAPTER

Carbon-based electrodes as a scaffold for the electrochemical sensing of pharmaceuticals: a special case of immunosuppressant drugs

1

A. Santhy, Beena Saraswathyamma, Rajasree G. Krishnan Department of Chemistry, Amrita School of Arts and Sciences, Amrita Vishwa Vidyapeetham, Kollam, Kerala, India

1. Introduction A myriad of electrochemical sensors has been developed so far by various researchers for analytical applications in biologic, food, and pharmaceutical fields [1e3]. Even if many analytical techniques including chromatography, spectrophotometry, etc., [4,5] have been developed in these fields, the electrochemical techniques have garnered tremendous applications, as they gives a simple avenue for the sensitive determination of electroactive analytes with low cost [114,115]. The outstanding features of electrochemical techniques like simple instrumentation, fast response time, high sensitivity, and ease of miniaturization enthused the researchers to explore this area of research to a wide extent [6e8]. Here the review focuses on updating the advancements of electrochemical sensors in the pharmaceutical fields using carbon-based electrodes by considering immunosuppressant drugs. Immunosuppressive drugs added a new cost-effective way of treatment to reduce the risk of graft rejection after organ transplantations [9], which flagged the development of highly sensitive analytical methods for their determinations. As the importance of immunosuppressive drugs in the healthcare system is increasing in the present scenario, it is imperative to have a quantitative and qualitative assay of these class of drugs. The researchers have been focused on acquainting copious electrochemical sensors based on new strategies for the detection of immunosuppressive drugs in recent years. Here, we highlight the characteristics of carbon-related electrochemical sensors for the analytical exploration of immunosuppressant drugs from pharmaceutical and biologic samples. The most widely used carbonbased electrodes in the electroanalytical techniques are glassy carbon electrodes (GCE), pencil graphite electrodes (PGE), carbon paste electrodes (CPE), boron doped diamond electrodes (BDDE), edge plane pyrolytic graphite electrode (EPGE), and carbon-based screen-printed electrodes (SPE). Apart from this, numerous modified carbon electrodes were also ascertained for the improved electrochemical detection of pharmaceuticals compared to bare electrodes.

Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00009-5 Copyright © 2021 Elsevier Inc. All rights reserved.

1

2

Chapter 1 Carbon-based electrodes as a scaffold

2. Carbon materials used for electrode modifications Carbon nanoparticles are extensively utilized for the modification of the electrode surface, as these possess exceptional properties due to the high surface-to-volume ratio [10,11]. The widespread use of carbon-based nanomaterials in the electrode modification process is due to the formation of a layered structure with the strong sp2 carbon bonds on the surface of the transducer. This property of carbonbased nanomaterials explains its least electrical resistance and the ability to form the charge transfer complexes with the electron donating functional groups [12,13]. Carbon nanotubes (CNTs), both single walled and multiwalled, were extensively used for modifying electrodes in the electroanalytical techniques after their first invention by Sumio Iijima [14]. The high electrical conductivity, exceptional electrocatalytic activity, and superior biocompatibility make CNTs a hot subject in the modification process for the development of novel electrochemical sensors [15]. The graphene is also a propitious candidate in the fabrication of modified electrodes for various electrochemical sensing applications [16]. Graphene, a two-dimensional nanomaterial of carbon was highly exploited in the construction of electrochemical sensors for biomolecules and pharmaceuticals due to its exceptional and unique properties like high thermal conductivity, fast electron transport, and flexibility in the mechanical properties [17e19]. Reduced graphene oxides also have been altering the electrode surface in the frontiers of electrochemical sensing due to colossal surface area, electrocatalytic activity, and exquisite electrical conductivity [20e23, 111e113]. Nanodiamond, another carbon material entirely different in properties from large diamonds, is an emerging and attractive material in the electrochemical sensing research. Its higher conductivity due to the delocalized p bonds and its ability to form stable dispersions in aqueous media makes it attractive for exploit in the field of electrochemical sensors for pharmaceuticals [24]. Fullerene (C60), is another fascinating carbon material amply used for electrocatalytic and sensor applications [25]. The electrochemistry of fullerenes is a widely studied topic in electrochemical research. Electrochemical sensors exploring the properties of fullerenes have already been reported in various pharmaceutical applications [26,27].

3. The electroactive immunosuppressant drugs Some of the immunosuppressant drugs have been broadly explored in the area of electrochemical sensing, and the studies related to their electrochemical detection is currently expanding. The following section gives a brief discussion on some of the drugs that have been used as the target analytes for the electrochemical studies using carbon-based electrodes. Fig. 1.1 displays some of the immunosuppressants that have been used for electroanalytical studies based on carbon-based electrodes. Tables 1.1e1.3 display the electrochemical techniques used for the detection of various immunosuppressant drugs employing carbon-based electrodes.

4. Bare carbon electrodes as a platform for the electroanalysis of immunosuppressant drugs Mycophenolate mofetil (MPM) is a widely acknowledged immunosuppressive agent and an anticancer drug [28,29]. It is used to mitigate the risk of rejection of foreign bodies accompanying various organ

4. Bare carbon electrodes as a platform for the electroanalysis

3

FIGURE 1.1 Some of the immunosuppressant drugs used for electrochemical studies via carbon-based electrodes.

transplantations in the human body [30e34]. However, miscarriage and defective fetal developments were also reported for the use of MPM by pregnant women [29]. An electrochemical sensor for MPM on unmodified GCE was reported by S N Prashanth et al. [35]. The sensor was used for the detection of MPM from urine, plasma, and tablet samples using differential pulse voltammetric (DPV) technique. A reasonable selectivity was achieved for the electrochemical detection of MPM with a wide linear range of concentration from 0.5 to 750 mM. The proposed work came to be the first reported sensor to study the electrochemical oxidation parameters of MPM. PGE has also been widely utilized in the electrochemical sensing platform for pharmaceuticals and biomolecules [8]. Recently a disposable electrochemical sensor was reported for selective determination of MPM with unmodified PGE [36]. The morphologic characterization of the PGE was done by field emission scanning electron microscopy (FE-SEM) and X-ray diffraction methods. Even without any modification, the sensor could achieve a low-level detection of MPM with an extensive concentration range of 20e1000 nM using DPV technique under optimized conditions. The selective assay of MPM from the complex matrix such as urine and pharmaceutical tablets was accomplished with acceptable recovery. The development of the highly stable disposable sensor for MPM with easy fabrication procedure could be taken as an advantage of this work over all other reported sensors. Methotrexate MTX is another drug used for the treatment of rheumatoid arthritis, chronic inflammatory diseases, and cancer treatments. Also, it is used for the treatment of severe allograft rejection associated with organ transplantations in the body [37]. The utility of an unmodified BDDE electrode in the DPV sensing of MTX from pharmaceutical and biologic samples was presented in a work [38]. The sensor exhibited a linear range of 0.05e20 mM with a relative standard deviation of

4

Chapter 1 Carbon-based electrodes as a scaffold

4%. Three types of pharmaceuticals samples and spiked urine were used as real samples for the detection of MTX with suitable selectivity. Ease of fabrication and sensing of MTX in low concentration range are the prominent features of this sensor in comparison to other reported works for electrochemical determination of MTX. Another drug, rapamycin (RPM), possess a dual role in the clinical fields as an anticancer drug and immunosuppressive agent [39]. From the literature survey, it seems that only two electrochemical sensors were reported for the detection of RPM. The first report was based on BDDE [40], without any modifications, and the sensor exhibited a linear range from 0.5 to 19.5 mM for the electrooxidation of RPM. The productive application of the highly selective electrode was done in biologic samples with significant recovery. Cyclophosphamide (CYP) is an important drug with immunosuppressive actions as well as having antineoplastic properties [41]. The electroreduction of CYP was studied on a GCE by Priyanka Sinha and her coworkers [42]. In differential pulse cathodic adsorptive voltammetric method (DPCAdSV), the cathodic current increased linearly as the concentration of CYP changed from 1.1 to 3.67 mM. The evaluation of the analytical pursuance of the sensing device was done by the monitoring of CYP from diluted urine samples. The application of EPGE and basal plane pyrolytic graphite electrode (BPGE) in the pharmaceutical sensing was outlined in the work for the electrochemical detection of a glucocorticoid immunosuppressant drug, hydrocortisone (HC) [43]. The roles of EPGE and BPGE in electroanalysis of various analytes were also reported in a review [44]. A nanoscale detection of HC was obtained for both EPGE and BPGE with dynamic ranges of 100e2000 nM and 500e10,000 nM respectively using Osteryoung square wave voltammetry (SWV). The feasibility of the propounded sensor was scrutinized by the quantification of HC from blood plasma and pharmaceutical samples with very low relative error. Table 1.1 displays the electrochemical sensors for the immunosuppressants via bare carbon electrodes.

5. Electroanalysis of immunosuppressants on modified carbon electrodes Table 1.2 shows the various electrochemical sensors for immunosuppressants using modified carbon electrodes. Fig. 1.2 shows the overall representation of the electrochemical sensors for immunosuppressants based on modified carbon electrodes. GCE modified with CNTs and a magnetic nano composite was used for the electrocatalytic determination of MPM by M B Gholivand and M Solgi [45]. The multiwalled carbon nanotubes (MWCNTs) functionalized with carboxylic acid (f-MWCNT/

Table 1.1 Electrochemical analysis of immunosuppressants via bare carbon electrodes. Drug

Electrode

Electrochemical technique

Linear range (mM)

References

MPM

GCE PGE BDDE BDDE GCE EPGE

DPV DPV DPV DPV DPCAdSV SWV

0.5e750 0.020e1 0.05e20 0.5e19.5 1.1e3.67 100e2000

[35] [36] [38] [40] [42] [43]

MTX RPM CYP HC

5. Electroanalysis of immunosuppressants on modified carbon electrodes

5

Table 1.2 Electrochemical sensors for immunosuppressants based on modified carbon electrode. Drug

Electrode

MPM

Fe3O4-f-MWCNT/ GCE MWCNT-GCE ERGO- GCE IL-MgO-f-SWCNT/ CPE IL-NiO/SWCNTs/ CPE MIP-MWCNTs/ GCE Cu-ADPPI MOFMWCNT-PGE CS-nanodiamond/ GCE Au-nano/GCE CNP/GCE Graphene-Ag nano/ EPGE Graphene-CS/GCE MnO-MWCNT/GCE CTAB-MWCNTSPE f-MWCNT-CPE CD-GNs/GCE Graphite oxideNafion/GCE SDS-CNT-CPE Cu nano-Nafioncarbon black/GCE p-ABSA-MWCNT/ GCE Au/GCE Poly-L-lysine/GCE CNT-graphene-GCE Au-MWCNT-ZnO CoFe2O4-graphene oxide

MPM and tacrolimus AZA

MTX

Electrochemical technique

Linear range (mM)

References

DPASV

0.05e200

[45]

DPV DPV SWV

5e160 0.040e15 1.1e450

[48] [49] [50]

SWV

0.08e900

[53]

SWV

0.0099e87

[55]

DPV

[56]

CV

0.85e155  102 and 1.1e170.0  102 0.2e100

[59]

DPV CV SWV

0.5e2300 0.2e50 0.7e100

[60] [61] [62]

SWV Amperometry SWV

0.1e26 0.045e2530 0.5e100

[64] [65] [67]

DPV and SWV DPV CV

0.4e5.5 and 0.01e1.5 0.1e1 0.4e20

[69] [70] [72]

CV SWV

0.2e7 2.2e25

[73] [75]

DPV

0.1e8

[78]

SWV SWV DPV SWV DPV

0.04e2 0.005e0.2 0.7e100 0.02e1 0.05e7.5

[79] [80] [81] [82] [83] Continued

6

Chapter 1 Carbon-based electrodes as a scaffold

Table 1.2 Electrochemical sensors for immunosuppressants based on modified carbon electrode.dcont’d Drug CYP DMS

DMS, PDN, and HC PDE PDN RPM

Electrochemical technique

Linear range (mM)

References

DHP-MWCNT-GCE MIP-o-aminophenolGCE C60-EPGE Hematite-graphene oxide/GCE CPE/IL- Fe3O4PANI-CuII Poly-glycineMWCNT-CPE

DPAdSV CV

0.005e5 8  106e0.8

[84] [85]

SVW DPV

0.05e100 0.1e50

[86] [89]

DPV

0.05e30

[90]

DPV, SWV, and LSV

[91]

CTAB-MWCNTEPGE GNP/GCE MWCNT-PGE b-CD/CPE

SWV

(7.16e85.6)  103, (4.78e143.4)  103, and (0.19e19.2)  103 0.001e100

DPV SWV DPV

C60-EPGE MIP-MWCNT-CPE Carbon SPE

SVW DPV SWV

Electrode

0.1e5000 0.15e100 0.41e20, 0.56e20, 0.42e25 0.05e50 0.08e160.00 0.1e100

[92] [93] [94] [95] [98] [99] [100]

FIGURE 1.2 Schematic illustration of electrochemical sensing of immunosuppressants using modified carbon electrodes.

5. Electroanalysis of immunosuppressants on modified carbon electrodes

7

GCE) were used for the modification of GCE, and the obtained electrode was further modified with magnetic Fe3O4 nano composite. The resulting electrode was utilized for the electrochemical detection of MPM from real samples such as urine and serum with good recovery. Differential pulse anodic stripping voltammetry (DPASV) was employed for the analytical determination under the optimized conditions, and a concentration range of 0.05e200 mM was obtained. The synergistic effect of fMWCNT and Fe3O4 nano composite showed an excellent electrocatalytic activity toward the electrochemical determination of MPM compared to bare GCE as reported in Ref. [46] for the electrochemical detection of H2O2 using DPASV. The increase in the active surface area, the complexation of MMF with the Fe2þ ion in the Fe3O4 nanoparticle, and the higher conductivity after modification were explained to be the cause of enhanced electrochemical oxidation of MPM using this method. Also, the interaction of Fe2þ ion with the MPM was experimentally proved by spectroscopic characterization techniques in the solid state and in polar aqueous solvents [47]. The investigated method showed stability, repeatability, and selectivity for the detection of MPM from the complex matrix of the real samples. The utilization of GCE modified with MWCNTs for the synchronous estimation of MPM and mycophenolic acid was described in another study by T Madrakian et al. [48]. The results of DPV showed that the modification of MWCNTs with the GCE could enhance the oxidation peak current compared to bare GCE in an analytically useful way. The sensor offered good stability and reproducibility with a working concentration range of 5e160 mM. The method enabled the selective detection of MPM from the real samples such as urine and plasma. The results displayed that the use of functionalized MWCNTs as reported in the previous work showed a 100-fold decrease in the lowest working concentration of MPM compared to unmodified MWCNTs on GCE. Electrochemically reduced graphene oxide (ERGO) on GCE was also employed for the electrochemical detection of MPM in another work done by P S Narayana et al. [49]. A green method was adopted for the reduction of graphene oxide electrochemically on GCE. The generation of increased surface area and formation of thin films after the ERGO modification could explain the enhanced oxidation current for the MMF compared to unmodified GCE using DPV. The result showed that anodic peak current was proportional to the MPM concentration with a linear range of 40 nMe15 mM. The method has been fruitfully applied for the analytical determination of MPM from the pharmaceutical formulations with high stability and reproducibility. The ERGO-modified GCE has been applied for the selective determination of MPM in the presence of other analytes, which proved the analytical application of graphene oxide in electrochemical sensing of MPM. The results indicated that the incorporation of reduced graphene oxide on GCE for modification enabled the quantification of MPM in a lower concentration range compared with the other GCE-based sensors. Various strategies were adopted by researchers for the electrochemical sensing of MPM using CPE. CNTs, nanoparticles of metal oxides, ionic liquids, etc., were employed to modify CPEs in various studies. A voltammetric sensor for simultaneous detection of MPM with tryptophan was described in the study of Mohsen Ashjari and his coworkers [50]. A three-step modification process was done on CPE with carboxylated single-walled carbon nanotubes (SWCNTs), magnesium oxide nanoparticle, and a room temperature ionic liquid, n-hexyl-3-methylimidazolium hexafluoro phosphate. The sensor exhibited excellent sensitivity for the electrochemical detection of MPM with a linear range of 0.1e450 mM. The rate of electron transfer was highly improved after the modification due to the large surface area and low charge transfer resistance. Hence a better oxidation peak current for MPM in presence of tryptophan was observed for the modified electrode compared to the bare CPE. SWV was

8

Chapter 1 Carbon-based electrodes as a scaffold

utilized to study the electrochemical characteristics of MPM under the optimized parameters. The electrode was implemented for the detection of MPM from pharmaceutical dosage forms and serum successfully and proven to be a selective sensor for MPM. The combination of SWCNT-MgO nanocomposite and room temperature ionic liquids on CPE was also reported for the successful electrochemical detection of vanillin and tramadol [51,52]. In another study by Firuzeh Hosseini et al. [53], a combination of NiO/SWCNTs and 1-methyl-3butylimidazolium bromide was used for the modification of CPE. The modified electrode possessed a large surface area and acts as good conducting substrate for the electrooxidation of MPM when compared to bare CPE. These characteristics enabled the sensor to achieve a higher anodic peak current for the electrochemical oxidation of MPM with a wide linear range of 0.08e900 mM. The realtime application of the device was done by the electrochemical detection of MPM in pharmaceutical serum and tablet samples using SWV. From the results obtained, the sensor displayed a concentration range that is better than that reported by Mohsen Ashjari et al. [50]. Molecular imprinted polymers (MIPs) are found to be a highly promising candidate for the electrochemical sensing platform due to their outstanding selectivity toward a target molecule. MIPs are synthetic polymers formed by the polymerization of certain monomers in the presence of target analytes as the template molecule. The template molecule is further removed selectively from the polymer matrix by extraction with suitable solvents. The resulting thin polymer film on the electrode carries some cavities that suit the shape and size of the analyte molecules. The electrochemical response obtained when this thin polymer encounters the solution containing the analyte molecules is responsible for the electrochemical sensing of the analyte [54]. The general procedure for the MIP sensors is illustrated in Fig. 1.3. A MIP sensor based on CPE for the electrochemical detection of MMF has been developed by H Momeneh and M B Gholivand [55]. The MIP was prepared from the methacrylic acid as the monomer with MPM as the template molecule. The MIPs in combination with

FIGURE 1.3 Schematic representation of procedures involved in MIP-based electrochemical sensors.

5. Electroanalysis of immunosuppressants on modified carbon electrodes

9

MWCNTs were used to modify the CPE, which improved the conductivity of the sensor. With the optimized analytical parameters, SWV was employed for the analytical determination of MPM from the real samples such as serum and urine with high accuracy and precision. The sensor exhibited good selectivity and repeatability, and a dynamic concentration range of 9.9 nMe87 mM was obtained from the calibration plot for the electrooxidation of MPM. The construction and optimization of the electrochemical sensor for MPM along with another immunosuppressant drug tacrolimus was reported by M H Mahnashi et al. on pencil graphite electrode [56]. Tacrolimus pertains to the class of calcineurin inhibitors and is used for patients having organ transplantation to avoid the rejection of graft. This was the first attempt done by the researchers to fabricate an electrochemical sensor for the simultaneous detection of MPM with another drug. The sensor utilizes electropolymerization technique to modify the PGE with MWCNT and a metal organic framework (MOF) Cue1N-allyl-2-(2,5-dimethoxyphenyl)-4,5-diphenyl-1H-imidazole (Cu-ADPPI MOF) to obtain a disposable electrode for the quantification of MPM and tacrolimus together. MOFs are found to be a good choice of material for the modification of carbon-based electrodes in electrochemical sensing research due to their excellent hosteguest interactions with the analyte molecules [57]. The MOFs are associated with internal cavities containing multiple pores with various sizes and shapes, which are attributed to their sensing properties. Using DPV technique, they reported a highly stable and reproducible sensor for MPM and tacrolimus with a dynamic concentration range of 0.85e155  108 M and 1.1e170.0  108 M respectively. The result was found to be the lowest concentration range ever reported for electrochemical sensing of MPM. The sensor was highly selective in nature and was analytically applied for the analysis of MPM from biologic fluids such as urine and plasma with fair recovery. Azathioprine (AZA) is an immunosuppressant drug having a clinical history of around 25 years. This drug is used to treat acute inflammatory disease and reduce the risk of graft rejection in the posttransplantation stage [58]. Nanodiamond, a novel carbon material, was introduced as modifier in a work for the electrochemical detection of AZA with a linear range of 0.2e100 mM [59]. Chitosan (CS) is added as a dispersive agent for the nanodiamond, and electroreduction of AZA could be achieved on the modified GCE with the cathodic current approximately 70 times higher than that of bare GCE using CV technique. The practical employability of the sensor was done from the pharmaceutical tablets and blood serum. A gold neuronal-like nanostructure was synthesized by wet chemical method by Mei L. P. et al. [60] and was used to decorate GCE for the electrochemical detection of AZA. A linear range of 0.5e2300 mM was obtained using DPV and was analytically applied in the blood serum samples. A cyclic voltammetric determination of AZA was reported in another work [61] using carbon nanoparticle (CNP)-modified GCE with a linearity of 0.2e50 mM. The CNPs were drop casted on the Nafion-coated GCE, and an enhancement of reduction peak current of about 40 times to that of bare GCE was obtained. A pyrolytic graphite electrode was employed by Elham Asadian et al. for the SWV determination of AZA from real samples such as azathioprine tablets and blood serum [62]. Graphene nanosheets modified with Ag nanoparticles were decorated on pyrolytic graphite electrode to detect AZA electrochemically over a linear range of 0.7e100 mM. The metal nanoparticle-modified graphene nanosheets were an excellent material in the electrochemical sensing application due to the combined effect of graphene and metal nanoparticles [63]. Moreover, a highly reproducible and stable electrochemical sensor for AZA was delineated in another report [64] by the electrodeposition of graphene-CS nanocomposite on GCE with high electrical conductivity. Using SWV a linear concentration of range

10

Chapter 1 Carbon-based electrodes as a scaffold

0.1e26 mM was achieved, and the real sample application was done on tablets and blood serum. MWCNTs are utilized for the selective and sensitive determination of AZA on GCE substrate [65]. A composite film of manganese oxide microcube-modified MWCNTs on GCE provided a concentration range 0.045e2530 mM of AZA by amperometric determination. This broad working concentration range of AZA like that reported in Ref. [60] is one of the highlights of this sensor when compared to all other electrochemical sensors for AZA mentioned in this review. The technology of developing disposable SPE using carbon-based ink was beneficially applied in electrochemical sensing applications due to low cost and wide potential window with minimum background currents [66]. An MWCNT-modified SPE was introduced for the SWV determination of MTX in the pure and dosage form by Shi Wang et al. [67]. A linear range of MTX concentrations was obtained from 0.5 to 100 mM. Cetyltrimethylammonium bromide CTAB solution was used for dispersing the MWCNTs on SPE. The screen printing by inkjet technology was considered a more efficient method for modifying electrodes than the conventional drop casting methods [68]. A nondisposable electrochemical sensor for MTX was portrayed through a functionalized MWCNT carbon paste electrode in another report [69]. Both DPV and SWV were used to measure the electrochemical response of MTX with linear concentration ranges of 0.4e5.5 mM and 0.01e1.5 mM respectively. Using standard addition method, electrochemical quantification of MTX from undiluted synthetic urine, blood serum, and pharmaceutical tablets by DPV technique was achieved. Thus, the sensor was successfully utilized for the in vitro analysis of real samples with high selectivity in the complex matrix and could be taken as a benefit of this work over other electrochemical sensors for MTX. In another report [70], the simultaneous determination of MTX and doxorubicin, an antineoplastic drug, was described on a cyclodextrin-graphene hybrid nanosheet-modified glassy carbon electrode (CD-GNs/GCE). The obtained electrochemical response was about 23.7 times higher than that of unmodified GCE. The sensor showed a linear range of 0.1e1 mM using DPV measurements. Besides, the CD-GNs/GCE possessed high selectivity and stability for the electrochemical detection of MTX and certainly is considered an analytical tool for the determination of MTX from real samples. Graphite oxide or graphitic acid is a pseudo-two-dimensional carbon-based material, and electrochemical sensors based on this are reported for the drug acetaminophen [71]. The fabrication of a graphite oxide-Nafion-coated GCE and the CV response of MTX on this electrode were presented in a work [72] with a linear range of 0.4e20 mM. The interference study was also conducted, and the analysis of MTX in methotrexate injection and urine was done. The suggested sensor was found to be reliable for the determination of MTX from real samples as results were compared with standard reference method. Another surfactant-modified CPE decorated with CNTs was introduced for the detection of MTX using CV by Jamballi G. Manjunatha [73]. A linearity was obtained in the range of 0.2e7 mM with excellent stability and reproducibility. The adsorbing property of surfactants on the electrode surface leads to the enhancement in the electrochemical response of analytes in electrochemical sensors [74]. Three different surfactants such as sodium dodecyl sulfate (SDS), CTAB, and Triton X-100 were used for the modifications with CNT, and later, SDS-CNT CPE was used for analytical applications as the electrochemical response was better when compared to the other two electrodes. The dynamic linear range obtained by CV is 0.2e7 mM. The detection of MTX from commercially available methotrexate injection was done with reasonable accuracy. The first concurrent detection of doxorubicin and MTX was reported on an amperometrically electrodeposited copper nanoparticle-modified Nafion-carbon black film-coated GCE [75]. The

5. Electroanalysis of immunosuppressants on modified carbon electrodes

11

observed linear working range of MTX was 2.2e25 mM using SWV. The use of carbon black, another significant carbon nanomaterial, along with metal nanoparticles shed light on the various areas of electrochemical sensing research [76,77]. The aforementioned sensor was applied to determine the MTX concentration from human urine samples and river water samples with good recovery. Thus, the sensor works consistently in the electroanalytical detection of MTX from both biologic and environmental samples. The electrooxidation of MTX in the presence of 8 mM calcium folinate on a GCE was described in a report [78]. The sensor was prepared by electropolymerizing para amino benzene sulphonic acid (p-ABSA), a conducting polymer, on an amine functionalized MWCNT-modified GCE. The calibration plot showed a linearity from 0.1 to 8 mM for MTX concentrations in DPV measurements. The electrode was fruitfully applied for the detection MTX in presence of calcium folate from urine sample with excellent selectivity. Self-assembled monolayers of gold nanoparticles on GCE were practically applied for MTX detection from pharmaceutical dosage forms and blood serum samples by SWV technique [79]. The sensor detects the concentration range of MTX from 0.04 to 2 mM. A very low concentration of MTX was detected by the sensor compared with bare GCE without much interference with the other species present in real sample matrices. Another reported work for the quantitative determination of MTX was based on poly L-lysine modification on GCE [80]. The electrochemical response of MTX was evaluated by CV with and without the surfactant sodium dodecyl benzene sulfonate. The enrichment in the anodic peak current was obtained for the former and was chosen for the feasible application of the sensor. In SWV experiments, the concentration range of MTX follows a linear increase from 0.005 to 0.2 mM. The highly stable and selective sensor was employed for the quantitative assay of MTX from tablets and human serum with satisfactory recovery. A combination of CNT and graphene were presented in Ref. [81] for the modification of GCE to electrochemically quantify MTX from real samples such as tablets and human serum. The coupled effect of the three-dimensional network of CNT and graphene improved the electrochemical response of MTX on the modified electrode. Under optimized electrochemical parameters, the DPV showed a wide linear range from 0.7 to 100 mM, when compared with other reports. MTX and epirubicin, another antineoplastic drug, were simultaneously detected using an SPE modified with Au-MWCNTZnO nanocomposite [82]. The SWV studies showed that a linear range of 0.02e1 mM for the pure form of MTX solution. This single-use sensor was conveniently used for the investigation of MTX from commercially available injections and whole blood samples. In another approach, CoFe2O4 nanoparticle-decorated reduced graphene oxide electrode was used for the selective determination of MTX from pharmaceutical samples with good results [83]. The properties of graphene oxide along with metal nanoparticles could enhance the electrochemical response of MTX compared to unmodified, and the oxidation current was found to be proportional to the MTX concentrations from 0.05e7.5 mM. Surfactants have proven to be an excellent material for tailoring the electrodes in electrochemical sensors. As we discussed previously, different works were reported for the electroanalytical quantification of MTX based on various surfactants. One more electrochemical sensor for MTX based on the surfactant dihexadecylhydrogenphosphate (DHP) was fashioned on MWCNT-modified GCE [84]. The MWCNT was immobilized on the DHP film to obtain a highly repeatable electrode for the detection of MTX from tablets. The anodic peak current was increased proportionally in a range of 0.005e5 mM using differential pulse adsorptive stripping voltammetry (DPAdSV).

12

Chapter 1 Carbon-based electrodes as a scaffold

Molecular imprinting technology was adopted for the electroanalytical detection of CYP on GCE [85]. A nitrogen and sulfur co-doped activated graphene was drop casted on a GCE followed by the electropolymerization of o-aminophenol in the presence of CYP to get an MIP electrode. Similarly, a nonimprinted (NIP) electrode was also prepared with same procedure without CYP for comparative measurements. The CV measurements of MIP were done after leaching with 0.1 M NaOH, and a concentration range of 8 pMe0.8 mM was obtained in the calibration plot. The real-time analytical application was done on the blood serum samples collected from rabbit after a dose of CYP was administered. A good result was achieved for the electrochemical detection of CYP due to the highly conducting nature of heteroatom-doped graphene and the highly selective molecular imprinted cavities on the modified electrode toward CYP. This is the only work reported for the electrochemical detection of CYP in picomolar concentrations. Dexamethasone (DMS), a synthetic derivative of glucocorticoid hydrocortisone, is a commonly used drug with immunosuppressive and antiinflammatory properties [86]. The electroanalytical determination of DMS utilizing the properties of C60 using GCE and pyrolytic graphite electrode was demonstrated in a report [87]. A comparative description of the two electrodes was also highlighted in the report. A solution of C60 in dichloromethane is drop coated on the GCE and pyrolytic graphite electrode followed by pretreatment and electrochemical activation of C60 film by CV technique. The resulting conductive film [88] obtained was electrochemically evaluated by SQW for the detection of DMS. The results showed that the C60-modified pyrolytic graphite electrode showed less negative reduction potential for the electroreduction of DMS with enhanced peak current compared to C60modified GCE. The C60-modified pyrolytic graphite electrode showed a concentration range of DMS from 0.05e100 mM in the calibration plot. The real-time application of the highly stable and reproducible sensor was conducted successfully on blood plasma samples collected from patients with DMS treatments and from tablet samples. A nanocomposite material of hematite and graphene oxide was synthesized, characterized, and was coated on a GCE to obtain an electrochemical sensor for DMS in blood plasma [89]. The combined effect of hematite nanoparticles and graphene oxide provided a high surface-to-volume ratio, which enhanced the sensitivity of the sensor compared to GCE without modification. The DPV method was investigated to study the electrooxidation of DMS and two linear concentration ranges of 0.1e10 mM and 0.1e50 mM. The sensor provided a simple method for the DMS from real samples without much interference from the coexisting species in the complex matrix. The sensitive determination of DMS from blood serum and urine samples was achieved on a copper (II)-loaded Fe3O4-polyaniline (PANI) nanocomposite-decorated ionic liquid (IL)-modified CPE [90]. The electrochemical response of bare CPE, CPE-IL, CPE-IL-Fe3O4, CPE-IL-Fe3O4-PANI, and CPE-IL-Fe3O4-PANI-CuII were investigated by CV in the presence of DMS. An improvement in the peak current for CPE-IL-Fe3O4-PANI-CuII compared to all other electrodes suggested the synergistic effect of the modifiers on the electrochemical oxidation of DMS. Under optimized conditions, the DPV studies showed a linear increase in concentration of DMS from 0.05e30 mM. A satisfactory recovery was obtained for the determination of DMS in the practical application for the highly selective and reproducible CPE-based electrochemical sensor. The use of electropolymerization technique was used to fabricate an electrochemical sensor for DMS on CPE. The electrode was developed by forming a thin film of poly-glycine on an MWCNT-modified CPE [91] The electrochemical behavior of the electrochemically tailored electrode was studied by DPV, SWV, and linear sweep voltammetry (LSV). Linear concentration ranges of 7.16e85.6 mM, 4.78e143.4 mM, and 0.19e19.2 mM were obtained for DPV, SWV, and LSV,

5. Electroanalysis of immunosuppressants on modified carbon electrodes

13

respectively, for the electrooxidation of DMS. The recoveries of DMS from a 1:1 solution of dopamine, ascorbic acid, and uric acid were calculated using LSV. The sensor showed no significant interferences, and the simultaneous determination of dopamine and DMS was also achieved with LSV measurements. Also, the sensor was practically employed to monitor the amount of DMS from spiked urine samples with very low relative error. Rajendra N. Goyal et al. reported the use of MWCNT on EPGE and the effect of the surfactant CTAB for the electrochemical analysis of DMS [92]. Because of the hydrophobic interaction, CTAB will form a stable film on MWCNT-modified EPGE. The MWCNT suspension was drop coated on the EPGE surface, and CV was used to analyze the electrochemical response of DMS in the presence and absence of CTAB. An amelioration in the cathodic peak current was noticed for DMS with CTAB compared to the other, suggesting the electrocatalytic activity of the CTAB along with MWCNT for the electroreduction of DMS. The concentration of the DMS varied linearly with the reduction peak current of DMS from 1 nM to 100 mM with CTAB in SWV measurements. The real-time monitoring of commercially available DMS tablets and human urine collected from the patients, who have been treated with DMS tablets, was performed with the highly reproducible sensor. Another sensor based on graphene nanoparticle-modified GCE was reported for the selective determination of DMS from blood plasma and pharmaceutical samples [93]. For electrochemical determination of the DMS, varieties of graphene such as quantum dot of graphene, graphene oxide (GO), electrochemically synthesized graphene, reduced graphene, and graphene nanoplate (GNP) were used to tailor the properties of the GCE surface. The best result for the electrochemical reduction of DMS was achieved from GNP-modified GCE compared to other developed electrodes. Two linear ranges of 0.1e50 mM and 50e5000 mM were obtained in the calibration plot for the DPV studies of GNP-modified GCE. With the appropriate analytical conditions, the sensor was applied for the detection of DMS from real samples with reasonable stability. The use of PGE for the square wave voltammetric determination of DMS from pharmaceutical and biologic samples was developed in another work [94]. The sensor was fabricated by modifying the PGE with activated MWCNT and was studied by CV, electrochemical impedance spectroscopy, and SEM. The effect of MWCNT increases the anodic peak current of DMS and reduces the oxidation over potential compared to bare PGE. A linear range of 0.15e100 mM was obtained for the detection of DMS. The individual determination of three immunosuppressive drugs, DMS, prednisolone (PDN), and HC, on a b-cyclodextrin (b-CD)-modified CPE was elucidated in Ref. [95]. The electroreduction of keto groups in these glucocorticoid drugs resulted in sharp peaks on the modified CPE, and the current obtained was more than that of bare CPE because of the cyclodextrin on the CPE. The cyclodextrins are familiar for the formation of inclusion complexes with the guest analytes, and this was a widely studied topic [96]. The b- CD-modified CPE was applied analytically for the determination of these drugs from serum, tablets, and urine samples by DPV. The linear ranges obtained for DMS, PDN, and HC were 0.41e20 mM, 0.56e20 mM, and 0.42e25 mM respectively. Even then, the simultaneous determination of these drugs not reported in this work may be due to the reduction of keto groups at almost near potential values for DMS, PDN, and HC. Prednisone (PDE) is also another glucocorticoid drug used in immunosuppressive treatments [97]. Like the work reported in Ref. [87], a C60-modified EPGE was constructed for the determination of PDE from urine samples [98]. In SWV measurements, the reduction peak current increased proportionally with the concentration of PDE from 0.05 to 50 mM. In another work [99], the electrooxidation of PDN was achieved using MIP technique on an MWCNT-modified CPE, which was rarely reported

14

Chapter 1 Carbon-based electrodes as a scaffold

in the literature reports. The oxidizing part of the PDN had many interactions with the functional groups present in the cavities of MIP rather than the reduction sites. Thus, oxidation potential gets lowered on the MIP, and the effect of MWCNTs reinforced the oxidation current obtained by the sensor. A dynamic concentration range of 0.08e160.00 mM resulted from DPV studies, and the effectual use of the sensor for monitoring the PDN in plasma, urine, and pharmaceutical dosage was communicated in the work. Another work for RPM was reported on a carbon SPE with IL and graphene composite modification [100]. The presence of Ils and graphene nanoparticles reinstates the stability of the electrode, and a wide linear range from 0.1 to 100 mM was obtained compared to the previous sensor. The analytical performance was evaluated by SWV in urine and blood samples, and the obtained results were validated with standard reference methods.

6. Electrochemical biosensors for immunosuppressants using carbon electrodes Several works based on the interaction of DNA with drugs have been reported for the electroanalytical determination of pharmaceuticals. The exposition of DNA-SWCNT hybrids was reported in Ref. [101] for the sensor applications. The electrochemical oxidation of MTX was studied on a glassy carbon electrode modified with DNA functionalized single-walled carbon nanotube (DNA/SWCNT) and Nafion composite film by CV, SWV, and chronocoulometry [102]. The as-prepared sensor showed an enhanced peak current compared to bare GCE due to the synergic effect of DNA/SWCNT and Nafion composite film on GCE. A concentration range of 0.02e1.5 mM was obtained by square wave anodic stripping voltammetry (SWASV) under optimized conditions. Pharmaceutical tablets and blood serum were the real samples applied for quantitative and selective determination of MTX using the aforementioned sensor. Another example for DNA-based electrochemical sensor for MTX was reported by Jincheng Chen et al. [103]. DNA was immobilized on a GO-coated GCE by potentiostatic electrodeposition method. The prepared electrode showed oxidation peak current with a linear increase from 0.055e2.2 mM in DPV measurements. As the MTX concentration increased from 0 to 2.2 mM, the oxidation of guanine from DNA occurs and its peak current increases parallelly. This may be due to the structural deformation of DNA with the addition of MTX [104]. Thus, the detection of MTX was evaluated from the electrochemical response of guanine. The developed electrode was efficaciously employed for the selective assay of MTX from blood serum and spiked urine samples with reasonable recovery. A different strategy was used to fabricate a biosensor for MTX based on DNA by forming a thin layer of DNA on pretreated GCE surface by LangmuireBlodgett (LB) technique [105]. The application of LB technique in electrochromic devices was explained in Ref. [106]. The formation of highly oriented molecular assemblies on the surface of the electrode [107] with controlled thickness may be attributed to the enhanced anodic peak current for MTX compared to the bare GCE. The suspension of DNA was prepared in octadecyl amine, and the suspension was then compressed gently on the GCE to obtain the LB film. The resulting electrode showed electrochemical response toward MTX concentrations from 0.002e4 mM using SWV. The practical utility of the sensor was proved by the analysis of MTX from real samples such as MTX injections and spiked urine.

6. Electrochemical biosensors for immunosuppressants using carbon electrodes

15

A biosensor for the PDE was developed on GCE based on DNA as the biologic recognition element [108]. A three-step decoration process was introduced on GCE by electrodepositing Ag nanoparticles followed by the modification with polyglyoxal-bis(2-hydroxyanil) and dsDNA respectively. The linear concentration obtained for the PDE with the sensor was 1000e50,000 mM, which was evaluated based on the oxidation signals of both adenine and guanine. The interaction of the PDE with the biorecognition element paved the way for the highly selective and successful detection of PDE from serum samples using DPV. A comparative study for the fabrication and electrochemical characterization of CPE, PGE, and hanging mercury drop electrodes modified with DNA for the analysis of CPY was demonstrated in Ref. [109]. Here, we focus on explaining the analytical performances of only DNA-modified CPE and PGE. The PGE and CPE were modified by thymus calf single-stranded DNA (ssDNA) and doublestranded DNA (dsDNA) separately, and the electrochemical behavior of each sensor toward CYP was analyzed by DPV. The electrochemical studies of these biosensors were evaluated based on the oxidation signals of adenine and guanine. These oxidation signals arise due to the interaction of CYP with the adenine and guanine in the DNA. Oxidation signals of both adenine and guanine were linear with the increasing concentration of CPY. However, the oxidation peak of guanine was considered for the optimization for both PGE and CPE. The oxidation peak of guanine increased with the CYP concentration ranging from 0.01e0.08 mM, 0.001e0.07 mM, 0.05e0.1 mM, and 0.008e0.01 mM for ds-DNA-PGE, ss-DNA-PGE, ds-DNA-CPE, and ss-DNA-CPE respectively. The results depicted the usefulness of all -modified electrodes for the detection of CPY. Also, on comparing the dsDNAmodified CPE and PGE, the latter biosensor could be preferable due to its more reproducibility and disposable nature compared to CPE. Sigen Wang et al. elucidated another dsDNA-CNT-modified electrochemical biosensor for CPY on an SPE scaffold [110]. The sensor was developed by drop casting CNT suspension on the substrate followed by the immobilization of DNA. On DPV studies, the two electrooxidation signals corresponding to adenine and guanine were observed on the addition of CPY as reported in Ref. [109]. But the anodic peak current of adenine was found to increase with the CPY concentration and was considered for the evaluation of the developed biosensor. The results revealed that the presence of CNT along with DNA decreased the response time with higher reproducibility. Table 1.3 displays the electrochemical biosensors for immunosuppressants via carbon-based electrodes. Table 1.3 Electrochemical biosensors for immunosuppressants using carbon electrodes. Drug

Electrode

MTX

DNA/SWCNT-GCE DNA-GO-GCE DNA-GCE Ag-poly glyoxal-bis(2hydroxyanil) dsDNA/ GCE dsDNA-PGE, ss-DNAPGE, dsDNA-CPE, and ss-DNA-CPE dsDNA-CNT-SPE

PDE

CPY

Electrochemical technique

Linear range (mM)

References

SWASV DPV SWV DPV

0. 02e1.5 0.055e2.2 0.002e4 1000e50,000

[102] [103] [105] [108]

DPV

0.01e0.08, 0.001e0.07, 0.05e0.1, and 0.008e0.01 e

[109]

DPV

[110]

16

Chapter 1 Carbon-based electrodes as a scaffold

7. Conclusion and outlook A simplified and exhaustive evaluation of carbon-based electrochemical sensors for immunosuppressant drugs has been reported here for the first time. Several electrochemical methods including biosensors have been developed to quantify this class of drugs from different matrices due to their increasing demand in clinical fields. To control the quality of the drug and to detect the amount of these drugs from biologic samples, the development of highly facile point-of-care devices is highly essential. Now the carbon-based electrodes have become the focus of attention in electrochemical sensing in view of their cheap availability and good electron transfer kinetics. The design and construction of various electrochemical sensors with their electrochemical characteristics are mentioned in this review. This chapter emphasizes the advantages of carbon-based materials in the electrochemical sensing of pharmaceuticals based on different perspectives. The chapter aims to bring future developments in electrochemical sensors of pharmaceuticals to a better height and to hasten the current developments in this field by prospecting the advantages of carbon-based electrode materials.

Acknowledgments The authors express our gratitude to Amrita Vishwa Vidyapeetham, Amritapuri Campus, for the support to carry out our research work.

References [1] S. Cheraghi, M.A. Taher, H. Karimi-Maleh, Highly sensitive square wave voltammetric sensor employing CdO/SWCNTs and room temperature ionic liquid for analysis of vanillin and folic acid in food samples, J. Food Compos. Anal. 62 (2017) 254e259, https://doi.org/10.1016/j.jfca.2017.06.006. [2] S. Chandra, S. Siraj, D.K.Y. Wong, Recent advances in biosensing for neurotransmitters and disease biomarkers using microelectrodes, ChemElectroChem 4 (2017) 822e833, https://doi.org/10.1002/ celc.201600810. [3] S.M. Siddeeg, Electrochemical detection of neurotransmitter dopamine: a review, Int. J. Electrochem. Sci. 15 (2020) 599e612, https://doi.org/10.20964/2020.01.61. [4] V. Gupte, U. Luthra, Analytical techniques for serratiopeptidase: a review, J. Pharm. Anal. 7 (2017) 203e207, https://doi.org/10.1016/j.jpha.2017.03.005. [5] T. Shi, G. Wu, Q. Jin, X. Wang, Camellia oil authentication: a comparative analysis and recent analytical techniques developed for its assessment. A review, Trends Food Sci. Technol. 97 (2020) 88e99, https:// doi.org/10.1016/j.tifs.2020.01.005. [6] D.G. Patil, M. Naveen, A.M.B. Gokavi, S.T. Nandibewoor, Analytical & bioanalytical electrochemistry, Anal. Bioanal. Electrochem. 8 (2016) 78e91. [7] H.T. Purushothama, Y.A. Nayaka, M.M. Vinay, P. Manjunatha, R.O. Yathisha, K.V. Basavarajappa, Pencil graphite electrode as an electrochemical sensor for the voltammetric determination of chlorpromazine, J. Sci. Adv. Mater. Devices 3 (2018) 161e166, https://doi.org/10.1016/j.jsamd.2018.03.007. [8] I.G. David, D.E. Popa, M. Buleandra, Pencil graphite electrodes: a versatile tool in electroanalysis, J. Anal. Method. Chem. 2017 (2017), https://doi.org/10.1155/2017/1905968. [9] J.F. Gummert, T. Ikonen, R.E. Morris, Newer immunosuppressive drugs: a review, J. Am. Soc. Nephrol. 10 (1999) 1366e1380.

References

17

[10] E. Asadian, M. Ghalkhani, S. Shahrokhian, Electrochemical sensing based on carbon nanoparticles: a review, Sens. Actuator. B Chem. 293 (2019) 183e209, https://doi.org/10.1016/j.snb.2019.04.075. [11] C. Yang, M.E. Denno, P. Pyakurel, B.J. Venton, Recent trends in carbon nanomaterial-based electrochemical sensors for biomolecules: a review, Anal. Chim. Acta 887 (2015) 17e37, https://doi.org/10.1016/ j.aca.2015.05.049. [12] T. Anusha, K.S. Bhavani, J.V.S. Kumar, P.K. Brahman, Designing and fabrication of electrochemical nanosensor employing fullerene-C60 and bimetallic nanoparticles composite film for the detection of vitamin D3 in blood samples, Diam. Relat. Mater. 104 (2020) 107761, https://doi.org/10.1016/ j.diamond.2020.107761. [13] T.M. Barnes, J. Van De Lagemaat, D. Levi, G. Rumbles, T.J. Coutts, C.L. Weeks, et al., Optical characterization of highly conductive single-wall carbon-nanotube transparent electrodes, Phys. Rev. B 75 (2007) 1e10, https://doi.org/10.1103/PhysRevB.75.235410. [14] S. Iijima, © 19 9 1 nature publishing group 그라첼꺼, Nature 354 (1991) 56e58. [15] G.G. Oliveira, D.C. Azzi, F.C. Vicentini, E.R. Sartori, O. Fatibello-Filho, Voltammetric determination of verapamil and propranolol using a glassy carbon electrode modified with functionalized multiwalled carbon nanotubes within a poly (allylamine hydrochloride) film, J. Electroanal. Chem. 708 (2013) 73e79, https:// doi.org/10.1016/j.jelechem.2013.09.016. [16] J. Bai, X. Jiang, A facile one-pot synthesis of copper sulfide-decorated reduced graphene oxide composites for enhanced detecting of H2O2 in biological environments, Anal. Chem. 85 (2013) 8095e8101, https:// doi.org/10.1021/ac400659u. [17] M. Quintana, E. Vazquez, M. Prato, Organic functionalization of graphene in dispersions, Acc. Chem. Res. 46 (2013) 138e148, https://doi.org/10.1021/ar300138e.  sola´kova´, R. Orinakova´, A. Ori [18] J. Hovancova´, I. Si nak, Nanomaterial-based electrochemical sensors for detection of glucose and insulin, J. Solid State Electrochem. 21 (2017) 2147e2166, https://doi.org/10.1007/ s10008-017-3544-0. [19] A.K. Yagati, J. Min, S. Cho, Electrosynthesis of ERGO-NP nanocomposite films for bioelectrocatalysis of horseradish peroxidase towards H2O2, J. Electrochem. Soc. 161 (2014) G133eG140, https://doi.org/ 10.1149/2.1001414jes. [20] T.W. Chen, U. Rajaji, S.M. Chen, Y.L. Li, R.J. Ramalingam, Ultrasound-assisted synthesis of a-MnS (alabandite) nanoparticles decorated reduced graphene oxide hybrids: enhanced electrocatalyst for electrochemical detection of Parkinson’s disease biomarker, Ultrason. Sonochem. 56 (2019) 378e385, https:// doi.org/10.1016/j.ultsonch.2019.04.010. [21] F. Chekin, V. Mishyn, A. Barras, J. Lyskawa, R. Ye, S. Melinte, et al., Dopamine-functionalized cyclodextrins: modification of reduced graphene oxide based electrodes and sensing of folic acid in human serum, Anal. Bioanal. Chem. 411 (2019) 5149e5157, https://doi.org/10.1007/s00216-019-01892-1. [22] M. Ye, M. Jiang, J. Cheng, X. Li, Z. Liu, W. Zhang, et al., Single-layer exfoliated reduced graphene oxideantibody Tau sensor for detection in human serum, Sens. Actuator. B Chem. 308 (2020) 1e6, https:// doi.org/10.1016/j.snb.2020.127692. [23] Q. Huang, X. Lin, L. Tong, Q.X. Tong, Graphene quantum dots/multiwalled carbon nanotubes compositebased electrochemical sensor for detecting dopamine release from living cells, ACS Sustain. Chem. Eng. 8 (2020) 1644e1650, https://doi.org/10.1021/acssuschemeng.9b06623. [24] N.B. Simioni, T.A. Silva, G.G. Oliveira, O. Fatibello-Filho, A nanodiamond-based electrochemical sensor for the determination of pyrazinamide antibiotic, Sens. Actuator. B Chem. 250 (2017) 315e323, https:// doi.org/10.1016/j.snb.2017.04.175. [25] B.S. Sherigara, W. Kutner, F. D’Souza, Electrocatalytic properties and sensor applications of fullerenes and carbon nanotubes, Electroanalysis 15 (2003) 753e772, https://doi.org/10.1002/elan.200390094.

18

Chapter 1 Carbon-based electrodes as a scaffold

[26] N.P. Shetti, S.J. Malode, S.T. Nandibewoor, Electrochemical behavior of an antiviral drug acyclovir at fullerene-C60-modified glassy carbon electrode, Bioelectrochemistry 88 (2012) 76e83, https://doi.org/ 10.1016/j.bioelechem.2012.06.004. [27] R.N. Goyal, V.K. Gupta, N. Bachheti, Fullerene-C60-modified electrode as a sensitive voltammetric sensor for detection of nandrolone-An anabolic steroid used in doping, Anal. Chim. Acta 597 (2007) 82e89, https://doi.org/10.1016/j.aca.2007.06.017. [28] R.A. Andrew, S. Derry, Systematic review and meta-analysis of randomised trials and cohort studies of mycophenolate mofetil in lupus nephritis, Arthritis Res. Ther. 8 (2006) 1e10, https://doi.org/10.1186/ ar2093. [29] N. Majd, K. Sumita, H. Yoshino, D. Chen, J. Terakawa, T. Daikoku, et al., A review of the potential utility of mycophenolate mofetil as a cancer therapeutic, J. Cancer Res. 2014 (2014) 1e12, https://doi.org/10.1155/ 2014/423401. [30] D.C. Brennan, M.J. Koch, Is mycophenolate mofetil really necessary in renal transplantation? A review of the MYSS follow-up study, Nat. Clin. Pract. Nephrol. 3 (2007) 602e603, https://doi.org/10.1038/ ncpneph0597. [31] V.W. Armstrong, M. Oellerich, New developments in the immunosuppressive drug monitoring of cyclosporine, tacrolimus, and azathioprine, Clin. Biochem. 34 (2001) 9e16, https://doi.org/10.1016/S00099120(00)00175-2. [32] A. Zuckermann, T. Birsan, S. Thaghavi, O. Artemiou, N. Kupilik, G. Dekan, et al., Mycophenolate mofetil in lung transplantation, Transplant. Proc. 30 (1998) 1514e1516, https://doi.org/10.1016/S0041-1345(98) 00339-X. [33] R.W.G. Gruessner, D.E.R. Sutherland, M.B. Drangstveit, L. Wrenshall, A. Humar, A.C. Gruessner, Mycophenolate mofetil in pancreas transplantation, Transplantation 66 (1998) 318e323, https://doi.org/ 10.1097/00007890-199808150-00007. [34] M. Cantarovich, N.W. Brown, M.H.H. Ensom, A. Jain, D.R.J. Kuypers, T Van Gelder, et al., Mycophenolate monitoring in liver, thoracic, pancreas, and small bowel transplantation: a consensus report, Transplant. Rev. 25 (2011) 65e77, https://doi.org/10.1016/j.trre.2010.12.001. [35] S.N. Prashanth, K.C. Ramesh, J. Seetharamappa, Electrochemical oxidation of an immunosuppressant, mycophenolate mofetil, and its assay in pharmaceutical formulations, Int. J. Electrochem. 2011 (2011) 1e7, https://doi.org/10.4061/2011/193041. [36] A. Santhy, S. Beena, G. Krishnan Rajasree, S. Greeshma, A commercially viable electrochemical sensor for the immunosuppressant drug mycophenolate mofetil utilizing pencil graphite electrode, IOP Conf. Ser. Mater. Sci. Eng. 872 (2020) 012127, https://doi.org/10.1088/1757-899x/872/1/012127. [37] L. Genestier, R. Paillot, S. Fournel, C. Ferraro, P. Miossec, J.P. Revillard, Immunosuppressive properties of methotrexate: apoptosis and clonal deletion of activated peripheral T cells, J. Clin. Invest. 102 (1998) 322e328, https://doi.org/10.1172/JCI2676.  sovska´, L. Janı´kova´-Bandzuchova´, J. Chy´lkova´, Sensitive voltammetric sensor based on boron[38] R. Sele doped diamond electrode for determination of the chemotherapeutic drug methotrexate in pharmaceutical and biological samples, Electroanalysis 27 (2015) 42e51, https://doi.org/10.1002/elan.201400326. [39] R.T. Abraham, Mammalian target of rapamycin: immunosuppressive drugs uncover a novel pathway of cytokine receptor signaling, Curr. Opin. Immunol. 10 (1998) 330e336, https://doi.org/10.1016/S09527915(98)80172-6. [40] D.M. Stankovic, K. Kalcher, The immunosuppressive drug - rapamycin - electroanalytical sensing using boron- doped diamond electrode, Electrochim. Acta 168 (2015) 76e81, https://doi.org/10.1016/ j.electacta.2015.03.200. [41] J.V. Donadio, R.J. Glassock, Immunosuppressive drug therapy in lupus nephritis, Am. J. Kidney Dis. 21 (1993) 239e250, https://doi.org/10.1016/S0272-6386(12)80741-4.

References

19

[42] P. Sinha, S. Doi, D.K. Sharma, Electrochemical behaviour and adsorptive stripping voltammetric determination of cyclophosphamide, Chem. Sci. Trans. 7 (2018) 229e239, https://doi.org/10.7598/ cst2018.1414. [43] R.N. Goyal, S. Chatterjee, A.R.S. Rana, A comparison of edge- and basal-plane pyrolytic graphite electrodes towards the sensitive determination of hydrocortisone, Talanta 83 (2010) 149e155, https://doi.org/ 10.1016/j.talanta.2010.08.054. [44] C.E. Banks, R.G. Compton, Edge plane pyrolytic graphite electrodes in electroanalysis: an overview, Anal. Sci. 21 (2005) 1263e1268, https://doi.org/10.2116/analsci.21.1263. [45] M.B. Gholivand, M. Solgi, Adsorptive anodic stripping differential pulse voltammetric determination of CellCept at Fe3O4 nanoparticles decorated multi-walled carbon nanotubes modified glassy carbon electrode, Anal. Biochem. 520 (2017) 1e8, https://doi.org/10.1016/j.ab.2016.12.019. [46] T. Zhao, X. Ji, X. Guo, W. Jin, A. Dang, H. Li, et al., Preparation and electrochemical property of Fe3O4/ MWCNT nanocomposite, Chem. Phys. Lett. 653 (2016) 202e206, https://doi.org/10.1016/ j.cplett.2016.04.083. [47] M. Sakai, S. Kaneko, M. Nakamura, Y. Murakami, H. Hikawa, I. Azumaya, et al., Spectroscopic investigation for the interaction of mycophenolate mofetil with ferrous ions, Chem. Pharm. Bull. 62 (2014) 1131e1135, https://doi.org/10.1248/cpb.c14-00334. [48] T. Madrakian, M. Soleimani, A. Afkhami, Simultaneous determination of mycophenolate mofetil and its active metabolite, mycophenolic acid, by differential pulse voltammetry using multi-walled carbon nanotubes modified glassy carbon electrode, Mater. Sci. Eng. C 42 (2014) 38e45, https://doi.org/10.1016/ j.msec.2014.05.012. [49] P.S. Narayan, N.L. Teradal, S. Jaldappagari, A.K. Satpati, Eco-friendly reduced graphene oxide for the determination of mycophenolate mofetil in pharmaceutical formulations, J. Pharm. Anal. 8 (2018) 131e137, https://doi.org/10.1016/j.jpha.2017.12.001. [50] M. Ashjari, H. Karimi-Maleh, F. Ahmadpour, M. Shabani-Nooshabadi, A. Sadrnia, M.A. Khalilzadeh, Voltammetric analysis of mycophenolate mofetil in pharmaceutical samples via electrochemical nanostructure based sensor modified with ionic liquid and MgO/SWCNTs, J. Taiwan Inst. Chem. Eng. 80 (2017) 989e996, https://doi.org/10.1016/j.jtice.2017.08.046. [51] M. Abbasghorbani, Electrochemical determination of vanillin in food samples using MgO/SWCNTs-ionic liquid modified electrode, Int. J. Electrochem. Sci. 12 (2017) 11656e11665, https://doi.org/10.20964/ 2017.12.39. [52] F. Hosseini, M. Ebrahimi, H. Karimi-Maleh, An amplified sensor based on improved carbon paste electrode with 1,3-dipropylimidazolium bromide and MgO/SWCNTs Nanocomposite for tradamol determination, Int. J. Electrochem. Sci. 13 (2018) 4923e4932, https://doi.org/10.20964/2018.05.12. [53] F. Hosseini, M. Ebrahimi, H. Karimi-Maleh, Electrochemical determination of mycophenolate mofetil in drug samples using carbon paste electrode modified with 1-methyl-3-butylimidazolium bromide and NiO/ SWCNTs nanocomposite, Curr. Anal. Chem. 15 (2018) 177e182, https://doi.org/10.2174/ 1573411014666180326114345. [54] M. Jiang, M. Braiek, A. Florea, A. Chrouda, C. Farre, A. Bonhomme, et al., Aflatoxin B1 detection using a highly-sensitive molecularly-imprinted electrochemical sensor based on an electropolymerized metal organic framework, Toxins 7 (2015) 3540e3553, https://doi.org/10.3390/toxins7093540. [55] H. Momeneh, M.B. Gholivand, Mycophenolate mofetil sensor based on molecularly imprinted polymer/ multi-walled carbon nanotubes modified carbon paste electrode, Anal. Biochem. 557 (2018) 97e103, https://doi.org/10.1016/j.ab.2018.07.014. [56] M.H. Mahnashi, A.M. Mahmoud, S.A. Alkahtani, R. Ali, M.M. El-Wekil, Facile fabrication of a novel disposable pencil graphite electrode for simultaneous determination of promising immunosuppressant

20

[57]

[58] [59]

[60]

[61]

[62]

[63] [64]

[65]

[66] [67]

[68] [69]

[70]

[71]

[72]

Chapter 1 Carbon-based electrodes as a scaffold

drugs mycophenolate mofetil and tacrolimus in human biological fluids, Anal. Bioanal. Chem. 412 (2020) 355e364, https://doi.org/10.1007/s00216-019-02245-8. J.A. Cruz-Navarro, F. Hernandez-Garcia, G.A. Alvarez Romero, Novel applications of metal-organic frameworks (MOFs) as redox-active materials for elaboration of carbon-based electrodes with electroanalytical uses, Coord. Chem. Rev. 412 (2020) 213263, https://doi.org/10.1016/j.ccr.2020.213263. F.M. Polack, Inhibition of immune corneal graft rejection by azathioprine (Imuran), Arch. Ophthalmol. 74 (1965) 683e689, https://doi.org/10.1001/archopht.1965.00970040685018. S. Shahrokhian, M. Ghalkhani, Glassy carbon electrodes modified with a film of nanodiamond-graphite/ chitosan: application to the highly sensitive electrochemical determination of Azathioprine, Electrochim. Acta 55 (2010) 3621e3627, https://doi.org/10.1016/j.electacta.2010.01.099. L.P. Mei, J.J. Feng, S.S. Huang, Y.C. Shi, A.J. Wang, Highly sensitive electrochemical determination of azathioprine using a glassy carbon electrode modified with Au neuronal-like nanostructures, Sens. Actuator. B Chem. 240 (2017) 996e1002, https://doi.org/10.1016/j.snb.2016.09.069. S. Shahrokhian, M. Ghalkhani, Electrochemical study of Azathioprine at thin carbon nanoparticle composite film electrode, Electrochem. Commun. 11 (2009) 1425e1428, https://doi.org/10.1016/ j.elecom.2009.05.025. E. Asadian, A. Iraji Zad, S. Shahrokhian, Voltammetric studies of Azathioprine on the surface of graphite electrode modified with graphene nanosheets decorated with Ag nanoparticles, Mater. Sci. Eng. C 58 (2016) 1098e1104, https://doi.org/10.1016/j.msec.2015.09.022. K.S. Subrahmanyam, A.K. Manna, S.K. Pati, C.N.R. Rao, A study of graphene decorated with metal nanoparticles, Chem. Phys. Lett. 497 (2010) 70e75, https://doi.org/10.1016/j.cplett.2010.07.091. Z. Hatami, F. Jalali, Voltammetric determination of immunosuppressive agent, azathioprine, by using a graphene-chitosan modified-glassy carbon electrode, Russ. J. Electrochem. 51 (2015) 70e76, https:// doi.org/10.1134/S1023193515010097. A. Muthumariappan, M. Govindasamy, S.M. Chen, K. Sakthivel, V. Mani, T.W. Chen, et al., Determination of Non-Steroidal Anti-Inflammatory Drug (NSAID) azathioprine in human blood serum and tablet samples using Multi-Walled Carbon Nanotubes (MWCNTs) decorated manganese oxide microcubes composite film modified electrode, Int. J. Electrochem. Sci. 12 (2017) 7446e7456, https://doi.org/10.20964/2017.08.145. J. Wang, M. Musameh, Carbon nanotube screen-printed electrochemical sensors, Analyst 129 (2004) 1e2, https://doi.org/10.1039/b313431h. S. Wang, Z. Qi, H. Huang, H. Ding, Electrochemical determination of methotrexate at a disposable screenprinted electrode and its application studies, Anal. Lett. 45 (2012) 1658e1669, https://doi.org/10.1080/ 00032719.2012.677790. P. Beecher, P. Servati, A. Rozhin, A. Colli, V. Scardaci, S. Pisana, et al., Ink-jet printing of carbon nanotube thin film transistors, J. Appl. Phys. 102 (2007), https://doi.org/10.1063/1.2770835. S. Kummari, V.S. Kumar, M. Satyanarayana, K.V. Gobi, Direct electrochemical determination of methotrexate using functionalized carbon nanotube paste electrode as biosensor for in-vitro analysis of urine and dilute serum samples, Microchem. J. 148 (2019) 626e633, https://doi.org/10.1016/j.microc.2019.05.054. Y. Guo, Y. Chen, Q. Zhao, S. Shuang, C. Dong, Electrochemical sensor for ultrasensitive determination of doxorubicin and methotrexate based on cyclodextrin-graphene hybrid nanosheets, Electroanalysis 23 (2011) 2400e2407, https://doi.org/10.1002/elan.201100259. J. Song, J. Yang, J. Zeng, J. Tan, L. Zhang, Graphite oxide film-modified electrode as an electrochemical sensor for acetaminophen, Sens. Actuator. B Chem. 155 (2011) 220e225, https://doi.org/10.1016/ j.snb.2010.11.051. D. Huang, H. Wu, Y. Zhu, H. Su, H. Zhang, L. Sheng, et al., Sensitive determination of anticancer drug methotrexate using graphite oxide-Nafion modified glassy carbon electrode, Int. J. Electrochem. Sci. 14 (2019) 3792e3804, https://doi.org/10.20964/2019.04.03.

References

21

[73] J.G. Manjunatha, Surfactant modified carbon nanotube paste electrode for the sensitive determination of mitoxantrone anticancer drug, J. Electrochem. Sci. Eng. 7 (2017) 39, https://doi.org/10.5599/jese.368. [74] J.F. Rusling, Controlling electrochemical catalysis with surfactant microstructures, Acc. Chem. Res. 24 (1991) 75e81, https://doi.org/10.1021/ar00003a003. [75] E.M. Materon, A. Wong, O. Fatibello-Filho, R.C. Faria, Development of a simple electrochemical sensor for the simultaneous detection of anticancer drugs, J. Electroanal. Chem. 827 (2018) 64e72, https://doi.org/ 10.1016/j.jelechem.2018.09.010. [76] S. Cinti, F. Santella, D. Moscone, F. Arduini, Hg2þ detection using a disposable and miniaturized screenprinted electrode modified with nanocomposite carbon black and gold nanoparticles, Environ. Sci. Pollut. Res. 23 (2016) 8192e8199, https://doi.org/10.1007/s11356-016-6118-2. [77] F. Arduini, C. Zanardi, S. Cinti, F. Terzi, D. Moscone, G. Palleschi, et al., Effective electrochemical sensor based on screen-printed electrodes modified with a carbon black-Au nanoparticles composite, Sens. Actuator. B Chem. 212 (2015) 536e543, https://doi.org/10.1016/j.snb.2015.02.051. [78] Z. Zhu, F. Wang, F. Wang, L. Xi, Simultaneous determination of methotrexate and calcium folinate with electrochemical method based on a poly-ABSA/functionalized MWNTs composite film modified electrode, J. Electroanal. Chem. 708 (2013) 13e19, https://doi.org/10.1016/j.jelechem.2013.09.004. [79] F. Wang, Y. Wang, K. Lu, X. Wei, B. Ye, Sensitive determination of methotrexate at nano-Au selfassembled monolayer modified electrode, J. Electroanal. Chem. 674 (2012) 83e89, https://doi.org/ 10.1016/j.jelechem.2012.04.010. [80] Y. Wei, L. Luo, Y. Ding, X. Si, Y. Ning, Highly sensitive determination of methotrexate at poly (l-lysine) modified electrode in the presence of sodium dodecyl benzene sulfonate, Bioelectrochemistry 98 (2014) 70e75, https://doi.org/10.1016/j.bioelechem.2014.03.005. [81] E. Asadian, S. Shahrokhian, A. Iraji Zad, F. Ghorbani-Bidkorbeh, Glassy carbon electrode modified with 3D grapheneecarbon nanotube network for sensitive electrochemical determination of methotrexate, Sens. Actuator. B Chem. 239 (2017) 617e627, https://doi.org/10.1016/j.snb.2016.08.064. [82] Y. Wang, J. Xie, L. Tao, H. Tian, S. Wang, H. Ding, Simultaneous electrochemical determination of epirubicin and methotrexate in human blood using a disposable electrode modified with nano-Au/MWNTsZnO composites, Sens. Actuator. B Chem. 204 (2014) 360e367, https://doi.org/10.1016/j.snb.2014.07.099. [83] A.A. Ensafi, F. Rezaloo, B. Rezaei, CoFe2O4/reduced graphene oxide/ionic liquid modified glassy carbon electrode, a selective and sensitive electrochemical sensor for determination of methotrexate, J. Taiwan Inst. Chem. Eng. 78 (2017) 45e50, https://doi.org/10.1016/j.jtice.2017.05.031. [84] G.G. Oliveira, B.C. Janegitz, V. Zucolotto, O. Fatibello-Filho, Differential pulse adsorptive stripping voltammetric determination of methotrexate using a functionalized carbon nanotubes-modified glassy carbon electrode, Cent. Eur. J. Chem. 11 (2013) 1837e1843, https://doi.org/10.2478/s11532-013-0305-5. [85] B. Huang, L. Xiao, H. Dong, X. Zhang, W. Gan, S. Mahboob, et al., Electrochemical sensing platform based on molecularly imprinted polymer decorated N,S co-doped activated graphene for ultrasensitive and selective determination of cyclophosphamide, Talanta 164 (2017) 601e607, https://doi.org/10.1016/ j.talanta.2016.11.009. [86] R.B. Friedrich, A. Ravanello, L.C. Cichota, C.M.B. Rolim, R.C.R. Beck, Validation of a simple and rapid UV spectrophotometric method for dexamethasone assay in tablets, Quim. Nova 32 (2009) 1052e1054, https://doi.org/10.1590/S0100-40422009000400038. [87] R.N. Goyal, V.K. Gupta, S. Chatterjee, Fullerene-C60-modified edge plane pyrolytic graphite electrode for the determination of dexamethasone in pharmaceutical formulations and human biological fluids, Biosens. Bioelectron. 24 (2009) 1649e1654, https://doi.org/10.1016/j.bios.2008.08.024. ´ . Szucs, M. To¨lgyesi, M. Csisza´r, J.B. Nagy, M. Nova´k, Electrochemistry on partially reduced fullerene [88] A films, Electrochim. Acta 44 (1998) 613e621, https://doi.org/10.1016/S0013-4686(98)00186-8.

22

Chapter 1 Carbon-based electrodes as a scaffold

[89] M. Mazloum-Ardakani, N. Sadri, V. Eslami, Detection of dexamethasone sodium phosphate in blood plasma: application of hematite in electrochemical sensors, Electroanalysis 32 (2020) 1148e1154, https:// doi.org/10.1002/elan.201900498. [90] A. Fatahi, R. Malakooti, M. Shahlaei, Electrocatalytic oxidation and determination of dexamethasone at an Fe3O4/PANI-CuII microsphere modified carbon ionic liquid electrode, RSC Adv. 7 (2017) 11322e11330, https://doi.org/10.1039/c6ra26125f. [91] E. Demir, O. Inam, R. Inam, H.Y. Aboul-Enein, Voltammetric determination of ophthalmic drug dexamethasone using poly-glycine multi walled carbon nanotubes modified paste electrode, Curr. Anal. Chem. 14 (2018) 83e89, https://doi.org/10.2174/1573411013666161219161320. [92] R.N. Goyal, S. Chatterjee, A.R.S. Rana, Effect of cetyltrimethyl ammonium bromide on electrochemical determination of dexamethasone, Electroanalysis 22 (2010) 2330e2338, https://doi.org/10.1002/ elan.201000227. [93] S. Alimohammadi, M.A. Kiani, M. Imani, H. Rafii-Tabar, P. Sasanpour, Electrochemical determination of dexamethasone by graphene modified electrode: experimental and theoretical investigations, Sci. Rep. 9 (2019) 1e10, https://doi.org/10.1038/s41598-019-47420-0. [94] B. Rezaei, S.Z.M. Zare, A.A. Ensafi, Square wave voltammetric determination of dexamethasone on a multiwalled carbon nanotube modified pencil electrode, J. Braz. Chem. Soc. 22 (2011) 897e904, https:// doi.org/10.1590/S0103-50532011000500012. [95] K. Balaji, G.V.R. Reddy, T.M. Reddy, S.J. Reddy, Determination of prednisolone, dexamethasone and hydrocortisone in pharmaceutical formulations and biological fluid samples by voltammetric techniques using b-cyclodextrin modified carbon paste electrode, Afr. J. Pharm. Pharmacol. 2 (2008) 157e166. [96] G. Zhu, Y. Yi, J. Chen, Recent advances for cyclodextrin-based materials in electrochemical sensing, Trends Anal. Chem. 80 (2016) 232e241, https://doi.org/10.1016/j.trac.2016.03.022. [97] R. DiFrancesco, V. Frerichs, J. Donnelly, C. Hagler, J. Hochreiter, K.M. Tornatore, Simultaneous determination of cortisol, dexamethasone, methylprednisolone, prednisone, prednisolone, mycophenolic acid and mycophenolic acid glucuronide in human plasma utilizing liquid chromatography-tandem mass spectrometry, J. Chromatogr. B Anal. Technol. Biomed. Life Sci. 859 (2007) 42e51, https://doi.org/ 10.1016/j.jchromb.2007.09.003. [98] R.N. Goyal, S.P. Singh, S. Chatterjee, S. Bishnoi, Electrochemical investigations of prednisone using fullerene-C 60-modified edge plane pyrolytic graphite electrode, Indian J. Chem. - Sect. A Inorg. Phys. Theor. Anal. Chem. 49 (2010) 26e33. [99] B. Rezaei, S.Z. Mirahmadi-Zare, Nanoscale manipulation of prednisolone as electroactive configuration using molecularly imprinted-multiwalled carbon nanotube paste electrode, Electroanalysis 23 (2011) 2724e2734, https://doi.org/10.1002/elan.201100261. [100] S. Chaiyo, S. Jampasa, N. Thongchue, E. Mehmeti, W. Siangproh, O. Chailapakul, et al., Wide electrochemical window of screen-printed electrode for determination of rapamycin using ionic liquid/graphene composites, Microchim. Acta 187 (2020), https://doi.org/10.1007/s00604-020-4190-2. [101] H. Cathcart, S. Quinn, V. Nicolosi, J.M. Kelly, W.J. Blau, J.N. Coleman, Spontaneous debundling of singlewalled carbon nanotubes in DNA-based dispersions, J. Phys. Chem. C 111 (2007) 66e74, https://doi.org/ 10.1021/jp065503r. [102] Y. Wang, H. Liu, F. Wang, Y. Gao, Electrochemical oxidation behavior of methotrexate at DNA/SWCNT/ Nafion composite film-modified glassy carbon electrode, J. Solid State Electrochem. 16 (2012) 3227e3235, https://doi.org/10.1007/s10008-012-1763-y. [103] J. Chen, B. Fu, T. Liu, Z. Yan, K. Li, A graphene oxide-DNA electrochemical sensor based on glassy carbon electrode for sensitive determination of methotrexate, Electroanalysis 30 (2018) 288e295, https://doi.org/ 10.1002/elan.201700615. [104] Joseph Wang, Gustavo Rivas, Xiaohua Cai, Haruki Shiraishi, et al., Analytica Chimica Acta (1996).

References

23

[105] F. Wang, Y. Wu, J. Liu, B. Ye, DNA Langmuir-Blodgett modified glassy carbon electrode as voltammetric sensor for determinate of methotrexate, Electrochim. Acta 54 (2009) 1408e1413, https://doi.org/10.1016/ j.electacta.2008.09.027. [106] V.V. Kondalkar, S.S. Yang, P.S. Patil, S. Choudhury, P.N. Bhosale, K.K. Lee, Langmuir-Blodgett assembly of nanometric WO3 thin film for electrochromic performance: a new way, Mater. Lett. 194 (2017) 102e106, https://doi.org/10.1016/j.matlet.2017.02.030. [107] M. Evyapan, R. C ¸ apan, H. Namli, O. Turhan, G.A. Stanciu, Formation of Langmuir-Blodgett thin film of a novel N-dodecylphthalimide, Mater. Lett. 60 (2006) 2371e2374, https://doi.org/10.1016/ j.matlet.2006.01.010. [108] G. Aydogdu Tıg, D. Koyuncu Zeybek, B. Zeybek, S¸. Pekyardımcı, Interaction of prednisone with dsDNA at silver nanoparticles/poly(glyoxal-bis(2-hydroxyanil))/dsDNA modified electrode and its analytical application, Bioelectrochemistry 126 (2019) 56e63, https://doi.org/10.1016/j.bioelechem.2018.11.003. [109] P. Palaska, E. Aritzoglou, S. Girousi, Sensitive detection of cyclophosphamide using DNA-modified carbon paste, pencil graphite and hanging mercury drop electrodes, Talanta 72 (2007) 1199e1206, https://doi.org/ 10.1016/j.talanta.2007.01.013. [110] S. Wang, R. Wang, P. Sellin, S. Chang, Carbon nanotube based DNA biosensor for rapid detection of anti-cancer drug of cyclophosphamide, Curr. Nanosci. 5 (2009) 312e317, https://doi.org/10.2174/ 157341309788921372. [111] Rajamani A R, Rajesh Kannan, Sruthy Krishnan, et al., Electrochemical Sensing of Dopamine, Uric Acid and Ascorbic Acid Using tRGO-TiO2 Nanocomposites, Journal of Nanoscience and Nanotechnology (2015). [112] Vadivaambigai A, Prem Senthilvasan Anandh, Nikhil Kothurkar, Murali Rangarajan, Graphene-OxideBased Electrochemical Sensor for Salicylic Acid, Nanoscience and Nanotechnology Letters (2015). [113] Ramakrishnan S, Pradeep K. R., et al., One-step synthesis of Pt-decorated graphenecarbon nanotube for electrochemical sensing of dopamine, uric acid and ascorbic acid, Analytical Methods (2012). [114] Rajasree G Krishnan, Beena Saraswathyamma, Disposable electrochemical sensor for coumarin induced milk toxicity in raw milk samples, Measurement (2020). [115] Santhy A, Beena S, A pencil graphite electrode modified with poly nicotinamide as a framework for the electrochemical detection of propranolol hydrochloride, IOP Conf. Series: Materials Science and Engineering (2020).

CHAPTER

Selenium-based amorphous semiconductors and their application in biomedicine

2 S.S. Ashraf

School of Engineering Sciences and Technology, Jamia Hamdard, New Delhi, India

1. Introduction Selenium (Se) is an important trace element that belongs to Group VI of the periodic table. Many functional proteins and antioxidant enzymes have Se in their active center, which plays a crucial role in reducing oxidative body stress. Selenium possesses transitional properties of metal as well as nonmetal and is found in organic as well as inorganic forms, selenocysteine (SeCys) and selenomethionine 2 (SeMet) being organic while selenite (SeO2 4 ) and selenide (Se ) being its inorganic forms. It exists in numerous shapes like nanowires, nanospheres, nanotubes, and nanorods. Selenium has an amorphous nature, and it is trigonal and red, with a black helical chained structure, having a crystalline monoclinic structure (a, b, g) with red-colored Se8 rings. Selenium in nanoparticle form displays unique properties such as good absorbing power and lower surface area. Its functionality increases heavily while acting as a ligand, working better to achieve its target. The surface charge density of nanoparticles and their surface hydrophobicity influence their functional properties. For centuries, humans have been using crystalline and noncrystalline (glassy) materials. Several of these materials exist in glassy (noncrystalline) phase even at room temperature, although their counterpart, i.e., crystalline phase, gets thermodynamically stable. There can be considerable differences in the thermal, electrical, and physical properties of glassy and crystalline phases of the same material, which are significantly important to their technologic applications. The materials that change phase under the influence of heat, temperature, and light, etc., are called phase change materials (PCMs). These materials exist in two distinct phases i.e., glassy (amorphous) and crystalline phase, and they repeatedly switch from one phase to the other [1]. Different properties are exhibited by different phases like optical reflectivity, thermal and electrical conductivity, mass density, etc. It is from such distinctive, switching properties that these materials derive their ability to function as information storehouses. Rewritable CDs, Blue-ray discs, and digital versatile discs use this variation in reflectivity of the two repeating phases as a mechanism for storing information in PCMs’ thin films of [2,3]. Solids can be classified as insulators, semiconductors, and conductors. Semiconductors have electrical conductivity lying between that of a conductor and insulator. The conductivity is an electronic process that occurs due to the movement of electrons and holes. Faraday, in 1833, reported first Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00017-4 Copyright © 2021 Elsevier Inc. All rights reserved.

25

26

Chapter 2 Selenium-based amorphous semiconductors

the semiconducting property in silver sulfide. In 1873, Smith discovered the photoconductivity of the selenium, and in 1876 Dams and Day reported photovoltaic effect in selenium, which led to the fabrication of first photocell. The term “semiconductor” was coined by Konigsberger and Weils in 1911. Then during the 20th century, other materials such as Si, Ge, GaAs, and CDs became the interest of research. In 1949, the invention of a germanium (Ge)-based bipolar junction transistor followed by the silicon-based field effect transistor in 1950 were remarkable developments in semiconductor physics. In 1968, Ovshinsky discovered the electronic switching based on selenium. After that solar cells were developed using amorphous silicon. Amorphous semiconductors have advantages over crystalline semiconductors due to their homogeneity and isotropic nature on a microscopic scale. They are classified on the basis of chemical bonding accountable for coherent energy of the materials. Ionic materials are the oxide glasses and halide, e.g., transition metal oxide glasses. Solid amorphous materials such as vanadium pentoxide (V2O5), nickel oxide (NiO), etc., have been produced using rf-sputtering technique. Since these materials are ionic, the composition of these materials cannot change over a long range, being positionally disordered in pure materials. Whereas in the covalent materials, due to presence of impurities, transition metal ions have valence states of two different types, e.g., adding P2O5 into V2O5 produces V4þ and V5þ ions. Hence, these materials may process electronic disorder to a small extent. The unsaturated transition metal ions possess a certain degree of spin disorder. The covalent noncrystalline semiconductors could be classified as perfectly covalent bonded elemental materials such as Se, Te, S, Si, and Ge, etc., and binary materials such as As2S3, GeTe, and selenium, etc. The perfectly covalently bonded materials exhibit positional disorder, whereas binary materials possess defect states also. The covalent noncrystalline semiconductors exhibit positional and compositional disorder as well. These disorders on the band structure are accountable for the unique properties possessed by these glasses, and it makes these materials distinct from elemental amorphous semiconductors. Nowadays amorphous semiconductors are used in xerography. The first material used in xerography was amorphous selenium (a-Se) in thin film form. Recently a-Si:H films have been used for this purpose. Amorphous semiconductors such as a-Se:H are used for directly converting sunlight into electrical energy. C.H. Henry (in 1980) [4] reported that the efficiency of an ideal photovoltaic cell at maximum reaches more than 30% for semiconducting materials having energy band gap of 1.32 eV. The materials with energy band gap lying between 1 and 2 eV are considered ideal photovoltaic cells with efficiency higher than 25%. The amorphous semiconductor materials are also used in fabricating an electrochemical sensor called glass electrode that is used to monitor pH value of aqueous solution [5,6]. Glassy alloys have applications in transformer cores for operation at frequencies between 50 and 60 Hz in transformers.

1.1 Crystalline and noncrystalline semiconductors In solid crystalline materials, atoms, molecules, and ions are arranged in an order or regular fashion, whereas in noncrystalline materials, constituent atoms, molecules, and ions are arranged randomly, i.e., they lack long-range order, as shown in Figs. 2.1 and 2.2.

1. Introduction

27

FIGURE 2.1 Arrangement of atoms in crystalline materials.

FIGURE 2.2 Arrangement of atoms in noncrystalline materials.

The differences between crystalline semiconductors and noncrystalline or amorphous semiconductors are as follows: 1. In crystalline semiconductors, the atoms, molecules, and ions are arranged in regular fashion in three dimensions called long-range order, whereas the noncrystalline semiconductors do not have such long-range order, i.e., atoms are arranged randomly. 2. In crystalline semiconductors, the chemical bonds are of definite length and angles, whereas in amorphous semiconductors the chemical bond lengths and angles may vary as atoms are arranged randomly. 3. The chemical bonds in crystalline semiconductors are chemically satisfied. However, in amorphous semiconductors, all chemical bonds are not chemically satisfied (such bonds are called dangling bonds). 4. Crystalline semiconductors have sharp melting points. However amorphous semiconductors have no sharp melting points. In amorphous semiconductors, the transition from solid phase to liquid phase takes place within a finite time interval called softening time. 5. Crystalline semiconductors are anisotropic, so the properties of such materials vary substantially with the direction. However, the amorphous semiconductors are isotropic in nature, i.e., properties of such materials do not depend on direction of measurement.

28

Chapter 2 Selenium-based amorphous semiconductors

6. The energy band structures of amorphous (noncrystalline) semiconductors differ from energy band structures of crystalline semiconductors. In crystalline semiconductors, sharp energy band edges exist due to long-range order of the constituent atoms, and density of states sharply drops to zero at these edges. However, in amorphous semiconductors, these band edges get replaced by band tails, which depend on disorder present in noncrystalline semiconductors. Metallic amorphous solids are metal glass alloys containing metals such as Al, Cr, Mn, Cu, Fe, Co, and Ni, etc., with metalloids like Ge, As, Si, N, P, B, and C, etc. Apart from this, there are also some metal amorphous alloys like earth-transition such as GdTbFe, GdCo, and GdFe. These kinds of materials exhibit better magnetic properties than their crystalline counterpart materials. Sputtering and melt-spinning are the methods used for preparing them. Tetrahedral amorphous films belong to group IV and group III-V elements of the periodic table. These are prepared in thin film deposition form. The tetrahedral glasses have general formulas AIIBIVXV 2 , where the suffix denotes the group element in periodic table. In these types of glasses, the fermi energy level lies near the middle of valence and conduction bands, but some show n-type and p-type characteristics.

1.2 Band models in amorphous semiconductors Localized states are present in both noncrystalline and crystalline semiconductors, i.e., donor and acceptor states are localized. The donor level electrons cannot migrate to crystal metal except when the conduction band is excited. The localized states are deep levels in the gap due to presence of lattice defects. Point defects are either vacancy or interstitial defects that lead to variation of neighboring atoms interaction and, therefore, shifting in the atomic orbital’s energy [7]. Since in noncrystalline semiconductors the long-range order of arrangement of atoms is absent, a shift in electronic states (i.e., valence and conduction bands) occurs. This shifting results in producing tails of valence and conduction bands in the gap, as indicated in Figs. 2.3 and 2.4. These tailed states have been localized and separated from the delocalized (extended) states [8].

FIGURE 2.3 Energy band in crystalline semiconductors.

1. Introduction

29

FIGURE 2.4 Energy band in noncrystalline semiconductors.

The noncrystalline semiconductors’ fermi energy level exists close to the midpoint of the valence and conduction band gap, but it can vary with significant variation in temperature since fermi energy level position depends on density and temperature of localized states too. If the electronic states’ density is lower, then the shift in fermi level will be bigger. But in the preceding model, as suggested by Gubanov in 1963, as shown in Fig. 2.6, in the middle of the gap, the density of states is zero. In 1969, Cohen, Fritzscher, and Ovshinsky (CFO) suggested a different model of electronic energy bands in amorphous semiconductors [9]. According to this model, localized states develop tails of wide length because of amorphous semiconductors’ chemical disorder, resulting in overlapping middle of the forbidden energy band gap.

1.3 CFO model This model [9] explains the energy bands in amorphous semiconductors. They proposed that localized states develop wide length tails, consequently overlapping in the center of the forbidden gap due to additional chemical disorders of amorphous semiconductors, as shown in Fig. 2.5. In this model, they introduced a new concept of forbidden energy gap of carrier mobility because forbidden gap energy makes no sense as the electron band states exist at any energy, as shown in Fig. 2.6. The forbidden energy of carrier mobility depends on the energy of conduction band (Ec) and valence band (Ev), which separate extended or delocalized states of high carrier mobility to the localized energy at low carrier mobility, and carrier mobility drops to zero at low temperature in localized states. Since there is overlapping of conduction and valence band tails, they produce fermi energy level position called charged centers with positive and negative charge, which results in fixation in middle of the gap.

30

Chapter 2 Selenium-based amorphous semiconductors

FIGURE 2.5 Density of states in CFO.

FIGURE 2.6 Carrier mobility in CFO model.

1.4 Davis and Mott model Davis and Mott proposed an electronic band model in 1970 [10]. According to this model, tails of localized states are shorter, and there exists a small region having localized states in high density in the middle of the gap wherein fermi energy level lies, as shown in Fig. 2.7. They indicated that it has electronic states having structural defects in noncrystalline semiconductors.

1.5 MDS model Mott, Davis, and Street (MDS) proposed an electronic energy band model in amorphous semiconductors [11] in 1975, as shown in Fig. 2.8. This model is based on P.W. Anderson’s findings that paired electron states exist in amorphous semiconductors [12]. These paired electronic states are denoted by D and Dþ. In amorphous material structure, if sharing of electrons with atoms does not take place, then it leads to breaking of bonds, so dangling bonds are formed. If this dangling bond is

2. Defects in selenium

31

FIGURE 2.7 Density of states in MotteDavis model.

FIGURE 2.8 Density of states in MDS model.

occupied by a single electron, then this is known as neutral (D0), and an electron spin resonance (ESR) signal may be produced. When a dangling bond attracts an additional electron, then it produces a lone pair (D), and no ESR signal will be produced, and when a dangling bond loses even its own single electron, then this produces a Dþ lone pair.

2. Defects in selenium As noncrystalline semiconductors lack long-range order, there exist various kinds of defects such as point defects, abnormal coordinated atom defects, etc. Point defects are due to variation in the coordination number of the atoms. The abnormal coordinated atoms defects exist because of dangling bonds present at the end of chalcogenide atoms’ chain. Atoms inside the chain molecules of selenium

32

Chapter 2 Selenium-based amorphous semiconductors

FIGURE 2.9 Energy levels of atomic orbitals and energy bands in selenium.

produce two covalent bonds. Consequently, two “p-electrons” move to bonding orbitals, and remaining two “p-electrons” move to nonbonding orbitals, as shown in Fig. 2.9. The atom present at the end point of selenium chain molecules makes a covalent bond, which results in passing on a single p-electron to bonding orbital, while the remaining three pass on to nonbonding orbitals, as shown in Fig. 2.10. Since electrons on the nonbonding orbital exist in odd number, it causes defects having nonpaired spin. ESR signal in an amorphous semiconductor exists due to the presence of nonpaired spins and large concentration of defects; although in normal conditions, the ESR signal generally does not exist in Se-based selenium. Moreover, the absence of ESR signal shows that there exist localized states. The energy band gap of Se-based selenium is generally empty or gets occupied two times as the case may be; consequently, these centers have either positive or negative electric charge. Positive electric charge develops when nonpaired electrons are removed, whereas the negative electric charge develops when an extra electron is added. These states have much more energy compared to neutral state due to the repulsive nature of coulomb interaction. There lies a difference between the energy level of neutral and charged states, which is called correlation energy (U). Its value for crystalline and amorphous semiconductors is positive and negative, respectively, as shown in Fig. 2.11. In 1975, Anderson proposed negative correlation energy [13]. It was suggested that electronphonon interaction in a structural network of amorphous semiconductors causes interaction between electrons that overcomes the repulsive nature of coulomb interaction, so the charge defects set less energy in comparison to neutral defects, which causes correlation energy to be negative.

FIGURE 2.10 Diagram of p-orbitals at end of chalcogen chain atom.

2. Defects in selenium

33

FIGURE 2.11 Schematic diagram of correlation energy.

Street and Mott (in 1975) suggested negative correlation energy defects result in dangling bonds [14]. Due to structural network distortion in the amorphous semiconductors, the dangling bonds having neutral charge (D0) become charged positively (Dþ) as well as negatively (D), which causes negative correlation energy. So, it can be written as shown: 2D0 / Dþ þ D

(2.1)

This process is exothermal. The first ionization of negatively charged center requires more energy than the second ionization. D / D0 þ e/E1

(2.2)

D0 / Dþ þ e/E2

(2.3)

E 1 > E2 Therefore, the correlation energy U ¼ E1 e E2 becomes negative. Moreover, the defects in noncrystalline semiconductors are either positively charged or negatively charged. However, the neutral state (D0) is formed due to excitation, as described in Fig. 2.12. The lower parabola denotes Dþ center of occupied valence bond, whereas the upper parabola denotes D0 center with valence band having a hole at its top. The transition between Dþ center and D center is represented as “a,” which is the excitation, from valence band to Dþ center, of an electron, causing the valence band to develop a hole, which may be written as Dþ þ e/D0 . This may lead to rearrangement of the structure of amorphous semiconductor, which results in a drop in energy of polaron wþ. Thermal excitation of an electron into Dþ center is called transition “b.” Recombination of D0center with a hole in the valence band is known as transition “c,” which results in disorder of network and drop in energy of polaron wþ. Since both transitions “a” and “c” are vertical and allowed, they follow Frank-Condon principle. Now, we calculate Ea  Ec ¼ 2wþ

(2.4)

34

Chapter 2 Selenium-based amorphous semiconductors

FIGURE 2.12 Schematic diagram of defect states.

Where, Ea e Ec is called Stoke’s shift. Similarly, the transitions of corresponding D center and D0 center are shown in right hand side (R.H.S.) of Figs. 2.13e2.15. Kastner, Adler, and Fritscher explained the defects of Dþ center and D center in terms of chemical bonding theory [15e18] in 1976. They suggested that D center has minimum energy for onefold coordinated chalcogen atom þ þ C 1 , whereas D center has minimum energy for threefold coordinated chalcogen atom C3 . Therefore, we rewrite equation as follows:  2C02 / Cþ 3 þ C1

(2.5)

These kinds of defects are also known as valence alternation pairs (VAP), because coordination may vary but the number of covalent bonds does not. The VAP formation in chalcogenide selenium glass is shown in Fig. 2.13. The atoms on the inside of selenium chain hold two “p-electrons” on both bonding and nonbonding orbital, respectively, whereas the end of the selenium chain contains one and three p-electrons,

FIGURE 2.13 Schematic diagram of formation of VAP in selenium.

2. Defects in selenium

35

FIGURE 2.14 Schematic diagram of interaction of electron with unpaired spin in selenium.

respectively, on bonding and nonbonding orbitals having a single electron with unpaired spin. Therefore, the electron with unpaired spin may interact with the neighboring atom’s lone pair electron and make covalent bonds, as shown in Fig. 2.14, which results in the formation of threefold coordinated atom (C03), as represent next: C01 þ C02 /C03 þ C02

(2.6)

The double electrons and single electron transitions from nonbonding to bonding orbitals gives rise to three and one electron on the bonding and antibonding orbital respectively. Transition to nonbonding orbitals from bonding orbitals results in a decrease in energy, whereas transition to antibonding from nonbonding leads to a rise in energy by D. Since the energy of the electron is close to the conduction band in antibonding orbital state, there lies the possibility of an electron transfer from antibonding (C03 atom) to nonbonding orbital (C01 atom), as shown in Fig. 2.10C, which may be written as shown:  C03 þ C01 /Cþ 3 þ C1

FIGURE 2.15 Schematic diagram transferring electrons from antibonding state to nonbonding state in selenium.

(2.7)

36

Chapter 2 Selenium-based amorphous semiconductors

FIGURE 2.16 Schematic diagram of self-trapping pair formation.   This formation of VAP Cþ 3 and C1 is an exothermic process because C1 possesses supplementary energy that is associated with coulomb interaction between electrons and nonbonding orbital. Hence, these defects are stable.  þ  The energy of Cþ 3 e C1 pairs is smaller than the C3 e C1 because of coulomb interactions as þ  distance closes, therefore close pairs C3 e C1 are metastable states, which can be helpful in studying the nature of photo-structural effect as shown in Fig. 2.16. In 1977, Kastner and Hudgens proposed a close valence alteration pairs state called intimate  valence alteration pairs [19], as shown in Fig. 2.12. In this model, Cþ 3 e C1 VAP pairs make a diamagnetic complex having total energy equal to zero, as shown in Fig. 2.17.

FIGURE 2.17 Schematic diagram of intimate valence alternate pair.

3. Optical analysis

37

3. Optical analysis Selenium has photonic applications because of salient optical properties like high photosensitivity, high absorption coefficient, and higher efficiency of radiative recombination, and is close to matching optical energy band gaps with visible light. The design of semiconductor devices of these materials based on optical components requires information of its optical properties such as optical transition, opto-electronic behavior, electronic band structure, mechanism of relaxation and transportation of charge carriers, etc. The optical band gap is the minimum energy difference between the bottom of conduction band and the top of valence band. The minima of conduction band and maxima of valence band, however, appear at the same momentum, and the difference in corresponding energy gets released in the form of a photon. This is known as direct band gap. However, in indirect band gap, the maxima of valence band occurs at different momentum value than that of minima of conduction band energy, as shown in Fig. 2.18. Both transitions, i.e., direct and indirect, cause frequency-dependent absorption coefficient near the absorption edge. In noncrystalline semiconductors, there exist three different regions for optical absorption. (a) In the higher absorption region, when absorption coefficient (a)  104 cm1, optical transition takes place between valence and conduction bands. The Tauc relation between absorption coefficient and optical band gap is given as follows [20]. ahy ¼ Aðhy  Eg Þn

(2.8)

Where Eg is known as optical band gap, and y is frequency of incident radiation. The index “n” corresponds to the type of transitions, having different values such as values 1/2, 2, 3, and 3/2, where n ¼ ½ for direct allowed, 2 for indirect allowed, 3 for indirect forbidden, and 3/2 for direct forbidden transitions.

FIGURE 2.18 Schematic diagrams of direct and indirect transitions.

38

Chapter 2 Selenium-based amorphous semiconductors

(b) If the value of absorption coefficient a ¼ 102e104 cm1, optical transition correspondingly takes places between localized and extended tail states. This is known as Urbach’s exponential tail. Urbach’s energy, i.e., band tail’s width, can be calculated as absorption coefficient a ¼ a0 expðhy = Eu Þ

(2.9)

where, a0 is constant, and Eu is called the Urbach energy. For most of the amorphous semiconductors, the value of Eu lies between 0.05 and 0.08 eV at low temperature [21]. (c) If the value of absorption coefficient a  102 cm1, this is called low energy absorption region. In this region, various defects and impurities are found [22]. Many researchers have worked on structural, physical, electrical, phase, and physicochemical properties of amorphous semiconductors induced by light in the ultraviolet region. The optical properties such as photovoltaic, photoconductivity, photoluminescence, and nonlinear optical phenomena are associated with electronic effects. Keneman, Berkes, Ing, and Hillegas and Pearson and Bagley [23e26] studied optical properties of amorphous semiconductors induced by light in 1971. Different kinds of photo-induced optical properties in chalcogenide amorphous semiconductors include expansion or contraction, variations of refractive index, chemical reactivity, hardness, change in density, electrical and optical properties, and decomposition and crystallization as well. The other photo-induced phenomena are photolysis, photo-crystallization, photo-polymerization, photocontraction, photo-vaporization, and various photo-chemical processes [27e30]. The values of n and k may be calculated using the theory of reflectivity of light. Accordingly, the reflectance of light from a thin film may be expressed in terms of Fresnel’s coefficient ,and reflectivity [31] on interface will be written as h i h i R ¼ ðn  1Þ2 þ k2 = ðn þ 1Þ2 þ k2 (2.10) and a ¼ 4pk=l 0)

(2.11)

00 )

The real (er and imaginary (er parts of the dielectric constant for (as prepared) In3Te7BixSe90x, thin films may be determined using the relations: ε0r ¼ n2  k2 and ε00r ¼ 2nk 0)

The value of real (er and imaginary (er sition of thin films.

00 )

(2.12)

parts of the dielectric constant depend on the compo-

4. Electrical analysis The band states that appear near the middle of energy band gap arise due to defects in states like interstitial and dangling bonds, etc. Such types of defects play a prominent role in manipulating electrical properties. Electronic wave functions are extended in crystals and localized in noncrystals. Consequently, in noncrystals, the electron or hole mobility and the corresponding absorption become smaller compared to crystal. Amorphous semiconductors have comparatively low thermal and

4. Electrical analysis

39

electrical conductivity because fermi level and band gap lies within. The electrical conductivity of amorphous semiconductors lies in the range of 102 to 1015 U1 cm1 at room temperature. The conductivity depends on electrons and holes transport properties in semiconductors. The electrical properties depend on electronic density of states, hence activation energy, mobility edge, and charge defects. Noncrystalline semiconductors manifest relatively smaller conductivity compared to their counterpart, i.e., crystalline semiconductors. The transport properties of carriers depend on the energy spectrum, where Ev indicates mobility edge for the valence band, and Ec indicates mobility edge for conduction bands. The spatially localized electronic states exist in between the mobility gap. Delocalized electrons and holes may respectively occupy states existing above Ec and below Ev. The charge carriers’ transport nature gets revamped when they cross the mobility edge. The transport phenomenon below Ev occurs in conduction band for holes and above Ec occurs in valence band for electrons. In amorphous semiconductors, the conductivity at higher temperatures is dominated by band conduction and at low temperature by hopping conduction. Fig. 2.19 shows conduction in a noncrystalline semiconductor. Davis and Mott reported that DC conductivity depends on the temperature in amorphous semiconductors. The conduction mechanism depending on temperature may be classified as given next [32]. (a) At high temperature, the conduction occurs through charge carriers, i.e., hole and electron in the valence and conduction extended states, respectively, into the excited states beyond the mobility edges. This yields temperature dependent conductivity of electrons [33]: sdc ¼ s0 expðDE = KTÞ

(2.13)

where DE ¼ EceEv is called activation energy, and s0 is known as the preexponential factor. (b) At intermediate temperatures, the conduction takes place due to thermally assisted tunneling process of carriers excited into localized gap state near the mobility edges. In this mechanism, the localized electrons jump from site to site by exchanging phonon. This is also known as hopping conduction. The conductivity due to electronic charge carriers is given as follows [34]:   E  Ev  DW1 sdc ¼ s1 exp  (2.14) KT

FIGURE 2.19 Schematic diagram of transition in amorphous semiconductors.

40

Chapter 2 Selenium-based amorphous semiconductors

Where DW1 is the hopping energy required to bring the electron to localized states, and E is the extremity of conduction and valence bands’ tail. The value of s1 is less than s0 by a factor of 102e104. (c) At low temperature, the conduction takes place because of thermally assisted tunneling of charge carriers between localized states lying near fermi energy. The charge carriers move between the states located at fermi energy through tunneling process assisted by phonon. This corresponds to conduction due to heavy doped semiconductors. The DC conductivity has been expressed as sdc ¼ s2 expðDW2 = KTÞ

(2.15)

Where s0< sdc, and DW2 has the same physical significance as DW. The hopping transport mechanism occurs in low temperature range; because localized states are normally closely spaced to each other, only a small amount of thermal energy is required for the hopping process to occur.

5. Synthesis of selenium nanoparticles (SeNPs) Out of various types of nanoparticles, SeNPs have attracted special attention because of their ability to interact with protein, their higher bioavailability, low toxicity, and high absorbing capacity, and have wide applications in medical and nanobiotechnology used for biologic systems. Presently, SeNPs are reported to possess biofilm inhibiting properties along with acting as anticancer, antioxidant agents. Nanobiotechnology, as is evident by the name itself, is a combination of two different technologies: nanotechnology and biotechnology. Nanobiotechnology has emerged recently as a result of the amalgamation of various disciplines like chemistry, physics, biology, and medicine and material science. Nanoparticles hold importance owing to their unique properties, resulting in their widespread application in scientific and technologic fields [35]. Nearly 23% of consumer products currently existing in the market contain nanoparticles. SeNPs can be prepared and synthesized using a number of techniques, which may include physical, chemical, and biologic techniques. Depending upon the selected method, check can be exercised on physicochemical properties of SeNPs by setting up experimental conditions carefully, which include pH and reaction temperature, reactant concentrations, preparation time, addition of catalysts, coating agent for surface stabilization, and so on.

5.1 Chemical techniques Chemical techniques to synthesize SeNPs largely involve the process of reducing or converting selenium ions into selenium element. Agents that can be applied for the purpose of reducing include hydrazine, borohydride, thiosulfate, and a number of organic acids, namely folic, ascorbic, oxalic, citric, gallic, benzoic, and acetic acids. Chemical methods produce smaller, homogeneous, and more stable selenium NPs compared to ones obtained through physical methods. The chemical approach for preparing SeNPs for biomedical applications is preferred due to its ability to permit further modification of nanoparticles along with dispersion in aqueous media, using different stabilizing or functional agents [36e38]. Addition of external chemicals is not required for stabilization in case of biomimetic methods, owing to presence of enzymes or proteins in microbes and of phytochemicals in plants, which play a helpful role help in performing the double action of reducing and stabilizing SeNPs. Composition of the extract or the precursor can be manipulated to obtain desirable nanoparticles easily.

5. Synthesis of selenium nanoparticles (SeNPs)

41

5.2 Physical techniques Commonly used physical methods of synthesizing SeNPs include sonochemical methods, laser ablation of crystalline selenium pellets, and gamma or microwave irradiation of selenious acid. Merits that physical methods possess over chemical ones include low-cost equipment, prevention of contamination with substances and reagents involved in biologic or chemical synthesis, ease in purifying and separating selenium NPs, and rapidity of reactions. In one of the studies conducted in the field, femtosecond pulse laser technique was used to produce selenium nanoparticles, wherein the site of laser ejection witnessed the generation of bubbles. Two mechanisms are involved in femtosecond pulse laser technique: melting and photofragmentation. In another study, where sonication method was used to synthesize SeNPs, conditions such as optimum pressure and temperature were required to be maintained all through to enable nanoparticles to achieve nucleation. While using the technique of laser ablation, the deposition of SeNPs on the medium surface does not always happen to be uniform, thus resulting in asymmetrical shapes and sizes of nanoparticles owing to unequal synthesis. The shape of the SeNPs produced by a microwave-based method can be manipulated with the help of microwave intensity by maintaining the temperature at as high as 150 C [39e42]. The demerit of this technique is that the flow reactor (microwave-assisted) used is expensive, and it is difficult to maintaining required temperatures all through the process.

5.3 Green techniques Now a days, green biotechnologic methods of synthesizing SeNPs are gaining popularity as they are less expensive and less hazardous compared to physical and chemical methods. They are garnering more attention and interest because of lower toxicity of SeNPs prepared through this method, their cost-effectiveness, widely available raw material, and great potential in pharmacology. These energyefficient and eco-friendly techniques mainly use microorganisms like fungi, bacteria, yeast, and algae, which have different reactivity with metal ions. A number of different microorganisms can be used for the purpose. Microbes, namely yeast, bacteria, and fungi, are given preference over other microbes for stabilization or nucleation of nanoparticles [43,44]. These are abundantly available due to their ability to grow fast under any conditions and the ability to adapt to any condition like high temperature and pressure or pH.

5.3.1 Synthesis of SeNPs using bacteria The process of synthesizing SeNPs using bacteria requires precursor chemical selenite and nutrients in different concentrations to act as a media in which to grow them. To achieve optimum production of SeNPs, they may be grown at several conditions optimized for the purpose. A change in color intensity of selenium occurs as it goes to a different characteristic color from being colorless. Measurement is done at regular intervals of time, and purified SeNPs are collected after centrifugation at high rpm. The components that play a catalytic role in reducing SeNPs largely include thiol groups, bound proteins such as metalothioneins and phytochelatins, nonproteins like cysteine, cystine, and glutathione, or proteins engaged in transporting electrons during the microbial respiration, and oxidoredoxin, which suppress the reactive oxygen species. These groups are enzymes or proteins produced with the help of different strains of bacteria that play an active role in reducing SeNPs [45] The SeNPs are produced best when the bacteria are either exponential or stationary in phase. Selenite in the cytoplasm gets reduced to selenium nanoparticles when the cells reach stationary phase.

42

Chapter 2 Selenium-based amorphous semiconductors

5.3.2 Synthesis of SeNPs using other microbes Apart from bacteria, species of fungi have also proven useful for preparing SeNPs using biomimetic techniques. However, there are not many reports or studies reported in this regard yet. The proteins obtained out of these species or extracellular biomolecules are used as agents both for reduction and stabilization. The actinomycete yeast Saccharomyces cerevisiae, Streptomyces microflavus strain FSHJ31, the protozoal species Tetrahymena thermophila SB210, and Halococcus salifodinae BK18 are reported to produce selenium nanoparticles of different sizes and shapes, in red color, with an incubation period of 24 h or more [46].

5.3.3 Synthesis of SeNPs using plants Various parts of plants are being explored by researchers to be used as chemical agents to induce and stabilize SeNPs in aggregation because plants can mediate their rapid preparation. Moreover, methods involving use of plant extracts do not require any special conditions, as is required in the case of other green methods for biosynthesis, so they are, therefore, less expensive. The methods of biosynthesizing nanomaterials with the help of plants and their various parts utilize nonhazardous materials for the purpose [47]. They also possess great potential for accumulation and detoxification of heavy metals. The selenium nanoparticles synthesized by garlic cloves, fenugreek seeds, leaves of Leucas lavandulifolia, etc., are observed to be of various shapes and sizes.

5.3.4 Synthesis of SeNPs using green chemical agents The production of SeNPs using proteins as mediator has been widely employed in recent years owing to their biodegradability and the fact that few of them such as glucose, polyethylene glycol, gallic acids, natural polyphenol (present in plants or fruits), or chitosan are produced within the body. A coating of these components over nanoparticles helps in improving the effectiveness of SeNPs in applications such as regulation of diabetes and thyroid, anticancer therapies, treatment of cardiovascular diseases, or improving the immune system. The synthesis of nanoparticles can occur both intracellularly and extracellularly. They capture the metal ions or toxic chemicals from the environment via catalytic reductions and convert them into nontoxic chemicals. The merit of synthesizing nanoparticles using microbes lies in the fact that such methods involve minimal use of chemicals or use environmentally friendly chemicals. The only disadvantage of this method is that it takes a lot of time for the reaction to complete, not less than 24 h (because of reduction in macromolecules like proteins, lipids, carbohydrates, and phycobilin, etc., and biomass), and that downstream processing is required for growing microorganisms (to scale up NPs) in reactors [48]. To achieve highest benefits with least risk in the production of SeNPs, a number of their physicochemical properties should be characterized such as their chemical composition, their size and distribution, their shape of structure, their stability, and purity under conditions relevant for their application.

6. Applications of SeNPs for biomedical purposes Utilization of SeNPs for biomedical purposes has increased drastically in recent times due to their crucial involvement in cellular metabolism. SeNPs are observed to have excellent photoconductive,

6. Applications of SeNPs for biomedical purposes

43

photoelectric, and optical properties. A high level of reactivity of SeNPs with other inorganic elements has proved to be a great advantage and is often utilized in producing materials of advanced and high functionality like quantum dots, which are used for imaging and other diagnostic methods. Owing to their favorable optoelectronic properties, use of SeNPs has been widely applied in various types of photocopiers, xerographers, rectifiers, solar cells, etc. [49]. Selenium nanoparticles are being utilized successfully for targeted drug delivery systems, biocidal activity, anticancer activity, and for their antiinflammatory, antioxidative, and optical properties. SeNPs have also been used as possible agents for overcoming and preventing multidrug resistance. All these comprise major biomedical applications of SeNPs. Since selenium-based enzymes possess antioxidant properties, use of SeNPs has been boosted in food technology, medicine, and pharmaceutical applications. Selenium, being an essential part of many enzymes engaged in preventing oxidative damages by providing cellular protection, plays an important role in many crucial functions of our body. Therefore, a number of Se compounds have been prepared to perform the role of immunomodulatory agents, antioxidants, antiinfective, and antitumor agents. Nanotechnology and its application in the field of medicine have provided promising innovative means for the production of safer and highly efficient selenium-based goods. Like other metallic NPs, SeNPs have a huge potential to bring about improvement in the field of diagnosis, prevention, control, and treatment of various diseases [50]. SeNPs are particularly useful in cancer treatment. Selenium as an element is quite well known itself for protecting and preventing lung cancer, breast cancer, cancer in prostate, and colon cancers. Minuteness of SeNPs facilitates their effective penetration into tumor cells, making them highly reliable for passive targeting. Preclinical studies showed reduction in systemic toxicities of conventional chemotherapeutic drugs by SeNPs being used as drugs carriers, while working synergistically to improve the efficiency. Another important application of SeNPs in the biomedical field is the development of biocidal agents as a result of the emergence of antimicrobial resistance (AMR). Another major issue in the sector of healthcare, accounting for almost 80% of all bacterial infections in human beings, is biofilm-related infections, which include infections related to the use of medical devices, chronic wounds, and urinary tract infections. Nanotechnology can provide unique and reliable solutions for fighting against microorganisms responsible for AMR. Targeted, combinatorial systems for the delivery of antibiotics can be made out of SeNPs specially designed for this purpose. SeNPs may be used themselves to perform biocidal activity, or as delivery vehicles and adjuvants in vaccines. Besides being useful as a vehicle for chemotherapeutic purposes and biocidal activity, SeNPs possess great antioxidant properties that can be utilized to perform a number of protective and preventive actions in vitro and in vivo. Many encouraging reports are available regarding their preventive abilities also such as protection against various radiations or toxicants, effective radical scavenging, and immune-modulatory actions. SeNPs activated with ATP enhance the anticancer activity and cell permeabilization. According to Guan Yi et al. [39], SeNPs inhibit the growth of breast, lung, and cervical cancer cells with great efficacy. The proliferation of cancer cells can be inhibited with their help by arresting cell cycle and inducing apoptosis per requirement. The medical applications of SeNPs, particularly for anticancer activity, as coatings in medical devices, and for preparing wound healing ointments are on the increase.

44

Chapter 2 Selenium-based amorphous semiconductors

7. Conclusion Selenium nanoparticles, in the light of all their properties and the increasing trend of their multidisciplinary applications, seem likely to dominate future developments and innovations in various fields like drugs and medical equipment, food technology, and other pharmaceutical applications. Their small size and varied properties like antiinflammatory, anticancer, antioxidant, less toxicity, etc., have enabled them to emerge as the best drug carriers, revolutionizing drug delivery systems. Sodium selenite was reduced into SeNPs through actinobacterial medium. The X-ray diffraction (XRD) spectrum was used to determine the true nature of the obtained SeNPs. The spherical shape of the particles was confirmed by the formation of sharp peaks. The SeNPs’ presence in the biosynthesized material was documented by the presence of strong signals of selenium from Energy-dispersive X-ray (EDX) spectrum. The biofilm formation properties of SeNPs is of great interest in curing hospital-oriented infections. For this, a number of strains of Acinetobacter have been observed in detail. Under spectroscopic observation, the growth of all strains showed a tendency to decrease with increase in SeNP concentration. As for the antioxidant activity of the SeNPs, it was observed that the free radical scavenging increases with the increase in concentration of SeNPs. Selenium compounds are proved to have high antioxidant property, which helps in deleting free radicals in vitro and increasing seleno enzyme, glutathione peroxidase, a key element for protecting cells and tissues from the damaging effect of free radicals. It is also confirmed that SeNPs synthesized through biologic methods possess more antioxidant properties and are less toxic than selenium dioxide. This makes SeNPs an excellent anticancer agent. It has been observed in the present study and elsewhere that permeability of cells and anticancer activity can be enhanced with SeNPs functionalized with ATP. SeNPs put a check on the growth of lung, breast, and cervical cancer by inhibiting the proliferation of cancer cells. As observed, the antiviral activity of SeNPs tends to increase with increase in concentration and helps in reducing the viral growth. Thus, SeNPs exhibit excellent antiviral properties too. Development of green methods for the preparation and synthesis of SeNPs has also reduced environmental hazards associated with the physical and chemical synthesis of nanoparticles, besides making the process cost-effective, simpler, and easier, as the raw materials required to process SeNPs through green methods, like bacteria, fungi, yeast, plants, fruits, vegetables, etc., are easily available in the surrounding environment and the process does not require elaborate apparatus, unlike other methods. Selenium as an amorphous semiconductor is an inevitable element to be used in the field of technology in general for producing various technical devices and in the field of bionanotechnology (biotechnology þ nanotechnology) in particular owing to it being an inherent part of various proteins and enzymes found in human cells.

References [1] S. Raoux, Phase change materials, Annu. Rev. Mater. Res. 39 (2009) 25e48. [2] M. Wuttig, N. Yamada, Phase-change materials for rewriteable data storage, Nat. Mater. 6 (11) (2007) 824e832. [3] S. Raoux, G.W. Burr, M.J. Breitwisch, C.T. Rettner, Y.-C. Chen, R.M. Shelby, M. Salinga, et al., Phasechange random access memory: a scalable technology, IBM J. Res. Dev. 52 (4.5) (2008) 465e479.

References

45

[4] C.H. Henry, Limiting efficiencies of ideal single and multiple energy gap terrestrial solar cells, J. Appl. Phys. 51 (8) (1980) 4494e4500. [5] G. Eisenman, Glass Electrodes for Hydrogen and Other Cations: Principles and Practice (No. 541.3724, M. Dekker, 1967. [6] F.G.K. Baucke, GlassdCurrent Issues. NATO ASI Series, Martinus Nijhoff, Dordrecht, 1985. [7] A. Popov (Ed.), Disordered Semiconductors Second Edition: Physics and Applications, CRC Press, 2018. [8] A.I. Gubanov, A.D. Chevychelov, Theory of the life of polymers, Fiz. Tverd. Tela 5 (1) (1963) 91e95. [9] M.H. Cohen, H. Fritzsche, S.R. Ovshinsky, Simple band model for amorphous semiconducting alloys, Phys. Rev. Lett. 22 (20) (1969) 1065. [10] E.A. Davis, N. Mott, Conduction in non-crystalline systems V. Conductivity, optical absorption and photoconductivity in amorphous semiconductors, Phil. Mag. 22 (179) (1970) 0903e0922. [11] N.F. Mott, E.A. Davis, R.A. Street, States in the gap and recombination in amorphous semiconductors, Phil. Mag. 32 (5) (1975) 961e996. [12] P.W. Anderson, H. Hasegawa, Considerations on double exchange, Phys. Rev. 100 (2) (1955) 675. [13] S.F. Edwards, P.W. Anderson, Theory of spin glasses, J. Phys. F Met. Phys. 5 (5) (1975) 965. [14] R.A. Street, N.F. Mott, States in the gap in glassy semiconductors, Phys. Rev. Lett. 35 (19) (1975) 1293. [15] S.R. Elliott, A theory of a.c. conduction in chalcogenide glasses, Phil. Mag. 36 (6) (1977) 1291e1304. [16] C.M. Gee, M. Kastner, Intrinsic-defect photoluminescence in amorphous SiO2, Phys. Rev. Lett. 42 (26) (1979) 1765. [17] D. Adler, Defects and density of localized states, in: Semiconductors and Semimetals, vol. 21, Elsevier, 1984, pp. 291e318. [18] D.A. Adler, H. Fritzsche, Tetrahedrally-Bonded Amorphous Semiconductors, Springer, 2013. [19] R.M. Candea, C.M. Gee, S.J. Hudgens, M. Kastner, Temperature dependence of the diamagnetic and dielectric susceptibility of silicon, Phys. Rev. B 16 (6) (1977) 2657. [20] J. Tauc, Optical properties of amorphous semiconductors, in: Amorphous and Liquid Semiconductors, Springer, Boston, MA, 1974, pp. 159e220. [21] S.S. Ashraf, M. Zulfequar, Effect of bi additive on optical and electrical properties of quaternary chalcogenide In3Te7BixSe90x thin films, J. Ovonic Res. 15 (6) (2019) 393e400. [22] D.L. Wood, J.S. Tauc, Weak absorption tails in amorphous semiconductors, Phys. Rev. B 5 (8) (1972) 3144. [23] S.A. Keneman, Hologram storage in arsenic trisulfide thin films, Appl. Phys. Lett. 19 (6) (1971) 205e207. [24] J.S. Berkes, S.W. Ing Jr., W.J. Hillegas, Photodecomposition of amorphous As2Se3 and As2S3, J. Appl. Phys. 42 (12) (1971) 4908e4916. [25] A.D. Pearson, B.G. Bagley, The mechanism of hologram formation in arsenic-sulfur glass, Mater. Res. Bull. 6 (10) (1971) 1041e1046. [26] S.A. Keneman, Surface relief holograms in evaporated arsenic trisulfide films, Thin Solid Films 21 (2) (1974) 281e285. [27] K. Tanaka, A. Saitoh, N. Terakado, Giant photo-expansion in chalcogenide glass, J. Optoelectron. Adv. Mater. 8 (6) (2006) 2058e2065. [28] B.T. Kolomiets, S.S. Lantratova, V.M. Lyubin, V.P. Pukh, M. Tagirdzhanov, Photo-stimulated change in microhardness in films formed by vitreous chalcogenide semiconductors of the As-Se system, Fiz. Tverd. Tela 18 (4) (1976) 1189e1191. [29] S.R. Elliott, A unified model for reversible photostructural effects in chalcogenide glasses, J. Non-Cryst. Solids 81 (1e2) (1986) 71e98. [30] Ashraf, S. and Islam, S., Synthesis and Characterization of Polyaniline (Pani) Doped with Vanadium Pentoxide (V2O5). [31] N.F. Mott, E.A. Davis, Electronic Processes in Non-crystalline Materials, Oxford university press, 2012. [32] D.E. Carlson, C.R. Wronski, M.H. Brodsky, Amorphous semiconductors, Top. Appl. Phys. 36 (1979) 287.

46

Chapter 2 Selenium-based amorphous semiconductors

[33] K. Tanaka, E. Maruyama, T. Shimada, H. Okamoto, Amorphous Silicon, Wiley-Blackwell, 1999. [34] H.J. Bo¨hm, S. Nogales, MorieTanaka models for the thermal conductivity of composites with interfacial resistance and particle size distributions, Compos. Sci. Technol. 68 (5) (2008) 1181e1187. [35] S. Menon, H. Agarwal, S.V. Kumar, S. Rajeshkumar, Biomimetic synthesis of selenium nanoparticles and its biomedical applications, in: Green Synthesis, Characterization and Applications of Nanoparticles, Elsevier, 2019, pp. 165e197. [36] S. Ramya, T. Shanmugasundaram, R. Balagurunathan, Biomedical potential of actinobacterially synthesized selenium nanoparticles with special reference to anti-biofilm, anti-oxidant, wound healing, cytotoxic and anti-viral activities, J. Trace Elem. Med. Biol. 32 (2015) 30e39. [37] I.V. Vrcek, Selenium nanoparticles: biomedical applications, in: Selenium, Springer, Cham, 2018, pp. 393e412. [38] M. Vahdati, T.T. Moghadam, Synthesis and characterization of selenium nanoparticles-lysozyme nanohybrid system with synergistic antibacterial properties, Sci. Rep. 10 (1) (2020) 1e10. [39] G. Huang, Y. Zhang, Q. Zhang, B. Zhang, L. Wen, Vacuolization and apoptosis induced by nano-selenium in HeLa cell line, Sci. China Chem. 53 (11) (2010) 2272e2278. [40] B. Hosnedlova, et al., IJN-157541-nano-selenium-and-its-nanomedicine-applicationsea-critical, Int. J. Nanomed. Dovepress 13 (2018) 2107e2128. [41] P.A. Tran, N. O’Brien-Simpson, E.C. Reynolds, N. Pantarat, D.P. Biswas, A.J. O’Connor, Low cytotoxic trace element selenium nanoparticles and their differential antimicrobial properties against S. aureus and E. coli, Nanotechnology 27 (4) (2015) 045101. [42] H. Forootanfar, M. Adeli-Sardou, M. Nikkhoo, M. Mehrabani, B. Amir-Heidari, A.R. Shahverdi, M. Shakibaie, Antioxidant and cytotoxic effect of biologically synthesized selenium nanoparticles in comparison to selenium dioxide, J. Trace Elem. Med. Biol. 28 (1) (2014) 75e79. [43] B. Buchs, M.W. Evangelou, L.H. Winkel, M. Lenz, Colloidal properties of nanoparticular biogenic selenium govern environmental fate and bioremediation effectiveness, Environ. Sci. Technol. 47 (5) (2013) 2401e2407. [44] S. Cavalu, J. Prokisch, V. Laslo, S. Vicas, Preparation, structural characterisation and release study of novel hybrid microspheres entrapping nanoselenium, produced by green synthesis, IET Nanobiotechnol. 11 (4) (2016) 426e432. [45] H. Hariharan, N. Al-Harbi, P. Karuppiah, S. Rajaram, Microbial synthesis of selenium nanocomposite using Saccharomyces cerevisiae and its antimicrobial activity against pathogens causing nosocomial infection, Chalcogenide Lett. 9 (12) (2012) 509e515. [46] P. Narasingarao, M.M. Ha¨ggblom, Identification of anaerobic selenate-respiring bacteria from aquatic sediments, Appl. Environ. Microbiol. 73 (11) (2007) 3519e3527. [47] R. Jain, D. Dominic, N. Jordan, E.R. Rene, S. Weiss, E.D. van Hullebusch, R. Hu¨bner, P.N. Lens, Higher Cd adsorption on biogenic elemental selenium nanoparticles, Environ. Chem. Lett. 14 (3) (2016) 381e386. [48] A.J. Kora, L. Rastogi, Bacteriogenic synthesis of selenium nanoparticles by Escherichia coli ATCC 35218 and its structural characterisation, IET Nanobiotechnol. 11 (2) (2016) 179e184. [49] I. Zinicovscaia, L. Rudi, A. Valuta, L. Cepoi, K. Vergel, M.V. Frontasyeva, A. Safonov, M. Wells, D. Grozdov, Biochemical changes in Nostoc linckia associated with selenium nanoparticles biosynthesis, Ecol. Chem. & Eng. S 23 (4) (2016) 559e569. [50] M. Shakibaie, M.R. Khorramizadeh, M.A. Faramarzi, O. Sabzevari, A.R. Shahverdi, Biosynthesis and recovery of selenium nanoparticles and the effects on matrix metalloproteinase-2 expression, Biotechnol. Appl. Biochem. 56 (1) (2010) 7e15.

CHAPTER

Nanodevices for biomedical applications

3

Shilpi Birla1, Neha Singh1, N.K. Shukla2 1

Manipal University, Jaipur, Rajasthan, India; 2King Khalid University, Saudi Arabia

1. Introduction Electronics are omnipresent in our lives. The growth of electronics is not hidden from us, and it has entered every aspect of our life. One of the most important health sectors now depends on electronics, which we started calling bioelectronics. Bioelectronics means “An interface between the biological world which includes parameters like heart rate, pulse rate, etc. and the analog or the digital electronics world” [1,2]. This combination of electronics with medicine revolutionizes the medical sector and results in biomedical that has eased us into understanding the biologic methods and helps us to monitor the biologic parameters more easily and efficiently. Even this monitoring can help us in innovating new effective methods for curing any medical adversity. If we see the role of electronics in biomedicine, they are huge. A large number of applications include “implantable bioelectronics devices” like pacemakers, cochlear implant, etc., that have helped many to live their life in a normal manner. This becomes possible because these devices are miniaturized, with physically small batteries with limited power sources that make them comfortable, and now these are accepted by the world. The important thing that made these implantable devices possible is optimization and miniaturization of the circuits, which is possible due to very large scale integration (VLSI), and the most important is the complementary metal-oxide-semiconductor (CMOS). CMOS leads to fulfilling the dream of shrinking the device size and minimizing the power consumption of the device. The bonding of electronics and biology leads to rapid advancements like the possibility to “restore the vision” or “reverse the effects of spinal cord injury or disease.” Many advancements are going, and new technologies are emerging to solve many underlying problems. Most of the devices are using the matured CMOS technology that makes the devices reliable, power-efficient, small in size, and costefficient. But at the same time, these biomedical devices cannot be designed using only technology as the overall interfacing requires a lot of interdisciplinary knowledge, as the designer should know the biologic and medical aspects along with the specifications of the electronic circuit. The circuit design requires a lot of common efforts from the medical and the electronics point of view. There are various applications of CMOS in biomedical applications like sensors that include image sensors, memories, wearable devices, and implantable devices. In this chapter, we are going to discuss memories in implantable devices and sensors in biomedical applications.

Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00005-8 Copyright © 2021 Elsevier Inc. All rights reserved.

47

48

Chapter 3 Nanodevices for biomedical applications

2. Nanodevices in implantable devices VLSI has emerged as a role model in every field whether it is consumer electronics, biomedical sector, networking sector, and so on. Earlier the limitation in VLSI was to integrate the mixed-signal onto a single substrate, but with the advancement in the VLSI system on-chip (SoC), we can integrate the analog and digital or mixed-signal circuits on a single silicon substrate. Biomedical applications were possible because of the SoCs. This leads to the design and development of biomedical devices. implantable biomedical devices (IMDs) are the success story of the SoCs [3]. Numerous body stimulators are implantable like pacemakers, defibrillators for heart, a cochlear implant for hearing, prostheses, and various muscular and brain stimulators, monitoring devices, and drug pumps. Some of them are mentioned subsequently.

2.1 Cardiac pacemaker “Cardiac rhythm management” devices are categorized broadly in two categories: “pacemakers” and “implantable cardioverter defibrillators (ICDs)” [4]. Pacemakers are the most common implantable medical systems, which give various options to the doctor for a wide range of modifiable parameters and affluence of diagnostic data, accessible through the wireless system. A pacemaker has consisted of a pulse generator, pacing leads, and a battery. Fig. 3.1 shows the block diagram of the basic pacemaker system.

Telemetry

Memory

Header and case connnections

Rate sensor Sense Multiplexing

Sensing

ADC

HV Protection

MCU and Programmable Digital Functions

Patient Notifier

EMI Filters Magnet Detect Pace Multiplexing

Clock Generators

Pace Drivers

Charge Pumps

Battery and Power Management

+

-

Battery

FIGURE 3.1 Basic pacemaker system.

2. Nanodevices in implantable devices

49

The pulse generator is the key component that keeps on checking the heart rate and generates a small pulse. These electrical pulses make sure that the heartbeats are normal. The pacing leads, which are insulated, have a role of connecting the pulse generators with heart chambers. These leads used to carry electric pulses to and from the heart to a pulse generator and from a pulse generator to the heart. The batteries are specially designed according to the pacemaker size and its case [2].

2.2 Implantable cardioverter defibrillators The other type of implantable device for a heart that manages the “cardiac rhythm” is the ICD. The ICD has all the bradycardia abilities of pacemakers; apart from this, it is also able to sense ventricular tachycardia and offers “cardioversion therapy,” which requires high voltage. The ICDs are bigger than the pacemakers, as they need extra circuits, which include the big battery and high-voltage capacitors. Both the pacemakers and the ICDs require thoracotomy. The ICDs have 25% electronics circuits and 25% high-voltage capacitors, but these capacitors are not used in pacemakers, so they are smaller in size and need a smaller battery [4].

2.3 Brain stimulator The “DBS (deep brain stimulation) system” basically comprises the electrode, insulated wire, and the communication. In this, the electrodes are implanted deep inside a portion of the brain, i.e., thalamus, which coordinates the communication related to the movement. The electrodes’ purpose is to block the signals of the brain that can cause muscle shock and transfer the electrical signals to the brain. Insulation wire, which is also called an extension, is used to connect the stimulator, which is implanted close to the collarbone [2]. The electrical pulses that are transferred by the electrodes are generated by the stimulators. This can improve many motor functions in the human body.

2.4 Neuromuscular stimulation Neurostimulation is defined as “electrical charge delivery to affect the behavior of nervous tissue” and is one of the recent growing applications in biomedical engineering [4]. The applications of this stimulation are for pain control, incontinence, epilepsy, hearing loss, and neurostimulation to restore function lost to neurologic diseases or injury. A neuromuscular stimulation has an implanted part and a PC-based controller system. The implanted parts are as usual the leads and the electrodes for generating the electrical signals. Wireless power is used for generation and modulation of the electrical pulses, which helps in functional movements of the body [2].

2.5 Retinal prosthesis The artificial retina is a prosthesis device to recover the vision for a blind person. The other sensory prosthesis device is an artificial cochlea. It consists of glasses with a small external camera implanted in the lens with a unit that has a receiver, electrode array, and a stimulator [4]. An image processing unit converts the image captured by the camera into the digital information through the wireless system, and the unit receives the data and controls the stimulator’s output. These stimulus patterns are sent to the retinal nerve with the help of an electrode array, where the only thing is that the number should be sufficient enough for storing the image as a visual image [2].

50

Chapter 3 Nanodevices for biomedical applications

2.6 Cochlear implant According to Refs. [5e7], nearly 70 million people globally have hearing loss. Hearing loss is one of the most common sensory disorders suffered worldwide. These people can be helped with hearing aids. The common misconception about hearing aids is that people consider them for deaf people, but it can be used with people who have hearing problems with age and can improve their hearing capability. These hearing aids are able to filter the noise from the surroundings and are able to amplify the sound. Hearing aids are not just for deaf people in the future. By implementing these future hearing aids, a person can improve and control their hearing ability. Earphones are the most common hearing aids, having a transmitter, an electrode array, and a microphone. In this, the microphone collects the sound that is converted to electrical signals, and these signals are received and sent to the auditory nerves, which help in sending them to the brain. All the hearing aids mostly work on the same principle. There are four different types of hearing aids: behind the ear, in the ear, the one in the canal, and the last one is completely in the canal. The cochlear implant (CI) is a “surgically implanted electronic device” that offers a sense of sound to a person who may be deaf or have profound hearing loss [4]. It is entirely different from the general hearing aids. As in this, it bypasses the damaged parts of the ear and it directly stimulates the auditory nerve. The CI is one of the most popular hearing aids, which comprises an external and an internal component, where the internal portion is implemented inside the brain by a surgeon, while the external is a headpiece that includes a transmitter and microphone with an electrode array [2]. The external sounds are collected by the microphone and are converted into electrical signals by the processors. These signals are received with the help of a receiver, and the stimulator sends the output to the auditory nerve, and it sent the signals to the brain. From the mid1980s to now, several cochlea devices have been designed and developed. Fig. 3.2 depicts a block diagram of the basic hearing aid operation.

3. Nanodevices for IMD memories Minimum power usage is one of the dominant requirements for emerging applications like miniature handheld gadgets, since all these devices have high computing capacities in which memories play a

Temporal Register Reference Signal Comparator

Speech

PD

Preamp

FIGURE 3.2 Basic hearing aid mode operation.

Mode Select

Music

SMS

ADC

DSP

Gain Verification Unit

DAC

Receiver Driver

4. Nanodevices for wireless power systems

51

vital role. Looking into the needs of miniaturization, low power and high speed are the vital parameters for any memory in which SRAM is the most suitable choice. In this section, we will discuss where the memories can be used and how much power consumption they can afford, especially in the IMDs. CMOS SRAMs were very popular because of the low power consumption and small size, but due to continuous minimization of the CMOS, severe challenges have emerged like short channel effects and reliability issues, which can be reduced by using other nanoscale devices like the FinFET and tunnel field effect transistor (TFET). A lot of implantable devices are being tried like heart pacemakers, bladder stimulator, pain suppressor, sensory stimulator, muscle stimulator, etc., as discussed in a previous section. These implantable devices need power to work, which means they need power sources. They can either work on batteries or using inductive links. There have been various power sources from 1960 to now that have been proposed to improve their functionality. In the 1960s the first cardiac pacemaker was implanted, and they used the “zinc/mercuric oxide batteries,” but these batteries can only exist for 2e3 years, so it was not possible to implant a device with such a small span of battery life. In the 1970s, with the entry of “lithium batteries,” the dream of the implantable devices started into reality, as these batteries can last for at least 10 years [8,9]. After the success of this implantation, various other implantable devices started emerging like the “neurostimulators” and “ICDs” Though utmost care regarding power consumption used to be taken while designing circuits for these devices, in some cases, like ICDs, they operate on a higher current compared to the pacemakers. As mentioned earlier the inductive links are also an alternate option for the batteries. They are explained as “radio frequency coupling coils where an implanted coil receives power and communication with an external coil.” The IMDs are divided into categories: active and passive devices. The passive is the structural device and the active is the powered device. The biomedical devices now have a wide range of applications. The emerging technologies especially for healthcare checking and monitoring are the WBAN (Wireless Body Area Network) and the Wireless Person Area. The wireless network becomes a challenge for power sources. There are still many power constraints for the wireless network and their implementation as discussed by Ref. [10] Bluetooth can work for 1e7 days with 10-m range and ZigBee can work for 6e24 months with two AA batteries. The sensory stimulators like the electronic prostheses require more power, as consumption is high. Per Ref. [11], the batteries occupy 25%e60% volume of the IMDs. The pacemaker’s batteries have 100 mW power consumption, having a life span of around 5e 12 years [11e13]. The other implantable devices like the neurostimulators require more power consumption, so the duration is less, around 3e5 years. The devices that are implanted for hearing have different varieties and model, and accordingly, they consume power from 50 mW to 20 mW. Larger the battery life, less will be the surgeries for replacing the batteries which will help the patient to live a life more normally.

4. Nanodevices for wireless power systems All the aforementioned IMDs require wireless power and a data transmission system. Due to the tremendous growth of new devices like IoT applications, RFID, and IMDs, the need for wireless power systems also has increased exponentially. According to the power requirements, these wireless power transfer (WPT) are designed, for example, where the power required in IMDs is very low, like the CI.

52

Chapter 3 Nanodevices for biomedical applications

Though for retinal prosthesis the power required will be a little high due to an increase in the number of channels [8,14e16]. Hence the role of wireless power transfer is helping the applications to become wireless, i.e., portable. Thus, wireless power transfer is not only getting rid of wires, but it makes the applications more compact and portable. The wireless power transfer is through electromagnetic waves (EM), ultrasound, inductive, and resonant. The wireless power transmission modes using EM can be near field and far field depending on the transmission distance [16]. So, the size of the coil used for transmission is designed accordingly with the distance. The other good choice for wireless transmission is the ultrasound, in which the frequency of 20 kHz or above is a suitable choice. It has the advantage of low propagation loss as compared to the EM wave propagation. Since it has a lower frequency than the EM waves, it can achieve high power conversion efficiency. As safety is to be considered for transmission of wireless power in the tissues, ultrasound with high power density is only allowed. In Ref. [17], it is stated that ultrasound with a high power intensity of 100 mW/cm2 produces very few hazards for a minimum duration of 2 hours. The design of the wireless power transfer is very important for all these biomedical devices, as their performance will change the performance of the whole system, and the performance of the receiver of a WPT system can limit the output power of the system. Generally, these wireless systems have power converters like DC to AC converters, inverters, rectifiers, and voltage regulators. The form factor of the receiver is one of the important parameters. For lower requirements, e.g., 10 W, rectifiers are integrated full on the chips, as it saves area, while for higher requirements, LDMOS and GaN transistors are used. Comparator-based rectifiers are a better choice for applications with power level in the range of mW. The diode-connected rectifiers may not have good efficiency, so they are replaced by the MOSFETs to form active rectifiers [18]. The integrations of these rectifiers reduced the discrete components and thus the cost. In these systems, a DCeDC converter can be used as the power supply for the power amplifier. The DC converters, which use MOSFETs as a switch, are nonlinear voltage regulators. The advantage of a switching converter is that switch is lossless and can be designed for step-up and stepdown of voltages and can be used as a polarity converter. Many of the integrated power amplifiers are designed using the bulk charge transfer device process in which along with BJT, CMOS, and LDMOS are used to have high speed and high gain. For further improving the efficiency of the circuit, deep trench isolation or the silicon on insulator techniques are used. The analog-to-digital converter has various applications in biomedicine like in the signal processing system where the signals get amplified and may be filtered before they are converted to digital domains for next processing. They are used in low-power wireless communications where multiple sensors are used to get the data from the body. The A/D converters transform the continuous signals to discrete, sampled, and quantized. So, for this specification, they are required for each converter. The ideal sampling time is explained by the time difference between the times at which the input samples. The sampling frequency is considered an inverse relation of the sampling period [18]. New processors are also required that consume power in microwatts for biosignal processing. The requirements of the “ambulatory arrhythmia monitoring system” are rising exponentially. The ECG signals are monitored continuously by the systems for several hours. This system transfers the recorded data to the center where the alert range of the ECG signal is detected. For analyzing and monitoring the signal sensors the classifiers are integrated into lightweight systems. These ambulatory systems should be ultra-low-power operated with a long battery span and small wearability. The utmost significant requirements for the ambulatory monitoring system are ultra-low-power operation for the long battery

6. Nanodevices for image sensors

53

lifetime and a small footprint for wearability. The memory blocks and the wireless communications systems consume the maximum energy compared to the processing blocks, so the data processing is done before transmitting to minimize the power consumption. Thus, we conclude that the growth of the WPT system in the market is enormous for a wide range of applications. Since a WPT system comprises so many circuits like AC-DC, DCeDC, and DC-AC converters along with rectifiers, these circuits should be efficient enough to design an efficient WPT system. The designing of these circuits is not discussed in this chapter, but now, these circuits are being designed using CMOS, though they involve challenges for the circuit designers [18].

5. Nanodevices for temperature sensors Temperature is the most common environmental quantity that can be easily measured [19] since all the systems that exist have temperature dependency. Earlier the resistance temperature detectors, thermocouples, or thermoresistors were used to measure the temperature. As CMOS has emerged in the last few decades, this technology has played an important role in replacing the traditional temperature measuring instruments. The development of low-cost temperature sensors with the output in digital format has revolutionized the entire market of the temperature sensors. These are termed smart temperature sensors, which are common to everyone because of many advantages like analog-todigital converter (ADC) converter is not required, as it gives data in digital format, and it is more accurate as digital signals are less susceptible to external interference compared to the analog signals. Bipolar junction transistor (BJT)-based temperature sensors are used because of their accuracy in various ranges. These temperatures also have low power supply sensitivity of 0.1 C/V. But these sensors need a power supply of 1 V or above as well as headroom for biasing the BJT, so the use of these sensors is not preferred in the battery-operated systems and cannot be integrated into nanometer CMOS [20]. For this reason, MOSFET-based sensors are preferred, as they work below 1 V power supply. The other reason is that when MOSFET is biased in the subthreshold region the gate voltage and the drain current show the effect of temperature, which is the same as in BJT for the collector current and the base-emitter voltage. Though if we talk for accuracy, it is less accurate due to the fabrication process effects like threshold voltage variations. Dynamic threshold MOS transistors are chosen for these types of sensors. These MOSFETs have their gate and body shorted together, which leads to biasing of the transistors, and they can be varied dynamically [21e23]. Also, they have a bandgap of approximately 0.6 V, which is half the bandgap of the BJT, so they can be used as temperature sensors even below 1 V. DTMOS can further be optimized.

6. Nanodevices for image sensors A digital image sensor senses the amount of radiation received by it, which is later converted into electrical signals to convey the information required for the image formation. The radiation captured by the sensors can be light or any other electromagnetic wave. These sensors are used with analog or digital electronic imaging devices. However, with advancements in technology, digital imaging has become more popular. Digital cameras, night vision cameras, mobile phones, medical imaging instruments, etc., use image sensors. Charge-coupled devices (CCD) and CMOS are the key sensor technologies, which were created at nearly the same time, but they differ in terms of the manufacturing

54

Chapter 3 Nanodevices for biomedical applications

process and output method. The basic building block of CCD sensors is the MOS capacitor and that for CMOS sensors is MOSFET amplifiers. Both sensors capture light and convert it to electrical signals. Nowadays, CMOS sensors are used for consumer electronics where low power consumption and low cost are the main requirements. CMOS sensors require low power due to the use of smaller digital circuitry, and these are cheap since logic circuitry can be built into a chip and the existing manufacturing equipment for semiconductors can be used multiple times for bulk production. CCD sensors are preferred in high-quality imaging devices where superior sensitivity and image quality is required with compromised speed. CCDs are slow because of the time required to shift the charge through capacitive bins. CMOS sensors are slowly replacing CCD sensors in biomedical applications because they provide enhanced image quality with low power consumption, small size, and improved system integration capabilities. The performance of any image sensor is measured in terms of different parameters like signal-to-noise ratio (SNR), dynamic range, and sensitivity to light. This section focuses on CMOS image sensors. The mainstream of research for image sensors works for improving the fill factor, designing circuits with low noise, adding more features on a chip, and integrating with other relevant technologies for improved features and dedicated applications.

6.1 Image sensors A camera arranges sensors as a rectangular grid of tiny square pixels, and each of these pixels can be understood as a well or a bucket for collecting light information, reflected by the scene being captured. The main function of an image sensor is to convert photons into electrical signals that can be stored and analyzed. The light falling on each pixel strikes the material and generates electrons due to the photoelectric effect. These electrons are held in the wells to be read as pixel values. The larger the number of electrons is in the well, the brighter is the pixel. The maximum capacity of a well defines the brightest pixel that a sensor can capture. A black pixel has no electron in the well. Presently, two types of image sensors are used: CCD and CMOS image sensors (CIS). Both these sensors are silicon (Si) based and came into existence in the 1960s. They differ in the sense that CCD converts photon to electron, but CIS converts photon to voltage. Both the sensors operate in the spectrum of 400e1000 nm [37]. Improved image quality, sensitivity, dynamic range, and speed offered by CMOS have outpaced the CCD technology. Some important parameters [24,25] of the comparison between different image sensors are discussed here. The most common parameters for comparison of the sensor are its resolution and size or pitch. Resolution is nothing but pixel count and is specified in megapixels generally for the cameras as the number of pixels per millimeter. It depends on the size of the chip, area consumed by peripheral circuitry, and area taken up by the photosensitive material. The higher the resolution is, the smaller is the size of each pixel. The larger the size of the pixel is, the more light it can collect. The fraction of the area occupied by photosensitive material at a pixel is referred to as fill factor. The ideal value of the fill factor is 100%, but most sensors are not able to achieve it due to additional circuits and filters used. Microlenses are used to improve the fill factor, as discussed later in the chapter. The frame rate denotes the number of pictures that can be taken by the sensor per second. It is required to be as high as possible and is correlated to time for which the sensor is exposed to light. The exposure time, also called the shutter speed, is the time for which the light is allowed to fall on the sensor for a picture to be captured. The unnecessarily long exposure time of the sensor may result

6. Nanodevices for image sensors

55

in a corrupted image due to excessive electrons produced by overillumination. The faster the shutter speed, the lesser is the chance that an object may move relative to its initial position. Sensitivity is the minimum change required in the input (amount of light) to bring about standardized change in output. The sensitivity of the camera is expressed in terms of ISO number or value. High values of ISO number indicate that the sensor can capture images in low light conditions too. High sensitivity to light is accompanied by noise. The drawback of increased light sensitivity is an increase in noise, so SNR is also used as a measure of the sensitivity of the sensor. The dynamic range of pixel value in an image is the difference between its brightest and the darkest pixel, as captured by the image sensor. The larger the dynamic range is, the more colors or shades of gray are captured and displayed. The ratio of photons converted to electrons by the sensor is termed quantum efficiency. It is a function of wavelength. Another close parameter is a well capacity, which refers to the number of electrons that a well can accommodate. The maximum possible capacity of the well to hold charges is termed full-well capacity. The larger the well is, the more is its capacity to hold a charge, sensitivity, and dynamic range. With technologic advancements, the size of pixels is reducing, which makes noise more and more significant. A major problem with the image sensor is dark current. It is a small amount of current exhibited by photosensitive devices even when no photons are falling on the device. This may be due to either irregularity in Si or due to charges diffused in bulk Si. Generally, it is of the order of fA. Also, it is a function of temperature in the sense that with increased temperature, dark current increases. The overall performance of a sensor is defined by the noise added to the output signal. The noise in the signal may be random or patterned. Random noise varies in each frame and is described with statistical distributions, whereas patterned noise is spatial noise effectively. Fixed patterned noise is measured in absence of illumination and may be due to fabrication errors, defects in substrate, or doping concentrations. Another reason for patterned noise is the nonuniformity of pixels to respond to the light falling on the sensor array. Digital cameras have typically square pixels of size between 3 and 10 mm. Though the number of sensors is simply equal to the number of pixels, their size plays an important role in imaging. Large pixels have high charge capacity and hence show high SNR, whereas, for a fixed size of the sensor, small pixels offer high resolution and magnification. Small pixels greatly exhibit blooming with reduced contrast due to pixel crosstalk. Pixel crosstalk occurs when carriers at a specific pixel leak to adjacent pixels. Deep trench isolation technique or electrical barriers are used to isolate the neighboring pixels to avoid crosstalk [26].

6.2 Charge-coupled device image sensors CCD is an analog sensor whose output is converted immediately to digital output with an ADC. These sensors are used in application areas where image quality is the main concern with low noise and high resolution, for example, microscopy [36]. The structure of a CCD pixel is shown in Fig. 3.3A, where the light falling onto the photosensitive region of each pixel of CCD array generates photoelectrons that get trapped in the potential well for each pixel. The photosensitive region forms a photodiode structure at each pixel. The number of photons striking the photodiode exhibits a linear relationship with the number of electrons generated. However, the relationship becomes nonlinear when the wells move toward saturation. The insulator (SiO2) layer above the epitaxial Si layer together with a positive

56

Chapter 3 Nanodevices for biomedical applications

Transfer Gates

Reset Gate Drain Gate N-type Si Insulators Drain

Expitaxy Substrate(Si)

Photo generated electrons Well P-type Si

(A)

(B)

FIGURE 3.3 (A) CCD pixel structure. (B) CCD working.

(+)V Photo generated electrons

0V

0V

(+)V

(+)V

0V

Photo generated electrons

0V

(+)V

0V

Photo generated electrons

FIGURE 3.4 Movement of photogenerated electrons under bias at a gate terminal.

voltage applied over the well ensures that the electrons are trapped. Fig. 3.3B shows how CCD works. Wells are the physical pockets created to trap the photogenerated electrons by applying a negative potential to the gate surrounding this region. The reset gate works as an electronic shutter. When the voltage applied to reset gate terminal is made positive, the barrier is lowered and the electrons start to move toward a drain, so the shutter is “closed” and no light falls on the photodiode (even if the light is incident). When the voltage on the reset gate is zero or negative, the barrier is raised and electrons start to accumulate, so the shutter is “open.” These trapped charges are shifted to the adjacent well by applying a positive potential to it, as shown in Fig. 3.4. The voltage applied to the gate keeps the photogenerated electrons trapped. As shown in Fig. 3.4A, the gate terminal with positive potential applied holds the electrons, which spreads toward the right by applying positive potential on the second gate terminal, as shown in Fig. 3.4B. Now removing the potential from the first gate terminal will hold the charge under the second gate. Similarly, when a positive potential is applied to the gate terminal on the rightmost end, the electrons further shift to the right side, as in Fig. 3.4C. In this way, the collected electrons are shifted along each row and column. The CCD array is generally read along the rows by shifting the charge vertically downward to horizontal shift register [25], as shown in Fig. 3.5. In the end, this trapped charge is converted to an analog signal that passes through external circuitry comprising an amplifier and ADC to generate the digital value for each pixel.

6. Nanodevices for image sensors

57

Pixels(CCD) Transfer Gate 110001010100...

Vertical shift register

ADC

Amplifier Horizontal shift register

FIGURE 3.5 Reading a CCD image sensor array.

The main issue with CCD is the spread of charges to adjacent pixels after the saturation of CCD wells, which results in white streaks, generally vertical, which wash out the details and corrupt the image. This generally happens when CCD is illuminated with a high-intensity light. To address this issue, vertical and lateral overflow drains are constructed during fabrication, which are connected through the reverse biased gate to drain the excess charge to ground. CCD remains in demand for imaging applications with extremely high sensitivity and long exposure durations. Thus, their sensitivity is required to improve over a broader range of wavelength, and continuous research is made for materials used and additional technologies to use CCDs in near-infrared applications for wavelength up to 1100 nm [39].

6.2.1 CCD peripheral circuitry The accumulated charge in the photodiode is read as the current through the column output line, and then an on-chip or off-chip amplifier converts it to a voltage, as shown in Fig. 3.6A. These amplifiers can be placed off-chip as well as on-chip. The on-chip amplifier, integrated into the column line, reads

VG

Column select line

Column output line

Amplifier (on-chip) Output signal

FIGURE 3.6 CCD peripheral circuitry.

Row select line Vertical Scanner (Row)

MCsel

Column output line

Vdd MRsel MCsel

Horizontal Scanner (column)

58

Chapter 3 Nanodevices for biomedical applications

the signal charge completely. This configuration has a limitation in sensing small signals due to bulky capacitance at the column output line. Since amplification is not performed at the pixel, the pixel is said to be passive [27] (https://www.exclusivearchitecture.com/?page_id¼749). Also, a row select line is used for each pixel, as shown in Fig. 3.6B. The amplifier results in improved SNR, but at the cost of increased power consumption.

6.3 CMOS image sensors (CIS) On the other hand, CIS are photo sensors arranged into an array so voltage is generated in proportion to the amount of light falling on the sensor. This voltage is sampled and quantized to get the digital value at the pixel. At each pixel, the photo sensor is combined with low-power amplifier circuitry to reset or activate the pixel to obtain the digital value of the voltage and transfer the value to output. This extra circuitry at each pixel works at low power to provide faster readouts but reduces the area utilized for capturing light. The fill factor for CIS is quite low due to extra circuitry at the pixel itself, which uses at least three transistors, depending on the functionalities at each pixel. The amplifiers at pixels may vary from each other causing inconsistencies in pixel value measurement, however, with fixed patterns. These inconsistencies in circuitry at each pixel are the reasons for low sensitivity and high noise with lower vulnerability to static electricity discharges and blooming. This makes them suitable for cameras with a high dynamic range. The microlens is not used with CIS due to limitations of the CMOS fabrication process, which reduces the efficiency with which photons are collected by the photodetector. This low efficiency and noise sensitivity lower the quality of the image captured by CIS, compared to that captured with CCD. The arrangement of CIS is shown in Fig. 3.7. CIS reads out the value of pixels line by line, so a wave sweeps down the senor array. This method of readout is termed rolling shutter mode [white paper]. The state-of-the-art commercially available CIS use pixels as small as 1 mm [28]. Smartphone cameras use CIS that has phase detection autofocus

FIGURE 3.7 Arrangements of CIS.

6. Nanodevices for image sensors

59

Vdd

V Mr

M reset M sf

VMs

M select To column output

FIGURE 3.8 CIS peripheral circuitry with three transistors.

ability, and some can extract special information from the scene by using on-chip polarization and multiband filters [29].

6.3.1 CIS peripheral circuitry CIS is also called active pixel sensor [https://www.exclusivearchitecture.com/?page_id¼749] because the signal is amplified within each pixel. Each pixel has three transistors with a separate photosensitive region, represented as a photodiode in Fig. 3.8, to generate photoelectrons. Initially, the photodetector is reset by turning the MOS Mreset ON. This puts the negative terminal of the photodetector to potential Vdd e VT where VT is the threshold voltage of the MOS Mreset. Now, Mreset is turned OFF, and photogenerated electrons start to accumulate in the photosensitive region, resulting in reducing the potential at the negative terminal of photodetector depending on the amount of incident light. The charge accumulates for a fixed duration, and then the select line MOS Mselect is turned ON to read the voltage of photodetector to the column output line. The MOS Mselect is turned OFF and Mreset has turned ON again, once the data is read by the output line. The data can be read multiple times as the accumulated charge does not decay. This arrangement offers higher SNR compared to CCD image sensors. The transistor Msf works as a source follower whose gate voltage follows the fall in potential at the photodetector. An improved circuit with four transistors [https://www.exclusivearchitecture.com/?page_id¼749] is shown in Fig. 3.9, where generated photoelectrons are accumulated in a photosensitive region, and equivalent voltage is read in the floating diffusion capacitor. This makes well capacity independent of the change in potential at the negative terminal of photodetector due to accumulated charge. Initially, the photon-generated electrons are accumulated in the photosensitive region. Then the MOS Mreset is turned on to transfer the charge signal to the FD capacitor, which is read to the output line through selection MOS Mselect.

6.3.2 Microlens and illumination To improve the amount of photon collection, the CCD image sensors and CIS use a microlens to focus the light to fall on the photodiode, as shown in Fig. 3.10. The direct incident light on the assembly gets

60

Chapter 3 Nanodevices for biomedical applications

Vdd

V Mr

M reset

VMsh M sf

Msh

VMs

M select To column output

FIGURE 3.9 CIS peripheral circuitry with four transistors.

FIGURE 3.10 Use of microlens for focusing photons.

reflected by circuitry, wiring, or terminals. A microlens helps to focus the light beam toward a photodiode, avoiding reflection or absorption by other material in the way. These lenses are generally made of plastic material that is realized using either of the methods [30]: thermal reflow, embossing, microdroplet jetting, precision machining, or MEMS method with photolithography. The use of microlenses shows an improvement in the quantum efficiency of the sensor by around 20% [40]. The higher the quantum efficiency is, the better is the sensitivity of the sensor to light. The microlens may also add filters of different colors to capture color images. Optimization of the microlens and the associated manufacturing process are growing research areas for image sensors.

6. Nanodevices for image sensors

61

With the increased demand for high-resolution devices, the pixel size is reducing. With the sensor size kept the same, the area acquired by the additional circuitry results in reduced fill factor. Thus, for improving the sensitivity, additional optical arrangements are made at every pixel. The two main technologies used with CIS are front-side illumination (FSI) and backside illumination (BSI). Front-side illumination: The reflection, absorption, and scattering of light due to circuitry on the front face of the sensor reduce the amount of light reaching the photosensitive material and hence its quantum efficiency. This loss in quantum efficiency is eliminated by using optical guides with the microlens to channel maximum received light to the photosensitive area, as shown in Fig. 3.11A. Backside illumination: The sensors illuminated from the backside overturn the pixel design, as shown in Fig. 3.11B. This design exhibits higher quantum efficiency since light has no obstacle to reach photosensitive material as the circuitry is to the other side. Most of the light received is converted to electrons with a high fill factor, nearly 100%. BSI CCDs are constructed by chemical etching of a silicon wafer of thickness between 13 and 40 mm. Since the light reaches Si without passing through polysilicon gates, this configuration is said to be backside illuminated. BSI is suitable for sensors to capture high-quality images, even under low illumination conditions, with better sensitivity, because with fewer obstacles are in the path and most of the light can reach the photosensitive material, resulting in larger signals compared to FSI. BSI CSI finds various applications in the near-infrared range of wavelengths, where Si substrate thickness is enough to exhibit semitransparency.

6.3.2.1 Photodiode material The photodiode responds to specific wavelengths based on the material used. Their sensitivity is controlled using controlled and selective dopants. Si is the most suitable material to work with visible light in the range of 400e1000 nm. For infrared light, germanium (Ge), indium gallium arsenide (InGaAs), and indium gallium arsenide phosphide (InGaAsP) are more suitable in the wavelength range of 900e1600 nm, 900e1700 nm, and 1000e1400 nm, respectively [https://www. exclusivearchitecture.com/?page_id¼749].

Micro lenses

Micro lenses

Optical guides Metal wiring and circuitry

Photodetector

Photodetector

Metal wiring and circuitry

(A) FIGURE 3.11 (A) Front-side illumination. (B) Backside illumination.

(B)

62

Chapter 3 Nanodevices for biomedical applications

6.4 Scientific CMOS Scientific CMOS (sCMOS) is the next-generation CIS with abilities of higher sensitivity and quantum efficiency, low noise, wide dynamic range, and faster readout speeds. These were introduced in the year 2008 with higher sensitivity and low noise. sCMOS offers higher SNR even with low-light imaging. sCMOS offers deep wells despite the small size of the pixels. Each pixel uses five transistors to enable the global shutter mode of operation and microlens to focus light onto the photosensitive material. sCMOS implements a readout scheme that splits the top and bottom halves of the sensor and reads independently with dual column level amplifiers and ADC. The readings from both halves are combined to reconstruct the final output to obtain a wide dynamic range for a small pixel pitch. The schematic of sCMOS is shown in Fig. 3.12. sCMOS can work in rolling shutter mode as well as global shutter mode or snapshot exposure mode where all pixels of the array read the value at the pixel simultaneously. Global shutter mode reduces the frame rate to half of that for rolling shutter mode.

6.5 Nanophotonic image sensors With increasing resolution and hence miniaturization of the physical sensors, nanophotonic has become crucial in controlling the light spectrally as well as spatially. The reduced pixel size results in color crosstalk due to the commonly used color filter over each pixel. Crosstalk is eliminated if the color is specified not based on the material itself but based on nanophotonic effects, that is, the interaction between light and varying nanostructures. This provides additional stability and tunability to the sensors. Selective transmission or reflection of light for a dedicated spectrum band is possible with nanophotonic effects. These nanophotonic image sensors are based on refractive index sensing, that is, when an analyte comes in proximity to the sensor, change in its refractive index is reflected as the output signal. Large changes in refractive indices are measured with nanostructures having road resonance linewidths, whereas narrow-band resonant structures like gratings are suitable for measurement of small changes in refractive index [31]. Different materials such as metals, semiconductors, dielectrics, or polymers are used for these sensors with different device configurations such as a nanostructure array, gratings, cavities, or waveguides [31]. The output signal is read as either a shift in the resonance wavelength or a change in the transmission level for waveguides. The major direction of Dual Single slope 11 bit ADC

Column bit line

Calibration Signal

FIGURE 3.12 sCMOS schematic.

Low gain

Analog Memory

+ -

Digital Memory

11 bit output

High gain

Analog Memory

+ -

Digital Memory

11 bit output

Ramp Signal

7. Applications of image sensors in biomedicine

63

research for the nanophotonic image sensors is toward reducing the size of peripheral circuitry required for the readout of the output signal [32]. Some typical nanophotonic color filters [28,29] are as follows: Metallic nanohole array: A periodic and subwavelength nanohole array works as a selective color filter when it is illuminated with light. The only selective wavelength that can be transmitted through these holes is based on the extraordinary transmission (EOT) phenomenon. This EOT wavelength is tuned by regulating the structural dimensions, for example, the material parameters and period. Metal-dielectric-metal grating: The nanostructures or gratings have patterned metallic layers for working as color filters. The second layer of metal can have either complementary or the same nanostructure. It can be a continuous layer too. The structure of each metallic layer and the distance between them results in a wavelength-specific spectral response. These color filters are more easily tunable compared to metallic nanohole array filter. Planar cavity: These color filters are simply a stack of a metal layer over a lossy semiconductor layer followed by another metal layer to form a metal-dielectric-metal structure. This stack is fabricated without any step of lithography. The color is filtered based on absorption due to coupling. The filter can be reflective if one of the thick metal layers works as a reflector, but if the metal layer is semitransparent, the filter is transmissive. The filtered color wavelength is set by changing the refractive index and thickness of the intermediate semiconductor layer. Guided mode resonance: These color filters consist of diffraction gratings and a waveguide layer. The wavelength satisfying the matching condition between the diffracted light and waveguide mode is filtered. Sometimes, gratings with a high refractive index act as a waveguide layer simultaneously. Dielectric gratings are used for reflective filters and transmissive filters use metallic gratings. Multiple spectral components can be filtered by using multimode waveguides, a multiperiod grating, or by changing the incidence angle to adjust the matching condition for a specific wavelength. Scattering color filters: These filters exploit the nanoparticle property to absorb and scatter light depending on the wavelength. Scattering is dominant for particles with a size larger than 100 nm and can be through diffractive coupling between particles. These nanophotonic color filters are integrated with image sensors through either of the methods, such as physical attachment, post-CMOS process, or back-end-of-line CMOS process. The physical attachment process directly puts the filter array on the sensor assembly. The post-CMOS process fabricates the filter after CMOS fabrication on the chip itself [32]. Integration of 1D grating structures, made of silicon nitride, with a CIS eliminate the need of bulky peripheral circuitry and obtain a direct electrical read out for changes in refractive index on the application of different analytes to the grating. The grating material is chosen as silicon nitride, so the complete sensor is integrated monolithically for mass production.

7. Applications of image sensors in biomedicine The image sensors find extensive use in biomedical applications where images are captured and analyzed for diagnostic and therapeutic purposes. Biomedical devices with image sensors are

64

Chapter 3 Nanodevices for biomedical applications

classified based on their configuration [33] as conventional, hermetic, and implantable. An example of a conventional configuration is an optical microscope that uses an image sensor attached to the microscope optics. Ingestible pills for endoscopy, discussed later in this section, is an example of a hermetic configuration where the image sensor is enclosed in a pill. The third configuration requires the image sensors to be in direct contact with biomaterial or living tissue, for example, retinal prosthesis. Some of the applications of image sensors in the biomedical field are presented in this section.

7.1 CCD for spectrometry Spectrometry is an analytical technique to measure the interactions between light and matter. It is also used for spectrum study and measurement of the radiation intensity and wavelength. Spectroscopic methods like magnetic resonance imaging and Fourier transform infrared imaging are used in the field of medicine for the diagnosis of different diseases. Back-illuminated CCDs are most preferred for spectroscopy due to excellent sensitivity, low noise, and the highest quantum efficiency offered by them compared to their counterparts [38,39]. Spectrometric CCDs are used in heart rate (HR) sensors. To achieve high quantum efficiency in the near-infrared range, high-resistivity silicon is used for deep-depletion HR sensors. Quantum efficiency is improved by two to four times at 1000 nm by creating a four times thicker depletion region in silicon. For high spatial resolution, these sensors are operated with wells fully depleted of electrons by applying a bias voltage at gate terminals. Cameras used for HR sensors can operate at a rate of 16 MHz with dual ports. Si-based CCDs have operating limitations above the wavelength of 1100 nm approximately, so the best choice for this range is indium gallium arsenide (InGaAs). The InGaAs-based CCDs can operate in the wavelength range of 850e1700 nm. However, they have a fundamentally higher dark current than silicon.

7.2 sCMOS for optical microscopy in biomedicine The fluorescence microscope is used in the study of the properties of inorganic and organic substances. These microscopes are fitted with sCMOS cameras. These cameras offer different advantages over the older CCD and CIS, but sCMOS is known to produce more noise compared to CCD and CMOS due to parallel charge voltage conversion.

7.3 Pill camera for ingestible wireless capsule endoscopy Wireless capsule endoscopy uses an ingestible pill for inspecting the gastrointestinal tract for diseases, like tumors, cancer, Crohn disease, etc. The major limitations of using these pills are (i) inability to repeat the view of critical areas, (ii) poor image resolution and transmission, and (iii) pill movement control. The pill consists of an image sensor with light emitting diode and a lens for capturing images while the pill moves in the gastrointestinal tract. An application-specific transceiver as an integrated circuit with antenna is also encapsulated for image transmission with some batteries to provide power. With technologic advancements, antenna and radio chips are codesigned using micro/nano CMOS technology [34,35]. The next-generation miniaturized capsules aim to reduce power, increase the transmission rate of images, and compress image data for increased frame rate.

References

65

7.4 Implantable image sensor: retinal prosthetic The retinal prosthetic [33] is used for artificial vision when the photoreceptor cells of the eye become dysfunctional. These cells are stimulated with retinal prosthetics by sending a patterned electrical signal to produce something like an image to be sensed by the blind person. These prosthetics may use an external CMOS camera on a spectacle, or an image sensor integrated with a stimulus electrode to work as a photoreceptor cell. To resolve the issue of implanting around 1000 electrodes, CMOS-based microchips are used on a flexible substrate.

8. Conclusion In this chapter, we have discussed the various applications of nanodevices that are now in use in everyday life. Apart from these applications, the concept of SMART IMPLANT also started for energy-efficient implantable systems in biomedical sensory applications. It allows the measuring of the oxygen concentration, temperature, pH value, and other parameters like impedance of the tissues and liquids inside the body. The smart system consists of a read out integrated chip (ROIC) responsible for controlling the electrodes that are directly connected to the tissue. ROIC receives instructions from the microprocessor and sends it to the power and the data management chips. These chips further regulate the power supply of the implant and transmission of the data. The wireless power transfer is used in this system. Researchers are working on various other devices with the help of various nanodevices to help society with chronic diseases.

References [1] K. Lundager, B. Z, M. T, J.K. M, a.F. M, Low power design for future wearable and implantable devices, Journal of Low Power Electronics and Applications 6, no. 4 (2016): 20, J. Low Power Electron. Appl. 6 (4) (2016) 20. [2] K. Iniewski, CMOS Biomicrosystems: Where Electronics Meet Biology, s.l, John Wiley & Sons, 2011. [3] R. Saleh, S. Wilton, S. Mirabbasi, A. Hu, M. Greenstreet, G. Lemieux, P.P. Pande, C. Grecu, A. Ivanov, System-on-chip: reuse and integration, Proc. IEEE 94 (6) (2006) 1050e1069. [4] H.J. Yoo, C. van Hoof, eds. Bio-Medical CMOS ICs, Springer Science & Business Media, 2010, pp. 24e34. [5] C. RA, Quality-of-life technology, IEEE Eng. Med. Biol. Mag. 27 (2) (2008) 10e11. [6] B. M-Glindzicz, Hereditary deafness and phenotyping in humans, Br. Med. Bull. 63 (1) (2002) 73e94. [7] M.A. K.a.P.A. Tekin, Advances in hereditary deafness, Lancet 358 (9287) (2001) 1082e1090. [8] K.A.D. Wise, Wireless implantable microsystems: high-density electronic interfaces to the nervous system, Proc. IEEE 92 (1) (2004) 76e97. [9] E. Romero, Powering Biomedical Devices, s.l, Academic Press, 2013. [10] Y. Hao, R. a. F, Wireless body sensor networks for health-monitoring applications, Physiol. Meas. 29 (11) (2008) R27, 29(11), p. p.R27. [11] C.a.S.P. Schmidt, The future of lithium and lithium-ion batteries in implantable medical devices, J. Power Sources 97 (2001) 742e746. [12] D. Katz, T. a. A, The world’s longest-lasting VVI pacemaker, Ann. Noninvasive Electrocardiol. 12 (3) (2007) 223e226. [13] V.I. V.a.R.N. Mallela, Trends in cardiac pacemaker batteries, Indian Pac. Electrophysiology Journal 4 (4) (2004) 201.

66

Chapter 3 Nanodevices for biomedical applications

[14] J.L. W.a.H.M. Weiland, Retinal prosthesis, Annu. Rev. Biomed. Eng. 7 (2005) 361e401. [15] B. G, Recent advances in neural recording microsystems, Sensors 11 (2011) 4572e4597. [16] L. Cheng, W.-H. K, Y.-T. W, T.-S. Y, C.-Y. T, A 6.78-MHz single-stage wireless power receiver using a 3mode reconfigurable resonant regulating rectifier, IEEE J. Solid State Circ. 52 (5) (2016) 1412e1423. [17] M.L. B.Y. P.a.G.M. Kiani, A Q-modulation technique for efficient inductive power transmission, IEEE J. Solid-State Circuit. 50 (12) (2015) 2839e2848. [18] Y.a.K.W. Lu, CMOS Integrated Circuit Design for Wireless Power Transfer, s.l, Springer, 2018. [19] K.a.M.K. Souri, Energy-Efficient Smart Temperature Sensors in CMOS Technology, s.l, Springer, 2017. [20] K.A. T.a.A.Y. Ueno, Low-power temperature-to-frequency converter consisting of subthreshold CMOS circuits for integrated smart temperature sensors, Sensor Actuator Phys. 165 (1) (2011) 132e137. [21] A. Annema, Low-power bandgap references featuring DTMOSTs, IEEE J. Solid State Circ. 34 (7) (1999) 949e955. [22] M.H. A.a.S.A. Terauchi, Selectable logarithmic/linear response active pixel sensor cell with reduced fixedpattern-noise based on dynamic threshold MOS operation, Jpn. J. Appl. Phys. 44 (4S) (2005) 2347. [23] M. Terauchi, Temperature dependence of the subthreshold characteristics of dynamic threshold, Jpn. J. Appl. Phys. 46 (7) (2007) 4102e4104, pp, 46(7). [24] T. York, R. Jain, Fundamentals of image sensor performance, in: Fundamentals of Image Sensor Performance, 2011, p. 8, http://www1.cse.westl.edu/e%20jain/cse567-11/ftp/imgsens/index.%20html, Last modified Apr, 24. [25] M. Lesser, Charge-coupled device (CCD) image sensors, High-Perform. Silicon Imag. (2014) 78e97. [26] C.C. Wang, et al., Nanotechnology development for CMOS image sensor applications, in: Tainan, Taiwan, IEEE Nanotechnology Materials and Devices Conference (IEEE NMDC 2013), 2013. [27] M. Bigas, E. Cabruja, J. Forest, J. Salvi, Review of CMOS image sensors, Microelectron. J. 37 (2006) 433e451. [28] Q. Chen, et al., Nanophotonic image sensors, Small 12 (36) (2016) 4922e4935. September. [29] S. Yokogawa, Nanophotonics Contributions to State-Of-The-Art CMOS Image Sensors, IEEE, San Francisco, CA, USA, 2019, pp. 16.1.1e16.1.14. [30] W. Yuan, L.-H. Li, W.-B. Lee, C.-Y. Chan, Fabrication of microlens array and its application: a review, Chin. J. Mech. Eng. 31 (16) (2018) 1e9. [31] A. Shakoor, J. Grnt, M. Grande, D.R.S. Cumming, Towards portable nanophotonic sensors, Sensors 19 (7) (2019) 1e26. [32] A. Shakoor, et al., CMOS nanophotonic sensor with integrated readout system, IEEE Sensor. J. 18 (22) (2018) 9188e9194. [33] J. Ohta, et al., CMOS imaging devices for biomedical applications, IEICE Trans. Commun. E94-B (9) (2011) 2454e2460. [34] M.R. Basar, et al., Ingestible wireless capsule technology: a review of development and future indication, Int. J. Antenn. Propag. (2012) 1e14. [35] A. Khadse, S.O. Dahad, Pill camera, Int. J. Advan. Res. Comp. Communicat. Eng. 5 (4) (2016) 329e338.

Websites [36] Allied Vision, CCD or CMOS: Can CMOS Sensors Replace CCDs in All Cases? Allied Vision, Stradtroda, Germany, 2016. [37] Anonymous, Imaging Electronics 101: Understanding Camera Sensors for Machine Vision Applications, Edmund Optics, 2011, https://www.edmundoptics.com/knowledge-center/application-notes/imaging/ understanding-camera-sensors-fsor-machine-vision applications/#:w:text¼Imaging%20Electronics%20101% 3A%20Understanding%20Camera%20Sensors%20for%20Machine%20Vision%20Applications,Construction %20%7C%20Features%20%7C%20Spectral&text¼Still%2C%20two%20cameras%20with%20the,and% 20Plumbicons%20as%20image%20sensors.

References

67

[38] M. Case, Spectroscopy: back-illuminated CCDs enable advanced spectroscopy instrumentation, Laser Focus World (2018), 18 January, https://www.laserfocusworld.com/test-easurement/spectroscopy/article/ 16555243/spectroscopy-backilluminated-ccds-enable-advanced-spectroscopy-instrumentation. [39] M. Freebody, CCD sensors remain competitive with broadening appeal, Photon. Spectra. (2015). July, https://www.photonics.com/Articles/CCD_Sensors_Remain_Competitive_with_Broadening/a57535. [40] G. Holst, A comparison between back and front illuminated sensors, Scientist Live (2019), 7 June, https:// www.scientistlive.com/content/comparision-between-back-and-front-illuminated-sensors.

CHAPTER

Analytical model and sensitivity analysis of a gate-engineered dielectric modulated junctionless nanowire transistor-based biosensor

4

Avik Chakraborty1, Arghyadeep Sarkar2, Angsuman Sarkar3 1

ECE Department, Jalpaiguri Government Engineering College, Jalpaiguri, West Bengal, India; 2Department of Electrical and Computer Engineering at McMaster University, Canada; 3ECE Department, Kalyani Government Engineering College, Kalyani, West Bengal, India

1. Introduction In the last couple of decades, the detection of biospecies has become highly important due to pervasive effects in different aspects of medical science. Quick and rapid detection of biospecies has a large societal impact as it affects directly decease diagnosis, drug detection, food control, drug discovery, and pollution control [1,2]. The primary purpose of a biosensor is to provide an electrical signal by detecting or sensing biospecies like proteins, enzymes, DNA, lipids, and nucleotides [3]. Traditionally, different types of biosensors have been implemented based on chemical reaction [4], optical [5], electrochemical [6], a nano-mechanic device [7], an ion-sensitive electrode [8], piezoelectric [9], etc. However, there were problems associated with them and with the scope of improvements mainly in terms of less sensitivity and label-free detection [10,11]. In this respect, the field effect transistor (FET)-based biosensor has emerged as the most suitable alternative [12]. Moreover, FET-based biosensor has achieved high attention due to its compatibility with low-power complementary metal oxide semiconductor technology (CMOS) and low cost due to utilization of batch fabrication process [13]. Recently, junctionless transistors (JLT) have gained a lot of research interest compared to conventional inversion mode (IM) Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) due to their low thermal budget and ease of fabrication [14]. In a junctionless transistor, there is no extra doping fabrication process step required as the source, drain, and the channel have a homogenous doping [15,16], thus avoiding the requirement of fabrication of a precisely controlled sharp source or drain junction fabrication process steps like annealing and ion implantation requiring elevated temperature [17,18]. According to recent studies, the performance of JLTs is comparable to IM-based MOSFETs in terms of short-channel effects (SCEs) performance [19], leakage current [20], ION/ IOFF ratio, and frequency of operation [21]. In addition, JLTs offer benefits in terms of providing Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00008-3 Copyright © 2021 Elsevier Inc. All rights reserved.

69

70

Chapter 4 Analytical model and sensitivity analysis

immunity against random doping fluctuation and process variability issues, which are a serious concern for highly scaled IM-MOSFETs [22]. On the other hand, multiple gate technology offers higher scalability and better performance due to its better gate controllability [23]. Among different variants of multiple gate MOSFETs, the cylindrical surrounding gate MOSFET offers superior performance due to its highest gate control ability [24e26]. According to a recent study, a cylindrical surrounding gate junctionless transistor offers superior performance in terms of high on-state current, low off-state current, and reduction of SCEs [27]. On the other hand, it is known that gate material engineering offers performance enhancement of nanoscale MOSFET in terms of immunity against SCEs, enhanced current drive, and reduction of hot carrier effects (HCEs) due to its higher carrier transport efficiency and due to its redistribution of electric field profile [28e30]. On the other hand, gate stack architecture with a high-k dielectric improves immunity against HCEs [31], maintains good oxide/channel interface quality, and prevents direct tunneling leakage currents. Recently, Ghosh et al. [32] presented that a high-k gate stack on a dual-metal cylindrical surrounding gate MOSFET has the ability to decrease the minimum surface potential, thus increasing the carrier transport efficiency, resulting in a higher drain current and corresponding higher transconductance [33]. To combine all the advantageous features of aforementioned device engineering techniques, in this chapter, a gateengineered dual-metal cylindrical surrounding gate nanowire junctionless transistor has been chosen as the device under consideration for detection of biomolecules. In a dielectric modulated biosensor, a nanogap cavity is formed by etching the high-k dielectric sacrificial material [34]. The nanogap cavity is located between the gate metal layer and thin gate oxide region [35]. The nanogap cavity is responsible for capturing the different types of biomolecule species [36]. In the absence of biomolecules, there is nothing but air present in the cavity, having a relative permittivity equal to 1 (k ¼ 1) [37]. The presence of biomolecules (k > 1) in the nanogap cavity impacts the device electron concentration, energy band profile, drain current, and thus the threshold voltage of the device. In a threshold voltage shift-based biosensor, the change in threshold voltage has been considered a sensitivity parameter to detect the presence of the biomolecules, so it is called a dielectric modulated biosensor [38,39]. In an alternative manner, ION/IOFF ratio has been also considered a sensing parameter by other researchers [40]. It is known that the use of gate engineering is an innovative technique to push CMOS scaling to the end of the roadmap [41e43]. Therefore, it is crucial to study the effect of gate engineering on the electrical performance of the biosensor. Recently, there were reported studies on a gate-engineered JLT-based biosensor [44,45]. Maji et al. reported an analytical model of a dual-material split gate JLT-based biosensor [46] without any modeling of the current and having less sensitivity. Therefore, it indicates that a suitable analytical model for a gateengineered JLT-based biosensor with model of ION/IOFF ratio and a higher sensitivity has not been reported yet. Therefore, for the first time, in this paper, an analytical model of gate-engineered Nano Wire (NW) JLT-based biosensor has been presented to exhibit the superiority in terms of sensitivity and optimal design of a JLT-based biosensor.

2. Device structure Figs. 4.1 and 4.2 show the three-dimensional and two-dimensional device structure of a gateengineered dual-material junctionless nanowire-based biosensor respectively. Table 4.1 shows the typical values of the device structural parameters used in this study.

2. Device structure

71

FIGURE 4.1 Three-dimensional view of gate-engineered dual-material gate dielectric modulated junctionless nanowire transistor-based biosensor with nanogap cavity located at the drain side.

FIGURE 4.2 Two-dimensional cross-sectional view of dual-material gate-engineered junctionless nanowire biosensor with nanogap cavity located at the source side.

72

Chapter 4 Analytical model and sensitivity analysis

Table 4.1 List of device structural parameter used. Gate length (Lg) Source and drain length Gate overlap Gate underlap Nanowire diameter or thickness of silicon channel (tSi) Thickness of SiO2 layer (tOX1) Thickness of high-k layer (tOX2) Relative permittivity of the high-k insulator layer Thickness of nanogap cavity (tOX2etOX1) Length of nanogap cavity (Lgap) Doping concentration in Si channel Work function of metal 1 (FM1) Work function of metal 1 (FM2) Relative permittivity of biomolecule εr

50 nm 10 nm 0 nm 0 nm 20 nm 1 nm 10 nm 22 (HfO2) 9 nm Varies from 0.1Lg to 0.4Lg 31018 cm3 per [15] 5.5 eV for source side nanogap/4.5eV for drain side nanogap 4.5 eV for source side nanogap/5.5eV for drain side nanogap 2e11

3. Development of analytical model The potential distribution j(r,z) before the onset of the strong inversion of a channel with uniform impurity density and with the assumption that the charge carriers and fixed oxide trapped charges do not influence the channel electrostatics can be expressed by two-dimensional Poisson’s equation:   1 v v v2 J qNd (4.1) r Jðr; zÞ þ 2 ¼ r vr vr vz εSi Where Nd denotes the uniform doping concentration in the channel, and εSi denotes the relative permittivity of silicon, q denotes the charge of an electron, r denotes the variable in radial direction, and z denotes the variable in axial direction along the channel, as shown in Fig. 4.1. The analytical model has been developed for the biosensor considering a drain side nanogap cavity, as shown in Fig. 4.1. The model is also applicable for the biosensor with a source side cavity, as shown in Fig. 4.2, by some simple changes in the consideration of regions. To develop the analytical model, the channel region of the nanowire surrounding gate junctionless transistor is divided into three regions as follows: Region 1: 0  r  tSi ; 0  z  L1

(4.2)

Region 2: 0  r  tSi ; L1  z  L1 þ L2

(4.3)

Region 3: 0  r  tSi ; L1 þ L2  z  L1 þ L2 þ L3

(4.4)

Where tSi denotes the thickness of the silicon channel, and L1, L2, and L3 denote the length of the three different regions from the source end, respectively, as shown in Figs. 4.1 and 4.2.

3. Development of analytical model

73

To develop the model, let us approximate the potential distribution j(r,z) per parabolic potential approach given by Young et al. [47], given by Jðr; zÞ ¼ C1 ðzÞ þ C2 ðzÞr þ C3 ðzÞr 2

(4.5)

The coefficients C1(z), C2(z), and C3(z) can be determined using different boundary conditions as expressed subsequently.

3.1 Boundary condition 1 The surface potential denoted by Js(z) at the Si/SiO2interface (r ¼ R) is a function of z only; similarly, the channel center potential Jc(z) at the channel center (r ¼ 0) is a function of z only. JS ðzÞ ¼ JðR; zÞjr ¼ R

(4.6)

JC ðzÞ ¼ JðR; zÞjr ¼ 0

(4.7)

 vJðr; zÞ ¼0 vr r ¼ 0

(4.8)

3.2 Boundary condition 2 This is the electric field at center.

3.3 Boundary condition 3 Applying Gauss’s law to the channel [48], it is approximated that the electric field at the surface is continuous.  vJðr; zÞ COX ¼ ½VGS  VFB  JS ðzÞ (4.9) vr r ¼ R εSi Where Cox stands for gate oxide capacitance per unit area COX ¼ εOX =ðRlnð1 þ tOX = RÞÞ

(4.10)

Where tox is the annular ring-shaped gate oxide having outer radius and inner radius equal to tox þ R and tox, respectively, and εSi denotes the relative permittivity of the silicon channel. VGS denotes the applied gate bias, and VFB stands for flat band voltage for compensating the metal to semiconductor work function difference. Solving for the coefficients C1(z), C2(z), and C3(z) using boundary conditions Eqs. (4.6)e(4.9) results in   Cox R Cox R ½VGS  VFB  (4.11) C1 ðzÞ ¼ JS ðzÞ 1 þ  2εSi 2εSi C2 ðzÞ ¼ 0 C3 ðzÞ ¼

Cox ½VGS  JS ðzÞ  VFB  2RεSi

(4.12) (4.13)

74

Chapter 4 Analytical model and sensitivity analysis

On the other hand, substituting Eqs. (4.6)e(4.9) in Eq. (4.5), we obtain Jðr; zÞ ¼ JC ðzÞ þ

COX ðVGS  VFB  JS Þ 2 r εSi tSi

(4.14)

Substituting r ¼ tSi/2 in Eq. (4.14), in subthreshold regime, it is possible to obtain a relationship between channel center potential in terms of surface potential given by   Cox R Cox R ½VGS  VFB  (4.15) JC ðzÞ ¼ JS ðzÞ 1 þ  2εSi 2εSi In an alternative manner, expression of surface potential is given by JS ðzÞ ¼

JC ðzÞ4εSi COX tSi ðVGS  VFB Þ þ 4εSi þ COX tSi 4εSi þ COX tSi

(4.16)

Now, by substituting Eq. (4.16) in Eq. (4.1) and solving for the surface potential, we obtain v2 JS ðzÞ 1  2 ðJS ðzÞ  4S Þ ¼ 0 vz2 g where g¼

rffiffiffiffiffiffiffiffiffiffiffiffi εSi tSi 4COX

(4.17)

(4.18)

and 4S ¼ VGS  VFB 

qND tSi 4COX

(4.19)

Now, to solve explicitly for channel center potential jc(z) by substituting Eq. (4.16) in Eq. (4.17) and to remove surface potential, we obtain

Where

and

v2 JC ðzÞ 1  2 ðJC ðzÞ  4C Þ ¼ 0 vz2 l

(4.20)

  qN tSi qN tSi 2 4C ¼ V0GS þ D þ D 4COX 16εSi

(4.21)

sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi 4εSi tSi þ COX tSi 2 l¼ 16COX

(4.22)

The channel center potential that is a solution of second-order partial differential equation of Eq. (4.20) is of the form JC ðzÞ ¼ ael þ bel þ 4C z

z

(4.23)

where the coefficients “a” and “b” can be found using terminal potentials of the channel or channel segment under consideration.

3. Development of analytical model

75

The channel region has been divided into three regions for the purpose of development of the analytical model of the gate-engineered biosensor, as shown in Figs. 4.1 and 4.2.

3.4 Region 1 In this region near the source side, metal to semiconductor workfunction is FM1 (eV), and Eq. (4.23) can be expressed as z

JC1 ðzÞ ¼ a1 el1 þ b1 e where

lz

1

 4C1

0  r  tSi ; 0  z  L1

for

(4.24)

  qNd tSi qNd tSi 2 FC1 ¼ VGS  VFB1 þ þ 4COX1 16εSi

(4.25)

VFB1 ¼ FM1  FSi

(4.26)

where FSi denotes the work function of silicon. In a conventional gate-engineered device structure like triple-material [49] or dual-material gate-engineered devices [50], the FM1 has the highest work function. In this study, we are considering a dual-metal gate-engineered structure where two different metal gates having different work functions are amalgamated together to form the gate electrode of the biosensor device. The effective oxide capacitance in this region is given by ε  SiO2  COX1 ¼ (4.27) toxeff R ln 1 þ R Where the effective oxide layer thickness tOXeff comprising a stack of SiO2 and HfO2 layer is given by   εSiO2 tOXeff ¼ tOX1 þ tOX2 (4.28) εHfO2 Where εHfO2 denotes the relative permittivity of HfO2, tOX1 is the thickness of the SiO2 layer and (tOX2 e tOX1) is the thickness of the high-k HfO2 material. To find the values of the coefficients a1 and b1, terminal values of the channel segment/region are used. The potential at z ¼ 0 is the source side potential V1]VS¼0 and the potential at z ¼ L1 is V2, which is an unknown potential. JC1 jðz ¼ 0Þ ¼ V1 ¼ 0

(4.29)

JC1 jðz ¼ L1 Þ ¼ V2

(4.30)

Therefore, using the following two boundary conditions, a1 and b1 are found and expressed in terms of V1 and V2 as given by L

a1 ¼

V1 e

l2 1

 V2 e e

1

þ 4C1 e

L1 L2 l1

L2

b1 ¼

L

l1

e

L

l1 1

L2 L1 l1

L1

L1

L2 L1 l1

L1 L2 l1

e

1

(4.31)

L2

V1 e l1  V2 e l1 þ 4C1 e l1  4C1 e l1 e

L

l2

 4C1 e

(4.32)

76

Chapter 4 Analytical model and sensitivity analysis

3.5 Region 2 In this middle region located between the region close to the source side (Region 1) and the drain side (Region 3), the metal to semiconductor work function is considered to be equal to FM2 (eV) and Eq. (4.23) can be expressed as z

JC2 ðzÞ ¼ a2 el2 þ b2 e

lz

 4C2

2

for

0  r  tSi ; L1  z  L1 þ L2

(4.33)

Where   qN tSi qN tSi 2 FC2 ¼ VGS  VFB1 þ d þ d 4COX2 16εSi

(4.34)

The effective oxide capacitance in this region is the same as Region 1, i.e., Cox2 ¼ Cox1. To find the values of the coefficients a2 and b2, terminal values of the channel segment/region are used. The potential at z ¼ L1 is V2 and the potential at z ¼ L2 is V3 JC2 jðz ¼ L1 Þ ¼ V2

(4.35)

JC2 jðz ¼ L2 Þ ¼ V3

(4.36)

Therefore, using the following two boundary conditions, a2 and b2 are found and expressed in terms of V2 and V3 as given by L

a2 ¼

V2 e

l3 2

 V3 e e

2

þ 4C2 e

L2 L3 l2

L3

b2 ¼

L

l2

e

L

l2 2

 4C2 e

L

l3 2

L3 L2 l2

L2

L2

L3

V2 e l2  V3 e l2 þ 4C2 e l2  4C2 e l2 e

L3 L2 l2

e

(4.37)

(4.38)

L2 L3 l2

3.6 Region 3 In this region, located near the drain side, the metal to semiconductor work function is considered to be equal to FM2 (eV). z

JC3 ðzÞ ¼ a3 el3 þ b3 e

lz

3

þ 4C3

for

0  r  tSi ; L1 þ L2  z  L1 þ L2 þ L3

(4.39)

where 

qN tSi qN tSi 2 FC3 ¼ VGS  VFB2 þ d þ d 4COX3 16εSi



Where VFB2 ¼ FM2  FSi

(4.40)

This is the region where the nanogap cavity is present for immobilization of biomolecules. Therefore, the effective oxide capacitance is a result of the series combination of the thin SiO2 layer

3. Development of analytical model

77

having an oxide thickness equal to tOX1 and the capacitance of the nanogap region having a thickness equal to tOX2 e tOX1 and is given by COX3 ¼

CSiO2 Cgap CSiO2 þ Cgap

(4.41)

where Cox1, the capacitance of the SiO2 layer per unit area is given by ε  SiO2  CSiO2 ¼ tox1 R ln 1 þ R

(4.42)

and Cgap, the capacitance of the nanogap cavity, is given by ε  bio=air  Cgap ¼ tox2  tox1 R ln 1 þ R

(4.43)

The presence of biomolecules in the nanogap cavity is considered to have a material present in the nanogap cavity that has dielectric constant (εbio > 1) corresponding to different biomolecules in the nanogap cavity [35]. The nanogap cavity is considered to be filled up with air (εair ¼ 1) in the absence of biomolecule immobilization [51]. The coefficients a3 and b3 are found in a similar manner using terminal voltages V3 and V4, where V4 is the drain side potential, and V3 is an unknown potential. JC3 jðz ¼ L2 Þ ¼ V3

(4.44)

JC3 jðz ¼ L4 Þ ¼ V4 ¼ VDS

(4.45)

In the same manner, using the following two boundary conditions, a3 and b3 are found and expressed in terms of V3 and V4: L

a3 ¼

V3 e

l4 3

 V4 e e L4

b3 ¼

L

l3 3

þ 4C3 e

L3 L4 l3 L3

e

L

l3 3

L

l4

 4C3 e

3

L4 L3 l3 L3

(4.46)

L4

V3 e l3  V4 e l3 þ 4C3 e l3  4C3 e l3

(4.47) L4 L3 L3 L4 e l3  e l3 To develop a center potential-based potential model, it is necessary to find the values of unknown potentials V2 and V3. Continuity of electric field at the boundary of different regions is used to find the values of two unknown potentials V2 and V3.

3.7 To determine V2 and V3 Considering the continuity of electric field at z ¼ L2 between Region 1 and Region 2,   dJC1 ðzÞ dJC2 ðzÞ ¼ dz z ¼ L1 dz z ¼ L1 a1

1 Ll 1 1  L1 1 L1 1  L1 e 1  b1 e l1 ¼ a2 e l2  b2 e l2 l1 l1 l2 l2

(4.48) (4.49)

78

Chapter 4 Analytical model and sensitivity analysis

Substituting the values of a1, b1, a2, and b2 using Eqs. (4.31), (4.32), (4.37), and (4.38) in Eq. (4.49), we obtain a11 V2 þ a12 V3 ¼ D1

(4.50)

Similarly by considering the continuity of electric field at z ¼ L1 þ L2 between Region 2 and Region 3,   dJC2 ðzÞ dJC3 ðzÞ ¼ (4.51) dz z ¼ L1 þL2 dz z ¼ L1 þL2 1 L1 þL2 1 L1 þL2 1 L1 þL2 1 L1 þL2 a2 e l2  b2 e l2 ¼ a3 e l3  b3 e l3 l2 l2 l3 l3

(4.52)

Substituting the values of a2, b2, a3, and b3 using Eqs. (4.31), (4.32), (4.37), and (4.38) in Eq. (4.52), we obtain a21 V2 þ a22 V3 ¼ D1

(4.53)

Using Crammer’s rule, from Eqs. (4.50) and (4.53), the values of V2 and V3 can be found as    D1 a12    D a  2 22  (4.54) V2 ¼   a11 a12    a  21 a22    a11 D1    a  21 D2  V3 ¼  (4.55)  a11 a12    a a  21

22

The values of the coefficients a11, a12, a21, a22, D1, and D2 are given in Appendix A, provided at the end of the chapter. The lateral component of electric field in general can be obtained by differentiating the expression of channel central potential and is given by dJC ðzÞ 1 lzj z ¼ Ezj ¼  aj e  bj e lj (4.56) dz lj where j stands for region number; j ¼ 1, 2, or 3.

3.8 Analytical modeling of threshold voltage To model threshold voltage, the position of the minima of the channel center potential is found. The minima of the channel center potential will always lie underneath the gate material having highest work function. If FM1> FM2, then the minima of the potential will be located in Region 1. To find the position of the minima, the derivative of channel center potential of Region 1 using Eq. (4.24) is equal to 0:  vJC1 ðzÞ ¼0 (4.57) vz z¼zmin

3. Development of analytical model

We get

  l b1 zmin ¼ ln 2 a1

Minimum channel center potential is obtained by substituting Eq. (4.58) in Eq. (4.24) pffiffiffiffiffiffiffiffiffi JC; min ¼ 2 a1 b1 þ 4C1

79

(4.58)

(4.59)

Substituting the values of a1 and b1 from Eqs. (4.31) and (4.32) in Eq. (4.59) and equating JC,min ¼ 0 and by substituting VGS ¼ VTh and then solving for VTh results in the model of threshold voltage given by qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi u1  u21  4u2 u3 (4.60) VTh ¼ 2u2 Where the values of u1, u2, and u3 are given in Appendix B, provided at the end of the chapter.

3.9 Modeling of subthreshold drain current in the biosensor It is possible to obtain the sheet density of charges in the channel region under subthreshold condition by integrating the spatial density of inversion charge carriers ninv and is given by Z R Z R fðr; zÞ  V dr (4.61) ninv dr ¼ 2ni QS ¼ kT=q R 0 where spatial density of inversion charge carriers is expressed by ninv ¼  qni e

fðr;zÞV kT=q

(4.62)

where K is the Boltzmann constant, T is room temperature equal to 300 K, q is charge of an electron, and V is the quasi-Fermi potential. Assuming volume inversion f(r,z) ¼ fS(z), channel inversion charge per unit gate length is given by Z R f ðzÞV fS ðzÞV S R2 fS ðzÞV e VT rdr ¼ 2pqni e VT ¼ pqni R2 e VT (4.63) QI ¼  2pqni 2 0 The minimum inversion charge per gate length at which the potential reaches the maximum value is given by QI ¼  pqni R2 e

fS;min V VT

In a nanowire surrounding gate transistor, the subthreshold current is given by Z R IDS ðzÞ ¼ 2pRJðr; zÞdr 0

(4.64)

(4.65)

80

Chapter 4 Analytical model and sensitivity analysis

Using the potential solution, i.e., obtaining the drain current in the subthreshold region using the potential where considering diffusion current conduction mechanism only, Jðr; zÞ ¼  qmn nmin ðr; zÞ

dVðzÞ dz

(4.66)

Where nmin ¼ ni e

f0 V S;min VT

(4.67)

Substituting Eqs. (4.66) and (4.67) in Eq. (4.65), we obtain 0

V dVðzÞ fS;min e VT (4.68) dz The preceding expression can be integrated between z ¼ 0 to z ¼ Lg along the channel, and considering V(z ¼ 0) ¼ 0 and V(z ¼ Lg) ¼ VDS, we obtain the expression of subthreshold drain current given by

IDS ðzÞ ¼ pqni mn R2

f

VDS S;min pR2 IDS;Sub ¼ qmn ni e VT VT 1  e VT Lg 0

Where Lg is the channel length, and m is the channel mobility. Subthreshold swing can be expressed as  1  vlog10 IDS;Sub SS ¼ vVGS Moreover, subthreshold swing can also be obtained using JC,min and is given by   djC;min 1 kT SS ¼ lnð10Þ q dVGS

(4.69)

(4.70)

(4.71)

3.10 Modeling of drain current in the linear and saturation region The drain current in the linear region and saturation region can be obtained using the expression of the threshold voltage as given in Eq. (4.60) and three transistor modeling approach [52,53]. The drain current in the linear regime of the device is calculated for each region separately. The drain current in Region 1 IDS,Lin1 is calculated using the drain current of a device with gate length L1 and applied drain bias V1 and is given by Z L1 Z V1 dz¼ mWCOX1 ððVGS  VTh Þ  VCh ÞdVCh (4.72) IDS;Lin1 0

0

  V2 mWCOX1 IDS;Lin1 ¼ ðVGS  VTh ÞV1  1 L1 2

(4.73)

3. Development of analytical model

81

In a similar manner, the drain current in Region 2 IDS,Lin2 is calculated by considering gate length equal to L2 and applied drain bias equal to (V2 e V1) and is given by  2 ! V2  V21 mWCOX2 IDS;Lin2 ¼ ðVGS  VTh ÞðV2  V1 Þ  (4.74) L2 2 Similarly the drain current in Region 3 IDS,Lin3 is calculated by considering gate length equal to L3 and applied drain bias equal to (VDS e V2) and is given by  ! VDS 2  V2 2 mWCOX3 IDS;Lin3 ¼ ðVGS  VTh ÞðVDS  V2 Þ  (4.75) 2 L3 To evaluate V1 and V2, the linear drain currents in all the three regions are equaled to each other, resulting in two equations as given by A11 V21 þA12 V2 þA13 ¼ 0

(4.76)

A21 V22 þA22 V2 þA23 ¼ 0

(4.77)

The quadratic Eqs. (4.76) and (4.77) are solved, and then the values of V1 and V2 are substituted in Eqs. (4.73)e(4.75) to calculate the linear drain current. The values of the coefficients A11, A12, A13, A21, A22, and A23 are given in Appendix C, provided at the end of this chapter. The drain current in the saturation region can be calculated by replacing the drain to source voltage VDS with saturation drain to source voltage VDSSat expressed as VDSSat ¼

ðVGS  VTh Þ mðVGS  VTh Þ 1þ ðL1 þL2 þL3 ÞnSat

(4.78)

Where VSat is the saturation velocity of electrons, and m is the maximum low field mobility and is given by m meff ¼ (4.79) 1  zðVGS  VTh Þ Mobility degradation at high electric field is incorporated using [54]. meff meff@High Electric Field ¼ VDS m 1þd nSat ðL1 þL2 þL3 Þ Where z is a fitting parameter, and its value is close to 0.2, and d is given by     1 VDS m VDS m d¼  1.5þ nSat ðL1 þL2 þL3 Þ nSat ðL1 þL2 þL3 Þ

(4.80)

82

Chapter 4 Analytical model and sensitivity analysis

4. Simulation setup TCAD Silvaco is used for performing the simulation [55] with quantum mechanical effects and ballistic transport omitted. Since they become prominent for devices having dimension less than 10 nm [56,57], a conventional “drift-diffusion (DD)” model has been chosen for simulation of the carrier transport mechanism in the simulated biosensor. Although DD malfunctions at ballistic limit and does not show its ability to model velocity overshoot, still according to a recent study [58], DD is the choice primarily for the amount of simplification it offers to model and simulate the nano-dimensional device structures. To model carrier statistics, “Fermi-Dirac (FERMIDIRAC)” model has been used. To incorporate the effect of high doping in the channel especially for JL transistor, the band gap narrowing model has been used in the simulation. Energy balance transport model has been incorporated to account for nonlocal transport effects such as velocity overshoot, diffusion associated with the carrier temperature, and the dependence of impact ionization rates on carrier energy distributions. For modeling of hot electron injected gate current, the hot carrier injection model (HEI and HHI) is invoked. To incorporate mobility degradation at high electric field and also to model concentration dependency of the mobility, Lomardi’s constant voltage and temperature (CVT) model has been used. CVT also takes care of mobility reduction due to various scattering mechanisms such as lattice scattering, impurity scattering, and carrierecarrier scattering. To model both nonradiative and radiative electron-to-hole recombination, both Shockley-Read-Hall and Auger recombination models have been chosen. A combination of Gummel (Decoupled) and Newton (fully coupled) is used as method to solve the nonlinear coupled differential equations in the TCAD simulator in an iterative manner with an intension to obtain a favorable initial guess by Gummel method and using that to complete the iterations with Newton method.

5. Results and discussion In a typical biosensing system, the purpose of the electronic device is to identify some properties of the biomolecules, and according to the variation of it, it will result in measurable changes in the electrical output properties of the device. For the said purpose, permittivity (k) of the biomolecule has been considered as the parameter. In this study, the variation of the value of k for the protein molecule is considered per [59] where k ¼ 2.5 for myoglobin, k ¼ 4 for protein A, k ¼ 8 for apomyoglobin, and k ¼ 10 for staphylococcal nuclease. Therefore, in this study, the variation of relative permittivity has been considered from 2 to 10. In a FET-based biosensor, the change in permittivity of the biomolecule will change the threshold voltage. Therefore, change in VTh is a key parameter or can be considered a figure of merit in this study [60,61]. In the following discussions, our main aim is to analyze (a) determination of the location of the nanogap cavity, (b) determination of the dimension of the nanogap cavity, and (c) performance evaluation of the biosensor. Figs. 4.3 and 4.4 show the variation of the electrostatic channel center potential JC(z) as a function of lateral distance from the source to the drain for nanogap cavity located at the drain and the source region, respectively. The figure clearly reveals that as relative permittivity (k or εr) increases, due to capacitive effects, more band bending takes place, causing a decrease in the minimum channel center potential JC,min. The decrease in JC,min causes the threshold voltage to increase and a reduction in the on-state current ION and off-state leakage current IOFF. In other words, more JC,min indicates more

5. Results and discussion

83

FIGURE 4.3 Plot of the dependence of electrostatic channel center potential JC(z) versus lateral distance from the source to the drain (along axial direction) considering a drain side nanogap cavity region for different permittivity of biomolecules as extracted from analytical model and TCAD simulation showing the effect of different permittivity on channel center potential variation.

FIGURE 4.4 Plot of the dependence of the electrostatic channel center potential JC(z) with lateral distance from the source to the drain (along axial direction) considering a source side nanogap cavity region for different permittivity of biomolecules as extracted from analytical model and TCAD simulation showing the effect of different permittivity on channel center potential variation.

84

Chapter 4 Analytical model and sensitivity analysis

requirement of gate voltage to turn the device on or to cause inversion in the channel region. A step in the middle of the potential profile is observed showing the effect of gate material engineering with gate length ratio 1:1 (junction point of two metals is located at the middle of the channel). In this study, higher work function is always kept over the cavity region to cause even stronger depletion of the channel due to stronger electrostatic coupling between the metal gate and the silicon channel and corresponding changes are reflected in band diagram and threshold voltages [44]. Consider two cases: (a) a cavity in the drain side having lower work function over it and (b) drain side cavity with higher workfunction over it. Due to more electrostatic coupling between the gate and the channel, the effective k value becomes less for the second case compared to the first case. More electrostatic control over the channel causes more band bending and causes more reduction in the minimum channel center potential JC,min. Figs. 4.3 and 4.4 clearly reveal that the change in JC,min for different value of k is 0.261V for source side cavity and 0.167V for drain side cavity. In both cases, cavities are kept below the higher workfunction material gates. More change in JC,min indicates higher change in VTh and hence in SVTh of the device. Therefore, it is clear a cavity in the source region is preferable to a cavity in the drain region. All the remaining results were obtained under the consideration of a source side cavity. Moreover, this conforms to the results obtained with Chattopadhyay et al. [44] and Bhattacharyya et al. [62]. Fig. 4.5 shows the variation of jC,min as a function of VGS for different k values of the biomolecules. The result indicates that for k ¼ 10, the lowest value of jC,min can be achieved. As a result, the highest VTh can be obtained for k ¼ 10, compared to k ¼ 5 or k ¼ 1, as shown in Fig. 4.5. It is due to the fact that the lowest jC,min corresponds to higher source-channel potential barrier, causing a higher threshold voltage. On the other hand, the pull-up for jC,min indicates a reduction in the

FIGURE 4.5 jC,min versus VGS for different permittivity of the biomolecules as extracted from the analytical model and TCAD ATLAS simulator for VDS ¼ 0.1V showing the effect of different permittivity of biomoleules. For each curve, a corresponding subthreshold slope has been calculated and marked as SS on the figure.

5. Results and discussion

85

threshold voltage and a corresponding increase in the off-state leakage current. It is because threshold voltage VTh and IOFF heavily depend on the position and the value of minimum channel center potential. A lower value of subthreshold slope SS is preferred to show the effortlessness of the device from entering into off state from on state and vice versa. In Fig. 4.5, SS is calculated per Eq. (4.71) and is shown in Fig. 4.5. A higher SS indicates less threshold voltage and an increase in current. Therefore, from Fig. 4.5, it can be predicted that k ¼ 10 provides less off-state current and less on-state current than k ¼ 1. In the subsequent results, this point can be validated and verified. Fig. 4.6 shows the plot of jC,min with k ranging from 1 to 10 for different cavity lengths. The result indicates that jC,min decreases with increase in k. This is consistent with the previous result shown in Fig. 4.5. It is basically because an increase in k causes an increase in gate capacitance, which in turn causes an increase in electrostatic coupling between the gate and the channel, which in turn increases band bending and which results in a decrease in the jC,min. Fig. 4.6 also reveals that the range of jC,min (for k values 1 and 10) is larger for Lgap ¼ 0.4Lg than the values of lower Lgap. A larger range of jC,min is preferred to obtain a higher change in threshold voltage and hence in sensitivity of the device. This is because a larger Lgap provides immobilization of a larger number of biomolecules [62]. Fig. 4.7 plots VTh versus different k values for different Lgap values. For all Lgap values, the trend of the graph remains the same, i.e., as k increases, VTh also increases. The minimum channel center potential jC,min governs the VTh variation and has already explained the reason for increase in VTh for higher k value. It is worth mentioning that in all TCAD simulation according to a constant current

FIGURE 4.6 Plot of the variation of the minimum channel center potential jC,min with relative permittivity of biomolecules for different nanocap cavity length as extracted from the analytical model and TCAD ATLAS simulator for VDS ¼ 0.1V showing the effect of nanogap cavity length.

86

Chapter 4 Analytical model and sensitivity analysis

FIGURE 4.7 Plot of the dependence of the threshold voltage VTh as a function with k for different cavity lengths (Lgap), showing the effect of cavity length Lgap on the performance of the biosensor.

method, VTh is considered as the gate voltage that corresponds to a reference drain current equal to 1  107A/mm [63]. It is evident from Fig. 4.7 that a larger Lgap corresponds to the desirable large change in VTh resulting in higher sensitivity. For Lgap ¼ 0.4Lg, the change in threshold voltage equals 200 mV. This value is greater than the previous results obtained by Ahangari et al. [45] (150 mV with a DM JLT-based biosensor) and Narang et al. (90 mV with a DG JLT-based biosensor) [64]. The sensitivity of a dielectric modulated biosensor can be defined as SVTh ¼

VThðgap¼airÞ  VThðgap¼filledÞ VThðgap¼airÞ

(4.81)

where VTh(gap¼air) and VTh(gap¼filled) stand for threshold voltage corresponding to nanogap cavity filled with air or filled with biomolecules, respectively. Fig. 4.8 clearly indicates that as Lgap increases, sensitivity increases as a larger Lgap can accommodate more biomolecules. The results conform to the findings of reported results published by Chakraborty et al. [15], with an optimized value of Lgap ¼ 0.4Lg. The sensitivity value obtained is much better than the sensitivity value reported by Maji et al. [46] (0.27 with a dual-material gate JLT-based biosensor with 45 nm channel length). This is mainly due to the position of the nanogap cavity and the choice of the work function of the dualmaterial gate metals. Fig. 4.9 plots the IDSVGS characteristics of proposed biosensor device for different values of k. The result indicates that as k increases, both ION and IOFF decrease due to the increase in VTh, which in turn depends on the decrease of JC,min.

6. Conclusion

87

FIGURE 4.8 SVTh versus k for different Lgap.

FIGURE 4.9 Drain current versus gate-to-source voltage (IDSVGS) characteristics for different permittivity of biomocules present in the nanogap cavity region for VDS ¼ 1V as extracted from analytical model and TCAD simulation.

6. Conclusion In this chapter, a rigorous electrostatic potential-based analysis of gate-engineered dual-material cylindrical surrounding gate junctionless transistor-based biosensors has been done. The results obtained from the TCAD simulation are in accordance with the analytical model, thus providing the validity and verifiability of the analytical model developed for potential, electric field, threshold

88

Chapter 4 Analytical model and sensitivity analysis

voltage, and current in different regions. An optimum position of the nanogap cavity has been found for gate-engineered device-based biosensors. The results reveal that the proposed device can be a promising candidate for JLT-based label-free biosensing applications. The adequate change in threshold voltage and a superior sensitivity compared to previous studies reported along with easy fabrication process of junctionless transistor makes it an attractive option for next-generation biosensor devices. The physics-based analysis of the device can provide a useful guideline for the determination of optimal dimension, fabrication, and design of JLT-based biosensors.

7. Appendix A a11 ¼  K1 e

z2 z1 l1

 K1 e

z1 z2 l1

z2 z3 l2

z3 z2

 K2 e l2 ; a12 ¼ 2K2

z2 z1

z2 z3 z3 z2 z1 z2 D1 ¼  2K1 V1  K1 4C1 e l1 þ 2  e l1  K2 4C2 e l2 þ 2  e l2

a21 ¼ 2K1 ; a22 ¼ K1 e

 K2 e

z3 z2 l2

 K1 e

z3 z2 D2 ¼ 2K2 V4  K1 4C2 e l2

z2 z3 l2

z3 z4

z4 z3

 K2 e l3  K2 e l3

z3 z4 z4 z3 z2 z3  2 þ e l2  K2 4C3 e l3  2 þ e l3

z1 ¼ 0; z2 ¼ L1 ; z3 ¼ L1 þ L2 ; z4 ¼ L1 þ L2 þ L3 ; 1 e

z2 z3 l2

e

z3 z2 l2

1

¼ k1 ; e

z3 z4 l3

e

z4 z3 l3

¼ k2 ;

k1 k2 ¼ K1 ; ¼ K2 l2 l3

8. Appendix B u1 ¼ 4r2 þ 2q1 ; u2 ¼ 4r1  1; u3 ¼ 4r3  q21 ; r1 ¼ x1  x2  x3 þ x4 þ x5 þ x6 ; r2 ¼ 2q1 x1 þ 2q2 x2 þ 2q3 x3  x4 ðq1 þ q2 Þ  x5 ðq1 þ q3 Þ  x6 ðq2 þ q3 Þ þ x7 þ x8 þ x9 ; r3 ¼ x1 q21  x2 q22  x3 q23 þ q1 q2 x4 þ q1 q3 x5 þ q2 q3 x6  q1 x7  q2 x8  q3 x9 þ h10 e1 ; qN tSi qN tSi 2 qN tSi qN tSi 2 q1 ¼  VFB1 þ þ d þ d ; q2 ¼ VFB2 þ þ d þ d ; 4COX1 16εSi 4COX2 16εSi 2 qN tSi qN tSi q3 ¼ VFB3 þ þ d þ d ; 4COX3 16εSi x1 ¼ h8  n2 ; x2 ¼ h9 n3 ; x3 ¼ h5 n3 ; x4 ¼ ðh8 n3  h9 n2 Þ; x5 ¼ ð  h8 n3  h5 n2 Þ; x6 ¼ ðh9 n3  h5 n3 Þ; x7 ¼ ðh10 n2 þ h8 e1 Þ; x8 ¼ ðh10 n3  h9 e1 Þ; x9 ¼ ð  h10 n3  h5 e1 Þ;

9. Appendix C

89

n2 ¼ ð  k2  f1 þ k2  f2   g9  k2  f2 Þ; n3 ¼ ð  g8  k2  f2  g12  k2  f2 Þ; n4 ¼ g11  k2  f2 ; 1

k2 ¼

e

L2 L1 l1

L2

e

L1 L2 l1

L1

; f1 ¼ e l1 ; f2 ¼ e l1 ;

e1 ¼ k2 V1 f1  g10  k2 f2  g13  k2  f2 ; j7 ¼ 1=ðða21  a12 Þ  ða11  a22 ÞÞ; j8 ¼ g1  a21  j7 ; j9 ¼ g2  a21  j7 ; j10 ¼ g3  a21  j7 ; j11 ¼ g4  a11  j7 ; j12 ¼ g5  a11  j7 ; j13 ¼ g6  a11  j7 ; g7 ¼ 1=ðða11  a22 Þ  ða12  a21 ÞÞ; g8 ¼ a22  g1  g7 ; g9 ¼ a22  g2  g7 ; g10 ¼ a22  g3  g7 ; g11 ¼ ðg4  a12  g7 Þ; g12 ¼ ðg5  a12  g7 Þ; g13 ¼ ðg6  a12  g7 Þ; L L 1 l2  1 1 ; p ¼ e l1 ; k1 ¼ L1 L2 2 L2 L1 ; p1 ¼ e e l1  e l1

h1 ¼ k1  p1  V1 ; h2 ¼ ð  k1  p1 þ k1  p2 Þ; h3 ¼  g8  k1  p2 ; h4 ¼ g9  k1  p2 ; h5 ¼ g11  k1  p2 ; h6 ¼ g12  k1  p2 ; h7 ¼ g10  k1  p2  g13  k1  p2 ; h8 ¼ ðh2  h4 Þ; h9 ¼ ðh3 þ h6 Þ; h10 ¼ ðh7 þ h1 Þ;

9. Appendix C A11

  1 COX1 COX2 ¼ þ 2 L1 L2

A12 ¼

COX1 COX2 ðVGS  VTh Þ þ ðVGS  VTh Þ L1 L2

COX2 V22 COX2  ðVGS  VTh ÞV2 L2 2 L2   1 COX2 COX3 ¼ þ 2 L2 L3

A13 ¼ A21

A22 ¼

COX2 COX3 ðVGS  VTh Þ þ ðVGS  VTh Þ L2 L3

A23 ¼

COX3 COX3 V2DS COX2 V22 COX2  þ ðVGS  VTh ÞVDS  ðVGS  VTh ÞV1 L3 L3 2 L2 2 L2

90

Chapter 4 Analytical model and sensitivity analysis

References [1] A.P.F. Turner, I. Karube, G.S. Wilson, Biosensors: Fundamentals and Applications, Oxford University Press, Oxford Oxfordshire; New York, 1987. [2] T.-C. Lim, Nanosensors: Theory and Applications in Industry, Healthcare, and Defense, Crc Press, Boca Raton, 2011. [3] C. Dincer, R. Bruch, E. Costa-Rama, M.T. Ferna´ndez-Abedul, A. Merkoc¸i, A. Manz, G.A. Urban, F. Gu¨der, Disposable sensors in diagnostics, food, and environmental monitoring, Adv. Mater. (2019) 1806739. [4] A. Hierlemann, O. Brand, C. Hagleitner, H. Baltes, Microfabrication techniques for chemical/biosensors, Proc. IEEE 91 (6) (2003) 839e863. [5] S.W. Oh, J.D. Moon, H.J. Lim, S.Y. Park, T. Kim, J. Park, M.H. Han, M. Snyder, E.Y. Choi, Calixarene derivative as a tool for highly sensitive detection and oriented immobilization of proteins in a microarray format through noncovalent molecular interaction, FASEB J. 19 (10) (2005) 1335e1337. [6] T.G. Drummond, M.G. Hill, J.K. Barton, Electrochemical DNA sensors, ChemInform 35 (27) (2004). [7] J. Fritz, Translating biomolecular recognition into nanomechanics, Science 288 (5464) (2000) 316e318. [8] X.-J. Huang, Y.-K. Choi, H.-S. Im, O. Yarimaga, E. Yoon, H.-S. Kim, Aspartate aminotransferase (AST/ GOT) and alanine aminotransferase (ALT/GPT) detection techniques, Sensors 6 (7) (2006) 756e782. [9] Y.T. Yang, C. Callegari, X.L. Feng, K.L. Ekinci, M.L. Roukes, Zeptogram-scale nanomechanical mass sensing, Nano Lett. 6 (4) (2006) 583e586. [10] Y. Ohno, K. Maehashi, K. Matsumoto, Label-free biosensors based on aptamer-modified graphene fieldeffect transistors, J. Am. Chem. Soc. 132 (51) (2010) 18012e18013. [11] D. Sarkar, W. Liu, X. Xie, A.C. Anselmo, S. Mitragotri, K. Banerjee, MoS2 field-effect transistor for nextgeneration label-free biosensors, ACS Nano 8 (4) (2014) 3992e4003. [12] J. Park, H.H. Nguyen, A. Woubit, M. Kim, Applications of field-effect transistor (FET)-type biosensors, App. Sci. & Converg. Technol. 23 (2) (2014) 61e71. [13] Y. Cui, Nanowire nanosensors for highly sensitive and selective detection of biological and chemical species [online], Science 293 (5533) (2001) 1289e1292. Available at: https://science.sciencemag.org/content/293/ 5533/1289.full. (Accessed 3 December 2019). [14] J.P. Colinge, A. Kranti, R. Yan, C.W. Lee, I. Ferain, R. Yu, N. Dehdashti Akhavan, P. Razavi, Junctionless nanowire transistor (JNT): properties and design guidelines, Solid State Electron. 65 (66) (2011) 33e37. [15] A. Chakraborty, A. Sarkar, Analytical modeling and sensitivity analysis of dielectric-modulated junctionless gate stack surrounding gate MOSFET (JLGSSRG) for application as biosensor, J. Comput. Electron. 16 (3) (2017) 556e567. [16] B. Baral, S.M. Biswal, D. De, A. Sarkar, Radio frequency/analog and linearity performance of a junctionless double gate metaleoxideesemiconductor field-effect transistor, Simulation 93 (11) (2017) 985e993. [17] K. Biswas, A. Sarkar, C.K. Sarkar, Spacer engineering for performance enhancement of junctionless accumulation-mode bulk FinFETs, IET Circuits Devices Syst. 11 (1) (2017) 80e88. [18] K. Biswas, A. Sarkar, C.K. Sarkar, Impact of Fin width scaling on RF/analog performance of junctionless accumulation-mode bulk FinFET, ACM J. Emerg. Technol. Comput. Syst. 12 (4) (2016) 1e12. [19] S. Bari, D. De, A. Sarkar, Effect of gate engineering in JLSRG MOSFET to suppress SCEs: an analytical study, Phys. E Low-dimens. Syst. Nanostruct. 67 (2015) 143e151. [20] S.M. Biswal, B. Baral, D. De, A. Sarkar, Analytical subthreshold modeling of dual material gate engineered nano-scale junctionless surrounding gate MOSFET considering ECPE, Superlattice. Microst. 82 (2015) 103e112.

References

91

[21] V. Jegadheesan, K. Sivasankaran, RF stability performance of SOI junctionless FinFET and impact of process variation, Microelectron. J. 59 (2017) 15e21. [22] T.A. Oproglidis, D.H. Tassis, A. Tsormpatzoglou, G. Ghibaudo, C.A. Dimitriadis, Drain current local variability analysis in nanoscale junctionless FinFETs utilizing a compact model, Solid State Electron. 170 (2020) 107835. [23] A. Sarkar, S. De, C.K. Sarkar, Asymmetric halo and symmetric single-halo dual-material gate and doublehalo dual-material gate n-MOSFETs characteristic parameter modeling, Int. J. Numer. Model. Electron. Network. Dev. Field. 26 (1) (2012) 41e55. [24] A. Sarkar, S. De, A. Dey, C. Kumar Sarkar, 1/f noise and analogue performance study of short-channel cylindrical surrounding gate MOSFET using a new subthreshold analytical pseudo-two-dimensional model, IET Circuits Devices Syst. 6 (1) (2012) 28. [25] A. Sarkar, S. De, A. Dey, C.K. Sarkar, Analog and RF performance investigation of cylindrical surroundinggate MOSFET with an analytical pseudo-2D model, J. Comput. Electron. 11 (2) (2012) 182e195. [26] A. Sarkar, S. De, A. Dey, C.K. Sarkar, A new analytical subthreshold model of SRG MOSFET with analogue performance investigation, Int. J. Electron. 99 (2) (2012) 267e283. [27] A. Sharma, A. Jain, Y. Pratap, R.S. Gupta, Effect of high-k and vacuum dielectrics as gate stack on a junctionless cylindrical surrounding gate (JL-CSG) MOSFET, Solid State Electron. 123 (2016) 26e32. [28] A. Sarkar, A. Kumar Das, S. De, C. Kumar Sarkar, Effect of gate engineering in double-gate MOSFETs for analog/RF applications, Microelectron. J. 43 (11) (2012) 873e882. [29] A. Pal, A. Sarkar, Analytical study of dual material surrounding gate MOSFET to suppress short-channel effects (SCEs), Eng. Sci. & Technol. Int. J. 17 (4) (2014) 205e212. [30] S. Mondal, D. Naru, A. Sarkar, C.K. Sarkar, An analytical surface potential based threshold voltage model of triple material surrounding gate Schottky barrier MOSFET, J. Comput. Theor. Nanosci. 12 (2) (2015) 180e188. [31] B.H. Lee, J. Oh, H.H. Tseng, R. Jammy, H. Huff, Gate stack technology for nanoscale devices, Mater. Today 9 (6) (2006) 32e40. [32] P. Ghosh, S. Haldar, R. Gupta, M. Gupta, An analytical drain current model for dual material engineered cylindrical/surrounded gate MOSFET, Microelectron. J. 43 (1) (2012) 17e24. [33] G. He, L. Zhu, Z. Sun, Q. Wan, L. Zhang, Integrations and challenges of novel high-k gate stacks in advanced CMOS technology, Prog. Mater. Sci. 56 (5) (2011) 475e572. [34] A. Chakraborty, D. Singha, A. Sarkar, Staggered heterojunctions-based tunnel-FET for application as a label-free biosensor, Int. J. Nanoparticles (IJNP) 10 (1/2) (2018) 107. [35] H. Im, X.-J. Huang, B. Gu, Y.-K. Choi, A dielectric-modulated field-effect transistor for biosensing, Nat. Nanotechnol. 2 (7) (2007) 430e434. [36] N. Kannan, M.J. Kumar, Dielectric-modulated impact-ionization MOS transistor as a label-free biosensor, IEEE Electron. Device Lett. 34 (12) (2013) 1575e1577. [37] S. Kanungo, S. Chattopadhyay, P.S. Gupta, H. Rahaman, Comparative performance analysis of the dielectrically modulated full- gate and short-gate tunnel FET-based biosensors, IEEE Trans. Electron. Dev. 62 (3) (2015) 994e1001. [38] S. Kanungo, S. Chattopadhyay, P.S. Gupta, K. Sinha, H. Rahaman, Study and analysis of the effects of SiGe source and pocket-doped channel on sensing performance of dielectrically modulated tunnel FET-based biosensors, IEEE Trans. Electron. Dev. 63 (6) (2016) 2589e2596. [39] D.-I. Moon, J.-W. Han, M. Meyyappan, Comparative study of field effect transistor based biosensors, IEEE Trans. Nanotechnol. 15 (6) (2016) 956e961.

92

Chapter 4 Analytical model and sensitivity analysis

[40] Ajay, R. Narang, M. Saxena, M. Gupta, Analytical modeling of a split-gate dielectric modulated metaloxide-semiconductor field-effect transistor for application as a biosensor, in: 2014 2nd International Conference on Devices, Circuits and Systems (ICDCS), 2014. [41] S. Singh, A. Raman, Gate-all-around charge plasma-based dual material gate-stack nanowire FET for enhanced analog performance, IEEE Trans. Electron. Dev. 65 (7) (2018) 3026e3032. [42] Y. Wang, C. Shan, Z. Dou, L. Wang, F. Cao, Improved performance of nanoscale junctionless transistor based on gate engineering approach, Microelectron. Reliab. 55 (2) (2015) 318e325. [43] R.K. Baruah, R.P. Paily, A dual-material gate junctionless transistor with high-$k$ spacer for enhanced analog performance, IEEE Trans. Electron. Dev. 61 (1) (2014) 123e128. [44] A. Chattopadhyay, S. Tewari, P.S. Gupta, Dual-metal double-gate with low-k/high-k oxide stack junctionless MOSFET for a wide range of protein detection: a fully electrostatic based numerical approach, Silicon (2020), https://doi.org/10.1007/s12633-020-00430-4. [45] Z. Ahangari, Performance assessment of dual material gate dielectric modulated nanowire junctionless MOSFET for ultrasensitive detection of biomolecules, RSC Adv. 6 (92) (2016) 89185e89191. [46] M. Maji, G. Saini, Modeling of dual material surrounding split gate junctionless transistor as biosensor, Superlattice. Microst. 135 (2019) 106290. [47] K.K. Young, Short-channel effect in fully depleted SOI MOSFETs, IEEE Trans. Electron. Dev. 36 (2) (1989) 399e402. [48] A. Sarkar, Low Power VLSI Design: Fundamentals, De Gruyter Oldenbourg, Berlin; Boston, 2016. [49] A. Sarkar, C.K. Sarkar, Triple material surrounding gate MOSFET for suppression of SCES, Reason-A Tech. J. 14 (0) (2016) 1. [50] A. Basak, M. Chanda, A. Sarkar, Drain current modelling of unipolar junction dual material double-gate MOSFET (UJDMDG) for SoC applications, Microsyst. Technol. (2019), https://doi.org/10.1007/s00542019-04691-x. [51] B.V. Chandan, K. Nigam, D. Sharma, Junctionless based dielectric modulated electrically doped tunnel FET based biosensor for label-free detection, Micro & Nano Lett. 13 (4) (2018) 452e456. [52] Ajay, R. Narang, M. Saxena, M. Gupta, Drain current model of a four-gate dielectric modulated MOSFET for application as a biosensor, IEEE Trans. Electron. Dev. 62 (8) (2015) 2636e2644. [53] H.-K. Wang, S. Wu, T.-K. Chiang, M.-S. Lee, A new two-dimensional analytical threshold voltage model for Short-channel triple-material surrounding-gate metaleoxideesemiconductor field-effect transistors, Jpn. J. Appl. Phys. 51 (2012) 054301. [54] S.-L. Jang, S.-S. Liu, An analytical surrounding gate MOSFET model, Solid State Electron. 42 (5) (1998) 721e726. [55] Device Simulator ATLAS User Manual, Silvaco Int., Santa Clara, CA, May 2011 [Online]. [56] A. Ortiz-Conde, F.J. Garcia-Sanchez, S. Malobabic, Analytic solution of the channel potential in undoped symmetric dual-gate MOSFETs, IEEE Trans. Electron. Dev. 52 (7) (2005) 1669e1672. [57] M. Lundstrom, Z. Ren, Essential physics of carrier transport in nanoscale MOSFETs, IEEE Trans. Electron. Dev. 49 (1) (2002) 133e141. [58] H.A. El Hamid, B. Iniguez, J. Roig Guitart, Analytical model of the threshold voltage and subthreshold swing of undoped cylindrical gate-all-around-based MOSFETs, IEEE Trans. Electron. Dev. 54 (3) (2007) 572e579. [59] T. Simonson, C.L. Brooks, Charge screening and the dielectric constant of proteins: insights from molecular dynamics, J. Am. Chem. Soc. 118 (35) (1996) 8452e8458. [60] D. Sarkar, K. Banerjee, Proposal for tunnel-field-effect-transistor as ultra-sensitive and label-free biosensors, Appl. Phys. Lett. 100 (14) (2012) 143108.

References

93

[61] P. Dwivedi, A. Kranti, Dielectric modulated biosensor architecture: tunneling or accumulation based transistor? IEEE Sensor. J. 18 (8) (2018) 3228e3235. [62] A. Bhattacharyya, M. Chanda, D. De, Performance assessment of new dual-pocket vertical heterostructure tunnel FET-based biosensor considering steric hindrance issue, IEEE Trans. Electron. Dev. 66 (9) (2019) 3988e3993. [63] H.A. El Hamid, J.R. Guitart, B. Iniguez, Two-dimensional analytical threshold voltage and subthreshold swing models of undoped symmetric double-gate MOSFETs, IEEE Trans. Electron. Dev. 54 (6) (2007) 1402e1408. [64] Ajay, R. Narang, M. Saxena, M. Gupta, Investigation of dielectric modulated (DM) double gate (DG) junctionless MOSFETs for application as a biosensors, Superlattice. Microst. 85 (2015) 557e572.

CHAPTER

Design and development of AlGaN/ GaN HEMT for biosensing applications for detection of cancers, tumors, and kidney malfunctioning

5

A. Mohanbabu1, M. Saravanan2, J. Ajayan2, S. Baskaran3 1

Karpagam College of Engineering, Coimbatore, Tamil Nadu, India; 2SNS College of Technology, Coimbatore, Tamil Nadu, India; 3S.K.P Engineering College, Tiruvannamalai, Tamil Nadu, India

1. Introduction The US market size of biologic and chemical sensors is expected to increase annually by 10.6% to reach $6 billion in 2020. This development will be continued particularly by the development for medical biosensing applications such as kidney malfunctioning, tumors, cancer diagnosis, and detection of a biomarker for infectious disease [1]. Additionally, there will be more demand in monitoring environmental changes, biodefense, food, and pharmaceutical industries. The market for biosensors is expected to reach $7.4 billion in 2021 all over the world [1,2]. Biosensors have progressed significantly in different fields, for example, science, chemistry, biology, physics, material science, and VLSI fields. With the progression in science and innovation, compact, trustworthy, and highly sensitive biosensors have become a reality. Still, the requirement for efficient, robust, reliable, and cost-effective biosensor devices that can be utilized to distinguish various biomolecules stays a technology with challenges to be solved. The demand for sensors requires high accuracy, smaller size, quick response, and sensitive time to a limited quantity of organic material. Over the last 20 years, device researchers in all research institutes have made steps to achieve these objectives [2]. High electron mobility transistor structures (HEMTs) are an excellent choice for biosensing applications today. In traditional Field Effect Transistors (FETs), the shape and size of the formation of the channel between the drain and source terminals for conduction are modulated by an applied gate voltage at the electrode. Instead of the gate electrode, the characteristics of the chemical in the active area of the device could also play a vital role in modulating the behavior of the device operation. This characteristic makes FET-based semiconductor materials ideal for sensors in biochemical and many chemical systems [3,4]. There exists a lack of cheap, reliable technologies to screen life-threatening diseases like cancers, tumors, kidney malfunctioning, etc. The interest in monitoring and diagnosing of chemical and biomolecular analytes is increasing day Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00001-0 Copyright © 2021 Elsevier Inc. All rights reserved.

95

96

Chapter 5 Design and development of AlGaN/GaN HEMT

by day. The device that is reliable, fast, and sensitive and sensors with portable systems are of extreme significance for recognizing these analytes. The potential for a broad achievement of GaN biosensor stage innovation is a genuine chance. Since the 1990s, GaN has been regarded as a very interesting and promising material in optical, sensing, and microwave high-power electronic applications. With remarkable properties such as stable chemicals and good thermal stability, piezoelectric strain-induced effect, nontoxic nature to living cells, and radiation hardness due to the large bandgap, AlGaN/GaN HEMTs are interesting as a sensing platform in medical diagnosis and environmental monitoring. In biosensors, as the mobility of the carrier in the transducer is increased, the efficiency of the conversion of the electrical signal in biomaterials is enhanced [4]. Because of the wide bandgap properties, it is very stable at high temperatures, and the devices can withstand up to 500 C [5]. They are also chemically stable, making a GaN-based biosensor device very suitable for working in harsh chemical environments. The physical detection of disease is done by sensing the concentration of various biomarkers present in body fluids like saliva, blood, urine, exhaled breathe condensate, etc. Therefore, the HEMT is a more suitable platform for transducer than the silicon-based transistor. These devices outperform over silicon because of their chemically stable properties in bulk and surface layers and the presence of high two-dimensional electron gas (2DEG) density at the heterojunctions that allows detection of highly sensitive surface charge-related phenomena. The high 2DEG density, i.e., sheet carrier density of GaN HEMTs, is generated by piezoelectric strained and spontaneous polarization in the AlGaN/GaN layer in the heterojunction, and this effect is more prominent in III-nitrides wurtzite GaN devices, so this provides and delivers an improved sensitivity on the GaN structures [5]. The HEMT with controlled region at the gate contact is being used to control the current to flow in the channel of FET device or as the Schottky gate electrode contact. A broad range of GaN-based HEMT technology for health-based sensors has been proposed and tested with proper functionality on the HEMT’s surface gate region, including detection of prostate-specific antigens, hydrogen, DNA mercury ions, and glucose [6].

1.1 Sensor functionalization One of the drawbacks of GaN sensors based on III-V is the lack of sensitivity due to the chemical inertness to specific analytes on the HEMT surface [7]. This problem can be overcome by modification of the surface with detecting receptors. Sensor systems are sensitive to a wide range of fluids that come from the body, comprising urine, saliva, blood, and breath. The technique widely used for biologic detection of species in semiconductor sensors includes the reaction of the surface (e.g., field-effect transistor with ungated structure) that will selectively connect the molecules of interest [7]. Because materials based on the III-V GaN have high thermal conductivity and are chemically stable, this should reduce the effect of adsorbed cell degradation. Within the crystal lattice, the Ga and N bond is ionic, so proteins can be easily mobile and bind to the surface [7,8]. This is one of the useful functions for the design of a responsive biosensor for protein detection, pH values, gases, chemical ions, and DNA biomolecules and temperature with a useful lifetime for HEMT by the moderation of the surface in the region at the gate. The source and drain ohmic contact are coupled to the 2DEG channel formed at the heterointerface junction, and the current flow is modulated at the top of the AlGaN barrier by the highly resistive Schottky gate. In sensing applications, the sensing environment affects and senses the Schottky interface, i.e., the sensing targets modify the charges of the gate region and act as a regulated gate. The accumulations of analytes charges on the gate area alter the 2DEG

1. Introduction

97

channel resistance (R2DEG). Alterations in R2DEG between the signal electrodes take place by the reactive adsorption of molecules. This technology is simple, effective, and feasible for electric gate signal detection. This is magnified by means of sourceedrain interaction through the current, making this device quite sensitive and suitable for sensor use [7]. In contrast to fluorescence detection methods, the detected electrical signal can be easily captured, assessed, and transmitted, and it does not require human observation and is easy to calculate and transmit the data.

1.2 GaN HEMT history and operation GaN technology has been found replacing Si technology in RF wireless applications over the past 20 years [9]. The III-V nitrides (AlGaN, InAlN, InN, GaN, and their solid solutions) are unique in that they all have an effective sheet density of carrier concentration at the interface of heterojunction layers, wide bandgap, high saturation velocity and electron mobility, low thermal impedance, and high breakdown field when grown over silicon carbide (SiC) substrates [10]. They also possess the merits of chemical inertness, thermal stabilization, and resistance to radiation, which makes III-nitride material more reliable. The hexagonal (Wurtzite) crystal structure of the nitride device leads to unique properties including the wide bandgap energies, high thermal stability, direct bandgap, and built-in electrical field due to piezoelectric and spontaneous polarization, which makes it ideal for electronic applications [10]. There has been a great interest to the researchers in the field of nitride-based quantum well devices in recent years because of the importance of energy conservation in the present world as well as the vast variety of application, including high-speed and high-power electronics. There is value in developing and using III-N-based diodes and FETs for their low-loss energy conversion and effective electric power transmission/distribution capabilities at elevated operating temperatures. The future target for the researchers is the development of GaN-based electrical devices for fast and efficient operation at a wide range of power levels and also for sensing applications. The capabilities of GaN devices with high power handling allow more effective power consumption and more compact system sizes, i.e., a dramatic decrease in power loss, chip area, and gate width. III-V nitride devices have two polarization effects, namely, spontaneous (Psp) and piezoelectric (Ppz) polarization. Spontaneous is due to wurtzite nature of nitride (N) crystal and high electronegativity of the nitrogen atom [11]. We know that the wurtzite crystal structure is noncentrosymmetric; it lacks the center of symmetry and creates a net internal electric field [11]. The effect of piezoelectric polarization is due to the presence of strain and stress effects (i.e., lattice mismatch) in these heterointerfaces [11], as shown in Fig. 5.1. Piezoelectric polarization creates higher carrier concentration and high channel electron mobility (up to m w 2000 cm2/Vs) without intentional doping, resulting in low on-state resistance, low conductive loss, and higher efficiency in power conversion [12,13]. The electrons are properly confined in a quantum well to avoid alloy impurity scattering. The fast switching properties and direct bandgap of GaN makes the possibility to operate at high frequency as well as in optoelectronic circuits due to its improved electron mobility and dielectric constant. Table 5.1 and Fig. 5.2 list the material properties and their corresponding device and system-level advantages.

98

Chapter 5 Design and development of AlGaN/GaN HEMT

N

Al(Ga) [0001]

AlGaN c (AIN)

Psp+Ppz +σ Psp

GaN

Ga

u.c a

N

Substrate

FIGURE 5.1 Lattice orientation and piezoelectric and spontaneous polarization strain in the crystal interface of AlxGa1xN/ GaN [12].

Table 5.1 Comparison of some key major influences on the fundamental performance characteristics of the devices [10e12].

Material

Bandgap energy (eV)

Breakdown field (MV/cm)

Thermal conductivity (W/cmK)

Mobility (cm2/Vs)

Saturated velocity (107 cm/s)

Si GaAs SiC GaN

1.1 1.4 3.2 3.4

1.5 0.5 4.9 >3.0

1.5 0.5 4.9 2.3

1300 6000 600 w2000

1.0 1.3 2.0 2.7



Denotes approximate value of mobility.

1.3 Lattice mismatch and strain in III-N semiconductors As described with the polarization effects, lattice mismatch and strain between an epitaxial layer (GaN) and the substrate is important for analyzing the crystal stability and material quality. The lattice mismatch is calculated from the lattice constants of the epitaxial layers (aepi) and the substrate (asub) [10] as defined by Eq. (5.1). Da aepi  asub ¼ aepi aepi

(5.1)

The commonly used substrates for the fabrication of nitride devices are SiC, sapphire (Al2O3), silicon (Si), and aluminum nitride (AlN) [13]. Among these substrates, AlN has a lower lattice mismatch ( < m ¼ 0 AlGaN/GaN Alm Ga1m N ‘m’ aluminium mole fraction m ¼ 1 AlGaN/AlN (5.3) > : 0 < m < 1 AlGaN/Alm Ga1m N AlmGa1mN and AlmIn1mN are examples for a wide bandgap semiconductor and GaN and InN for a narrow bandgap semiconductor, as shown in Fig. 5.4. When a wide bandgap was grown on a narrow

1. Introduction

101

FIGURE 5.4 Cross-section view of (In) AlGaN/GaN heterostructure HEMT and 2DEG formation.

bandgap semiconductor, band offset or conduction band discontinuity formed between interfaces, leading to the accumulation of electrons, which in turn led to a high 2DEG [17e19] and current in these devices, as shown in Fig. 5.5. The electron tried to drift from higher band to lower bandgap interfaces, as shown in Fig. 5.5. • Energy bandgap discontinuity highly depends upon band difference between valance band DEV and conduction band DEC [20]. • It also depends on built-in potential (qVB). When the AlGaN/AlInN and GaN layers are grown on top of each other to create a heterojunction, the atoms at the interface are subjected to mechanical strain (piezoelectric polarization). The electrons are attracted at the interface by positive polarization charge and form the 2DEG channel. The electrons depleted from the wide bandgap AlGaN layers lie in the narrow bandgap interface, and carriers are confined within the narrow dimension quantum well [21]. Inside the heterojunction plane, they can move around freely to a well-constrained space area called 2DEG, as shown in Fig. 5.5.

1.7 AlGaN/GaN HEMT device fabrication flow The process of fabrication of the epitaxial layers is carried out using metal-organic chemical deposition (MOCVD) method on Si [22]. To remove the mismatch at the crystal lattice, a transition and nucleation layer are formed before the HEMT grows. The layer for transition used here is a graded decremented Al “m” mole fraction from 1 to 0.04 in AlmGa1mN region (m ¼ 1, 0.58, 0.38,

FIGURE 5.5 Energy band of an AlGaN interface with GaN creates different Fermi levels and electron flow to the GaN channel (left); (right) whole band energy level of an AlGaN/GaN heterostructure after Fermi level equalization creates 2DEG in the quantum well [12].

102

Chapter 5 Design and development of AlGaN/GaN HEMT

FIGURE 5.6 Fabrication flow for AlGaN HEMT with graded structure in back-barrier for sensor applications [22].

0.22, 0.04), as shown in Fig. 5.6. The epitaxial growth followed by the grown stack properties were analyzed and achieved sheet density of 0.931013/cm2, the electron mobility of 1550 cm2/Vs and sheet resistance of 420 O/sq [22]. The following structures utilize the graded back-barriers to restrict the electrons to flow toward the substrate at higher operating temperatures and also to reduce the leakages [22].

1.7.1 MOCVD growth of epi-stack on Si substrate The growth process for HEMT stack on Si substrate was done using metal organic chemical vapor deposition (MOVCD). An orientation in P-type Si with (111) used for AlGaN/GaN HEMT stack growth and formation is shown in Fig. 5.7. And by using AIXTRON CCS MOVCD system, the

FIGURE 5.7 Schematic cross-section used for graded AlGaN back-barrier with GaN channel HEMT on AlN substrates [22].

1. Introduction

103

FIGURE 5.8 Typical configuration flow of AlGaN/GaN power HEMT source (s) drain (d) pads for a desired embodiment.

epitaxial growth was done [22]. The stack structure in AlGaN HEMT consists of a 10-nm-thick lowtemperature AlN nucleation layer followed by a high-temperature AlN layer of 140 nm thickness, and graded mole fraction (with decreasing m ¼ 0.58e0.04) transition scheme of AlGaN buffer layers are done to suppress the movement of electrons toward the substrate and to avoid leakage.

1.7.2 Ohmic source (S)/drain (D) deposition 1.7.2.1 # Mask 1: S/D contacts Step 1: Source and drain photolithography and the masking steps are shown in Fig. 5.8. Step 2: Source/drain (Ti/Al/Ni/Au: 20 nm/120 nm/30 nm/40 nm) deposition using electron (E) beam evaporation and lift off (#Mask 2) [22]. Step 3: Postdeposition annealing at 850 C for 30 s in N2 ambient Piranha cleaning and acetone/IPA cleaning were done for mask and substrate. The cleaned samples were then PR (AZ5214E) coated, aligned, exposed, and developed (developer: MF26A) using MUB4 [22]. Dektak profiling had been used for analyzing and ensuring the thickness of the deposited metal contact. The combined thickness of the metal stack deposited was 197 nm. E-beam evaporation was used for metallization of the source and drain, and a stack of Ti/Al/Ni/Au (20 nm/120 nm/30 nm/ 40 nm) was deposited on the sample. Acetone/IPA sonications were used for lift off.

1.7.3 Device passivation 1.7.3.1 #Mask 3: for etching of the Si3N4 passivation layer The deposition of 100 nm Si3N4 passivation layer was done using plasma-enhanced chemical vapor deposition (PECVD) and later etched to pattern the gate shown in Fig. 5.9A and B. Though lowpressure chemical vapor deposition (LPCVD) can be used to attain a better quality passivation layer, PECVD has been used [22] to attain deposition at a lower temperature as the metal stack ohmic contact has already been deposited, so temperature levels cannot be increased above their melting points. The Al present in the ohmic stack has a melting point of 450 C to deposit Si3N4 using LPCVD, and the deposition temperature is around 700 C. Moreover, even though PECVD results in nonstoichiometric SiN (H) deposition, care has been taken to attain high-quality film with nominal dielectric strength, resistivity, and stoichiometry [22].

104

Chapter 5 Design and development of AlGaN/GaN HEMT

FIGURE 5.9 (A) and (B) process flow steps show the gate oxide deposition, metallization, and pad openings in the AlGaN/ GaN HEMT.

1.7.3.2 #Mask 4: gate oxide deposition, metallization, and padding Deposition of Al2O3 of thickness 10 nm was made by atomic layer deposition (ALD) using trimethyl aluminum (AMA) and H2O as precursors and N2 as the driver gas [22]. Beneq TFS-200 has been used for ALD deposition, and the deposition rate achieved was 0.11 nm/cycle [22]. This gate oxide deposited provides two different benefits such as reduced gate leakage and acting as a passivation layer. Ni/Au (20 nm/70 nm) was then deposited using evaporation with an e-beam method and annealing at 400 C for 5 min [22]. Five-layer mask layout and final device is shown in Fig. 5.10: #Mask #Mask #Mask #Mask #Mask

1: 2: 3: 4: 5:

For ohmic deposition For device mesa isolation For etching of the passivation scheme For gate metal and pad deposition For source and drain pad opening

1. Introduction

105

FIGURE 5.10 Final view of AlGaN/GaN HEMT [22] with source and drain opening pads.

1.8 GaN HEMT sensor motivation Since the 1990s, GaN has been regarded as a very interesting and promising material for optical, sensing, and microwave high-power electronic applications. Next are the points that describe the motivation for a GaN HEMT sensor. • There exists a lack of cheap reliable technologies to screen life-threatening disease like cancers, tumors, kidney malfunctioning, etc. • In the case of cancers, if the patient can be screened bimonthly, the survival rate can be increased by 96%. • Methods like mammography used in cancer screening, sonography in kidney injury detection, and so on are invasive and of high cost, which limits the screening frequency. • The physical detection of diseases is done by sensing the concentration of various biomarkers present in body fluids like saliva, blood, urine, exhaled breathe condensate, etc. • Most of the detection technique developed and used to date has the limitation that only a single analyte can be detected, and the systems possess poor response time, hindering their practical realization and commercialization for official and personal use.

1.9 GaN HEMTs for biosensing application for detection Earlier, an ion-based sensitive field-effect transistor sensor was designed, and it was capable of excessive sensitivity, detection of molecules, rapid reaction, and excessive resolution [1]. For currently used intelligent healthcare appliances, Si-based nanoscale devices like silicon nanowires, graphene, carbon-based nanotubes and so on are some examples of effortlessly integrated sensors. However, they feature the constraints of material degradation, low reliability, and instability in solution because of long time performance shifts [4]. These drawbacks can be avoided by the usage of III-V material-based GaN devices that have excellent stability in aqueous solutions and biocompatibility [4,5]. III-V GaN

106

Chapter 5 Design and development of AlGaN/GaN HEMT

HEMTs are highly sensitive to charges present on the surface, and variations due to capacitive coupling modulate the 2DEG channel at the heterointerface. HEMTs were broadly utilized in detection of analyte consisting of Hg2þ, glucose, DNA, proteins, etc., [7] to analyze the sensitivity and evaluate the operation of a GaN HEMT for biodetection of various diseases like breast cancer, injury in kidney, diabetes, and MIG detection. For all multiple bioanalyte detections, highly sensitive sensors are necessary for the product stage of development and to maximize the device sensitivity by optimizing the design. For this, a numeric and charge-based deduction model for the analysis of sensitivity is needed for sensing application.

1.9.1 Existing models and their limitations A number of device models have been developed with a view of device application in high-power and high-frequency domain, whereas very few models have been developed with the focus of device biosensing applications. A model for surface potential has been reported [23], but it has two main drawbacks. It assumes the presence of a unified Fermi level potential, which does not match when the potential at the gate is above 2 V. Second, it does not explain the effect of drain bias variation on operation of the device. Another compact drain current model was described [24], but this model was very complicated and difficult for applicability for analyzing device operation.

1.9.2 Analytical model: HEMT breast cancer sensor HEMT sensor sensitivity needs to be enhanced to make it possible to detect antigens reliably from body fluids such as saliva instead of blood. A systematic analysis of the device is important for improving sensitivity and long-term stability, which is difficult without the help of an analytical model for the device and its sensitivity. The application of HEMT as a bio-transistor to detect c-erbB-2 protein is focused on the biomarker for breast cancer and a sensitivity study was conducted [25]. A numeric model for an equivalent charge in c-erbB-2 was also developed and tested for specific quantities of c-erbB-2 in both saliva and serum [25]. The device containing the AlN interlayer and the epitaxial design improvement over the conventional bio-HEMT sensors has been noticed and also showed improved sensing capacity [25]. Additionally, they analyzed the effect of gate length on sensitivity and gate length devices of 1 and 5 mm, yield a sensitivity of 2.5 and 0.72 mA/mg1, respectively [25].

1.9.3 C-erbB-2 numeric model Breast cancer has been a major public health concern in recent years because of its high level of incidence. C-erbB-2 (aliases: ERBB2, HER1, erb-b2 receptor tyrosine kinase 2, NEU, MLN19. NGL, CD340) is the biomarker for breast cancer diagnosis and is an important factor for epidermal growth in human body [25]. This glycoprotein transmembrane is overexpressed by 25%e30% during the development and production of several intense forms of breast cancer. The physical identification technique involves applying the antigen to the functional Au-coated gate region of its own antibody [25]. Here the self-assembled thioglycolic acid (HSCH2COOH) targets the biomolecule linker. Selfassembly takes place via the molecular bond between thiol and gold. The breast cancer biomarker CerbB-2 is also known as ERBB2, HER2, CD340, etc. This seems to be an epidermal growth factor glycoprotein receptor to use as a biomarker for diagnosis of breast cancer and some of its active variants in human saliva, blood, or urine (25%e30%) [25]. In 2008, Chen used HEMTs in this method of detection, but low sensor resolution impeded much further advancement of the device [26]. The

1. Introduction

107

authors of this study proposed a model to analyze the system’s responsiveness, and it was published elsewhere. The sample of interest in this detection process is human saliva and is aimed at the functional gate region to test the sensitivity of the system. It is possible to analyze the sensitivity behavior of the system by a charge control or voltage-driven model for research. The successful charge attributable to C-erbB-2 was modeled numerically by authors [25]. Fig. 5.11 shows that the AlGaN HEMT is grown on GaN used for sensing medium, where a strong polarization effect is formed at the interface due to the strain in the crystal lattice, thus inducing the piezoelectric and spontaneous polarization charges in the 2DEG channel. The device is composed of AlGaN barrier, AlN spacer, and GaN channel. The formation of 2DEG sheet carrier concentration/ density “ns” with AlN interlayer at AlGaN/GaN creates a quantum well, as shown in Fig. 5.12, and may be approximated [25] by the following:   EF  DEceff ε ðm%Þ þ Vch ðxÞ (5.4) ns ¼ AlGaN Vgs  ðfs þ deff q where εAlGaN (m%) is the AlmGa1mN relative permittivity, deff is the Schottky gate to the channel effective distance, tAlGaN and tAlN are the AlGaN barrier and AlN spacer interface layer thickness, EF is the Fermi energy level variation at the interface, Vch(x) is the 2DEG channel potential along the xdirection, and fs is the barrier Schottky height. The drain current (ID) depends on the drift velocity of electrons (Vs), electron density (2DEG), the width of the gate, and the formation of enhanced depth of conduction band offset at the interface due to the presence of AlN spacer [25] and is given by the equation:

FIGURE 5.11 Device cross-sectional view of AlGaN/AlN/GaN HEMT sensor [25] and bio-immobilization at the Au-gated functional electrode.

108

Chapter 5 Design and development of AlGaN/GaN HEMT

FIGURE 5.12 Energy bandgap diagram shows the bending of the conduction bands at the interface underneath the Fermi level that contributes to the creation of 2DEG [25].

0

0

εAlGaN @ Vgs  @fs þ ID ¼ q deff

EF  ðDEcAlGaN ðm%Þ þ

q2 s2 tAlN Þ εAlGaN ðm%Þ A ln

q

11 Vs EðxÞ þ Vch ðxÞAAz sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  2ffi Vs 2 E ðxÞ þ m (5.5)

1.9.4 Sensor model The model for charging control was built and evaluated for the system and showed the variation of application of gate bias on the output drain current [25]. From the biosensing perspective, the gate bias applied will nullify when the biomolecules have immobilized their effect on the system characteristics. A model for floating gate is therefore needed to analyze the effect of the modulation of the 2DEG channel perfectly, due to the immobilization of the gate rather than the biasing provided at the contact of the gate. The charged biomolecules are bound to the channel after immobilization through capacitive coupling. Similarly related to the gate bias, more electrons are induced into the channel when the immobilized charges are positive, resulting in an increased drain current. So if the charge produced at the gate is negative, the electrons would be repulsed from the channel, resulting in the current decreases at the drain [7,25]. The device defines the operation of a floating gate, so the voltage at the gate arises from the variation in the distribution of electrical fields in connection with the capacitive coupling of charge in the channel. The capacitance of the coupling gate to channel is shown as Cgch [25] in Fig. 5.11: Cgch ¼

Qgate Vgate

(5.6)

1. Introduction

109

The increase in the ns due to the variations in electrostatic field can be written [25,27] as follows:   EF  DEceff εAlGaN ðm%Þ d2DEG þ Vch ðxÞ  Vgs ns þ Dns ¼ Fs þ (5.7) deff q deff d2DEG ¼ tAlGaN þ Dd2DEG

(5.8)

where Dns and d2DEG are the modified density of sheet charge and the distance between the gate electrode and the channel, which considers both AlGaN barrier thickness and the 2DEG offset at the interface of AlN/GaN (Dd2DEG). The 2DEG electron mobility (m), the threshold voltage (VT), and its variation with the immobilization of biomolecules at the gate are important device features of significant relevance and sensing perspective. The increase in carrier electron density (Dns) can be seen as considering the floating gate voltage [25].   εAlGaN ðm%Þ d2DEG Vgs Dns ¼ (5.9) deff deff The resulting drain current [25] can be written as follows in terms of the threshold voltage change:  q2 2 s tAlN EF  ðDEcAlGaNðm%Þ Þ þ εAlGaN ðm%Þ εAlGaN ðm%Þ AlN ðFb þ ID þ DID ¼ q deff q þVchðxÞ 

d2DEG vs EðxÞ Vgs Þz sffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi  2 deff Vs 2 E ðxÞ þ m

(5.10)

Sensitivity analysis includes deduction of the biomarker of interest’s equivalent charge by using molar concentration with in target sample and molecular mass. This charge can be deducted by means of the formula described by Eq. (5.11). The molecule concentration is divided by the molecular weight to provide the corresponding concentration calculated by the total charge per molecule [25]. This is multiplied by Avogadro number, which gives the protein/biomarker total equivalent charge. The effective bias of the gate is given by Qanal  E=Q. Where “E” is the permissible energy in GaN crystal when the operation of the device is not interfaced by an electric field, Q is the charge in Coulomb, and E/Q is the voltage per unit charge [25] and is given by Qanal ¼ ðconc:of bio analyte  qanal Þ  Avogadro Number

(5.11)

The effect of bioimmobilization on drain current (ID), channel capacity, and channel conductivity is used to test human saliva and serum for specific concentrations of c-erbB-2 oncoprotein [25]. Drain current (ID) was used as the sensing metric for sensing currents for the concentration of c-erbB-2 in human saliva and serum in a diseased and balanced (healthy) state. The sensitivity analysis of the device was carried out by the authors using the Agile Semiconductor Analyzer by introducing the effective DC gate bias to the interface charges measured device [27] for different body fluids and biomarkers, given in Table 5.2.

110

Breast cancer [27]

Glucose [27]

Prostate cancer [27]

Kidney injury [27]

Transplant rejection [27]

Saliva

Exhaled breathe condensate

Serum

Urine

Serum

Biodetection for body fluids Biomarker Molecular mass Clinically relevant concentration Equivalent interface charge (1014) Interface charge in coulomb (C)

c-erbB-2 68.6 kDa

GOx 180 Da

PSA 34 KDa

KIM-1 50 KDa

MIG 11.7 KDa

Healthy

Diseased

Healthy

Diseased

Healthy

Diseased

Healthy

Diseased

Healthy

Diseased

4e6 mg/mL 0.63 to 0.94

9e13 mg/mL 1.42 to 2.05

f1, then it must be sampled at rate Fs  2(f2ef1) to prevent aliasing. Let us see the effect of aliasing in Fig. 10.4, where it shows both time domain and frequency domain presentation. In time domain, it can be seen that if sampling frequency Fs < 2 fm, Nyquist criteria is violated. The red-colored waveform is the aliased version of the analog signal. Now, let us see its frequency domain as shown in the figure. When the signal is sampled at Fs > 2 fm, then the frequency domain shows a single peak at frequency fm. But when it is sampled at Fs just greater than fm, an aliasing frequency component (image) can be seen at multiples of Fs, i.e., at frequencies valued at | kFs  fm|, where k ¼ 1, 2, 3, 4, ..

FIGURE 10.4 Sampling done at Fs when using Ideal Sampler (B) image frequencies at | kFs  fm|, k ¼ 1, 2, 3, . [1,2].

2. Analog-to-digital conversion

201

2.2 Filters Without a filter, the ADC may suffer from errors due to noise or aliasing. To remove noise or aliasing, a baseband filter is used before sampling.

2.3 Quantizer and quantization error The quantizer in an ADC converts the sampled data into an equivalent decimal number, and then an encoder converts it into an equivalent binary number. In an ideal AD conversion, the maximum conversion error is limited to ½ LSB during digitization. Fig. 10.5 shows the digital output versus an analog input graph. The quantization error for an AC signal can be approximately represented by a sawtooth waveform, as shown in Fig. 10.6. The quantization error can be found as follows: The error is mathematically defined as q q errðtÞ ¼ S$t;  < t < þ (10.1) 2S 2S The mean square error is given by Z q þq=2S q2 2 (10.2) errðtÞ ¼ ðS$tÞ2 dt ¼ S q=2S 12

FIGURE 10.5 Quantization error [1].

202

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.6 Quantization error as function of time [1].

Thus, RMS quantization error is

qffiffiffiffiffiffiffiffiffiffiffiffiffiffi q errðtÞ2 ¼ pffiffiffiffiffi 12 Eq. (10.3) gives the maximum quantization error that can appear for an AC signal.

(10.3)

2.4 Encoder The encoder in an ADC represents the quantized value in the form of binary values “0” or “1” bit. A group of these bit values represents a digital number that may be in parallel or serially on a single line. This code represents the quantized value of the signal, which is represented as an array, as shown next: ½ S7

S6

S5

S4

S3

S2

S1

S0  ¼ ½ 1

0

1

1

1 0

0

1

In this presentation the bit S7 is called the MSB (most significant bit), and S0 is the LSB. Thus, an N-bit binary number, can be represented in decimal as Decimal number ¼ 2N1 SN1 þ 2N2 SN2 þ / þ 21 S1 þ 20 S0         For example: 1011 ¼ 23  1 þ 22  0 þ 21  1 þ 20  1 ¼ ð11Þ10 And the fractional number is represented as Fractional number ¼ 21 SN1 þ 22 SN2 þ / þ 2N1 S1 þ 2N S0

For example: ð0:1011Þ2 ¼ 21  1 þ 22  0 þ 21  1 þ 23  1 ¼ ð0:6875Þ10

In ADC the output can be represented in various forms, and some of them are discussed here.

2.4.1 Unipolar code When the output of an ADC or DAC is positive the code generated in such case is unipolar code. This is most popular in use as it is simple to present a number in binary form, as shown in Table 10.1. For a 4-bit converter, there are 16 distinct levels, and values of scale for each level are given. The highest value scale has a maximum value of FS 1 LSB and the digital number is 1111.

3. Data converter parameters

203

Table 10.1 Unipolar encoding. Decimal number

Scale

D10 V FS

Binary

þ15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

þFS 1 LSB ¼ 15/16 FS þ14/16 FS þ13/16 FS þ12/16 FS þ11/16 FS þ10/16 FS þ9/16 FS þ8/16 FS þ7/16 FS þ6/16 FS þ5/16 FS þ4/16 FS þ3/16 FS þ2/16 FS þ1/16 FS 0

9.375 8.750 8.125 7.500 6.875 6.250 5.625 5.000 4.375 3.750 3.125 2.500 1.875 1.250 0.625 0

1111 1110 1101 1100 1011 1010 1001 1000 0111 0110 0101 0100 0011 0010 0001 0000

2.4.2 Bipolar codes Sometimes, bipolar signals are required for processing, and for this, negative and positive values must be encoded into equivalent binary numbers. This is done with the help of either two’s complement, or one’s complement or offset binary presentation, which is depicted in Table 10.2.

3. Data converter parameters Different parameters for the data converters used to judge the performance are detailed next.

3.1 Signal-to-noise ratio In the previous section, we discussed the quantization error given in terms of RMS quantization error, as in Eq. (10.3). Suppose the input to the AD converter is a sinusoidal wave of frequency “f,” so the input full-scale wave can be written as input FS sinewave vðtÞ ¼

q2N sinð2pftÞ 2

(10.4)

where N ¼ no bit required for data presentation. Therefore, the RMS value of the signal is given as q2N vðtÞrms FS ¼ pffiffiffi 2 2

(10.5)

204

Chapter 10 ADC and DAC for biomedical application

Table 10.2 Bipolar encoding. Base 10 number

Scale

±5 V FS

þ7 þ6 þ5 þ4 þ3 þ2 þ1 0 1 2 3 4 5 6 7 8

þFS 1 LSB ¼ þ7/8 FS þ6/8 FS þ5/8 FS þ4/8 FS þ3/8 FS þ2/8 FS þ1/8 FS 0 1/8 FS 2/8 FS 3/8 FS 4/8 FS 5/8 FS 6/8 FS FS þ1 LSB ¼ 7/8 FS FS

4.375 3.750 3.125 2.500 1.875 1.250 0.625 0.000 0.625 1.250 1.875 2.500 3.125 3.750 4.375 5.000

Two’s complement 1111 1110 1101 1100 1011 1010 1001 1000 0111 0110 0101 0100 0011 0010 0001 0000

0111 0110 0101 0100 0011 0010 0001 0000 1111 1110 1101 1100 1011 1010 1001 1000

The signal-to-noise ratio (SNR) for an ideal N-bit converter is RMS value for FS input RMS value of quantization noise pffiffiffi q2N =2 2 pffiffiffiffiffi ¼ 6:02N þ 176dB 0SNR ¼ 20 log10 q= 12

SNR ¼ 20 log10

(10.6) (10.7)

This equation holds good for Nyquist range frequencies that are from 0 to Fs/2. But, when the signal occupies a lower bandwidth (BW) and a filter is used to remove noise beyond this BW, processing gain is added to Eq. (10.7) (like in oversampling an ADC) to correct it. The processing gain is given by procesing gain ¼ 10 log10

Fs 2  BW

(10.8)

Thus, the SNR can be written as

pffiffiffi q2N =2 2 Fs pffiffiffiffiffi ¼ 6:02N þ 176dB þ 10 log10 SNR ¼ 20 log10 2  BW q= 12

(10.9)

3. Data converter parameters

205

3.2 Harmonic distortion For measuring the amplitude of the harmonics (multiples of fundamental frequency) of a signal, Fourier analysis can be used. For example, let us take a fm ¼ 7 MHz input signal that is sampled at 20 MHz, and from its Fourier transform (fast Fourier transform (FFT)), Fig. 10.7 shows the different harmonics. Aliased harmonics of fm fall at frequencies equal to |kFs  nfm|, where n determines the order of the harmonic, and k varies as 0, 1, 2, 3, .. From the figure it can be seen that second and third harmonics have larger values than other harmonics. dBc (decibels below carrier) unit is generally used to measure harmonic distortion specified as a percentage scale. It is found by taking an input signal amplitude near to full scale but exactly full scale (less than full scale). Total Harmonic DistortionðTHDÞ ¼

rms value of fundamental signal root of sum of square of its harmonicsðupto first five harmonicsÞ

This value is calculated with input at its full scale value.

3.3 Signal-to-noise and distortion ratio The ratio of the RMS signal amplitude to the mean value of the root of sum of square of all other spectral components, including harmonics, but excluding DC is termed signal-to-noise and distortion. It indicates the dynamic performance of an ADC. Effective number of bits (ENOB) can be calculated from the SNR of an N-bit ADC as follows: SINAD ¼ 6:02N þ 176dB SINAD  1:76dB 0EOBðNÞ ¼ 6:02

(10.10)

Relative Amplitude Harmonics at : |kF s±nf m| n=order of harmonics, k=0,1,2,3,….

fm =7MHz Fs=20MHz

3

2 6

4 9

1

Harmonics

2

FIGURE 10.7 Illustration of signal harmonics.

3

8

7

5

4

5

6 7 FREQUENCY I N MHz

8

9

10

206

Chapter 10 ADC and DAC for biomedical application

3.4 Analog bandwidth This is the frequency at which the amplitude of a fundamental frequency spectrum component is reduced by 3 dB from its maximum amplitude.

3.5 Noise factor Noise factor is an import parameter for RF systems and widely used for RF amplifiers, RF receiver design, etc. So, here, let us see how it can be applied to data converters. Fig. 10.8 shows the basic model to find out the noise factor of a system. noise factor ðNFÞ ¼

effective input noise power noise input from source resistance

Here, the noise power square of voltage is used as the system has a good impedance matching property. When the noise factor is expressed in dB scale, it is termed noise factor (NF) ¼ 10log10(F). As shown in the figure, input to ADC is given from a source having impedance R, and the signal is bandlimited to Fs/2 with the help of band pass filter having noise bandwidth ¼ B. Let us assume that the source is a sinusoidal generator whose voltage is given as vðtÞ ¼ Vo sinð2pftÞ The FS power of the signal is given as  2 Vo=pffiffi2

Vo2 R 2R The noise bandwidth is the bandwidth of a filter that passes same noise power as that of a nonideal filter that passes same. So, the noise power bandwidth of any filter is greater than 3 dB bandwidth of a filter by a factor that depends on cutoff regions of the filter. The input SNR is given as   VFS RMS SNR ¼ 20 log10 VNoise RMS PFS ¼

¼

From this equation the input noise signal amplitude is VNoise

FIGURE 10.8 Measurement of noise factor.

RMS ¼ VFS RMS

 10SNR=20

3. Data converter parameters

207

The noise voltage due to source resistance is given as pffiffiffiffiffiffiffiffiffiffiffiffiffiffi Vin Noise ¼ 4kTBR As there exists a perfect impedance matching at the input of the ADC, the input noise to the ADC is given as pffiffiffiffiffiffiffiffiffiffiffi VADC input Noise ¼ kTBR Then the noise factor per definition is given as  2  2  2 VFS RMS  10SNR=20 VNoise VFS RMS 1 1 RMS F¼ ¼ ¼ 10SNR=10 kT B kTRB kTRB R Taking the log of both sides the noise figure is given as NF ¼ 10 log10 F ¼ PFSðdBmÞ þ 174dBm  SNR  10 log10 B

3.6 Aperture time, aperture delay time, and aperture jitter In successive approximation register (SAR) ADC, as shown in Fig. 10.2, the sampling hold circuit quickly disconnects the hold capacitor from the input buffer during sampling, and the time taken to complete this action is called aperture time or sampling aperture, as shown in Fig. 10.9. The figure shows the delay times ignoring the switching transients. Assuming ta ¼ aperture time, tba ¼ buffer delay (propagation delay), and tsw ¼ switching delay, then the effective aperture delay is given as te0 ¼ tsw  tba þ ta =2 So, it can be viewed that the effective aperture delay may be positive or negative when it is more or less than the propagation delay time of a buffer. Whenever there is a variation in aperture delay due to sample to sample variation, as shown in the figure, a voltage error is produced at output. This variation exists when the switch opens, and this is called aperture uncertainty or aperture jitter.

3.7 DAC settling time The input to a DAC is digital data, and output is analog, and the time required to change from digital to analog output that settles down within a specified limit is called setting time, as shown in Fig. 10.10. The setting limit is invariably specified as 1 or ½ LSB (Fig. 10.11). The setting time DAC consists of switching time or dead time, slewing time, the recovery, and the linear settling time. Whenever slew time is less, the settling time depends on output step size.

3.8 Glitch impulse area Sometimes, a DAC output may cross its upper limit or lower limit, which appears as overshoot or undershoot, as shown in Fig. 10.12. This is called glitch. This arises mainly due to capacitive coupling or switching effect. The amount of glitch can be found by measuring the area under the curve called the glitch area.

208

Aperture time, aperture delay, and aperture jitter.

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.9

3. Data converter parameters

FIGURE 10.10 DAC settling time.

FIGURE 10.11 DAC jitter.

FIGURE 10.12 Glitch impulse area.

209

210

Chapter 10 ADC and DAC for biomedical application

4. Data converter architectures [1,5e7] 4.1 DAC architecture

The DAC converts a digital signal into analog signal, and it is shown in Fig. 10.13. Every DAC requires a reference signal, which may be either internal or external. Internal reference signal gives a more accurate value than external reference. Sometimes the reference input has high impedance, so in this case a buffer is used as the input impedance varies with digital input values. DAC output may be voltage or current.

4.1.1 The thermometer DAC (voltage mode) The simplest DAC structure using the thermometer DAC is shown in Fig. 10.14. For an N-bit DAC, there exists 2N equal resistors in series and 2N CMOS switches (shown as simple switches). The appropriate output is chosen depending on the digital input to DAC. If any resistor is accidently short-circuited, then output cannot exceed its maximum value. This type of DAC is linear. The biggest drawback of the thermometer DAC is that it requires a large number of resistors and switches for high resolution. Suppose the reference input is 5 V and digital input is “101,” then output taken from the fifth tap through switch and output is given as 5/8  5 ¼ 3.125 V.

4.1.2 Thermometer (fully decoded) DACs The current mode operation of a DAC called a thermometer (fully decoded) DAC contains 2Ne1 switchable as well as 2N resisters, as shown in Fig. 10.15. The current source is connected to an output terminal, and the switch is controlled by a decoder as shown. The output current is generated based on the combination of switch selected and the reference signal.

FIGURE 10.13 Digital-to-analog converter (A) without buffer and (B) with buffer at reference input.

4. Data converter architectures

211

FIGURE 10.14 Thermometer (Kelvinator) DAC (used in voltage mode).

The circuit is monotonic as any one switch closed during the operation depends only on decoder output. The advantage to using this architecture is for high-density chips, i.e., for handling larger bits is suitable, as not in the case of a Kelvin divider circuit, so it is suitable for high-speed DAC architecture.

4.1.3 R-2R DACs The R-2R resistor ladder DAC is most commonly used, as shown in Fig. 10.16. It uses a resister network in the ratio of 2:1. This circuit works in voltage mode, i.e., output asserted as voltage. The VREF is given at one end of the resister array, and output is taken at another end, as shown Fig. 10.18. Here the output is independent of code, and it has following advantages. In the voltage mode, for the R-2R ladder DAC, shown in the figure, the arms of the ladder are switched between VREF and ground, and the output is taken from the end of the ladder. The output may be taken as a voltage, but the output impedance is independent of code. In this mode of operation, it has some advantages: • • • •

more stable output glitch is less can operate with wide range of VREF gain cannot be varied with resistor in series with VREF

DAC can operate in current when configured as shown in Fig. 10.17. The DAC gain is adjusted through a series resister with VREF. As the output I current, it is converted in voltage by using IeV converter at its output. Due to this, DAC suffers from stabilization.

212

Thermometer DAC used in current mode.

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.15

4. Data converter architectures

213

FIGURE 10.16 R-2R DAC in voltage mode.

Some properties of current mode DAC: • • • •

larger glitch as this is a current ladder network and output is at ground potential, it is used less when bidirectional switches are used, reference signal may be positive or negative the DAC output impedance is R due to this R-2R ladder network

4.1.4 Oversampling interpolating DACs The basic block diagram representation of oversampling DAC is shown in Fig. 10.18. The N-bits received at input at a rate FS may be current or voltage. The frequency spectrum shows that the noise spreads over the entire bandwidth kFS/2 (k > 1), whereas for the signal, only its maximum bandwidth (FS/2). Due to this oversampling, the noise can easily filter out a low-pass or bandpass filter, which can be designed easily. Thus, SNR improves in this case.

4.2 ADC architecture The accuracy of digital output for certain analog input largely depends on the reference input. Let us now see a few of the common ADC architectures. Many ADCs have external reference input, whereas few have internal reference signal, as shown in Fig. 10.19. The ADC sample-and-hold circuit is most commonly used controlled by an external clock signal to initiate conversion. Some ADCs uses a single clock, whereas a few use two clock signals for sampling. Information about this can be obtained from the data sheets of various manufacturers. With the assertion of clock signal, output data may appear in parallel or serial depending on the type of ADC used. SHAR ADC generally uses high STATUS (STS) signal to indicate conversion is taking place and a low value for output data that is valid. In some ADCs, busy, end of conversion (EOC), data ready, etc., are used. Again, details about these signals are obtained from data sheets.

214

R-2R DAC in current mode.

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.17

4. Data converter architectures

FIGURE 10.18 Oversampling DAC.

VDD

VREF

SAMPLING CLOCK DIGITAL OUTPUT

ADC

ANALOG INPUT

Control Signal EOC, DATA READY, etc.

VSS GROUND

(May be connected internally to VSS)

FIGURE 10.19 ADC schematic (A) without and (B) coupled with buffer amplifier.

215

216

Chapter 10 ADC and DAC for biomedical application

When ADCs are used in power-saving mode, it takes some time to recover to original mode, called recovery time. In this case, it requires one or two clock cycles to come back to normal operation, and the data sheet must be referred to before using these modes. When ADC is one mode for saving power, to come out of this state requires a certain time to operate to its fully specified performance, called recovery time. While using this type of ADC, the data sheet may be carefully observed. The signal EOC and data ready (DRDY) indicate end of conversion and data is ready at output of ADCs.

4.2.1 The comparator (1-bit ADC) Fig. 10.20 shows a comparator used as a 1-bit ADC. Whenever input  threshold voltage, the output is “1” or else “0.” But there is no such architecture having one comparator only. The comparators used in ADCs have high gain compared to normal comparators. There may be undue oscillation due to saturation effect of op-amp output, so hysteresis is added to prevent this. This is normally done by using a positive feedback. Due to this, output resolution is not less than the upper and lower limit of the hysteresis for detection of short inputs properly, for which a latch is used at the output of comparator acts as track and hold function as in Fig. 10.21. This makes the ADCs less sensitive to instability due to local feedback.

FIGURE 10.20 Comparator type 1-Bit DAC.

FIGURE 10.21 1-Bit DAC with latch.

4. Data converter architectures

217

Sometimes, when input amplitude is very small, a comparator can decide the output properly, and this is called metastability, which is shown in the figure. For large differential input or zero input, a comparator can decide a valid logic level quickly. But for a small change the comparator takes a longer duration to reach a valid logic level, as depicted in the figure. To avoid this a high gain comparator is used.

4.2.2 Successive approximation ADCs The successive approximation ADC is shown in Fig. 10.22. When CONVERT START is initiated, the sample-and-hold is set in hold mode, and all the bits of the SAR are set to “0,” and MSB only is set to “1.” Whenever output is greater than input, the SAR register is set to “1” or remains at “0.” This process is repeated till all bits are set in SAR. The EOC/DRDY/BUSY signal is initiated to indicate that output is ready to port to the output pins of the ADC. The sample output waveform is shown in Fig. 10.23. When CONV START signal asserts low, conversion starts, and when the BUSY or EOC IS asserts low, this indicates that conversion is finished and output data is valid, as in Fig. 10.23. Then the S/H circuit is put in hold condition with this edge of the signal, and the internal SAR register is set and reset till all bits are obtained. When EOC/DRDY/BUSY signal asserts high, it is understood that data is ready to be put on the output pins of the ADC. SAR ADC requires a highfrequency clock signal, which is typically in the range of 1e30 MHz, primarily determined by the conversion time and ADC resolution. The SAR ADC conversion process starts with a basic algorithm based on a simple mathematics puzzle solution, whose flow chart is shown Fig. 10.24. The foundation of this algorithm trails back to the 1500s where unknown minimal weighted sequences were used to solve a certain puzzle. This problem intends to ascertain the smallest possible number of weights that are required for weighing a whole number value of weight extending to 40 lb using a balance scale. Tartaglia, in 1556, proposed the use of weights of 1 lb, 2 lb, 4 lb, 8 lb, 16 lb, and 32 lb in progression, which is equivalent to the weighing algorithm used in contemporary successive approximation ADCs.

FIGURE 10.22 Basic SAR ADC architecture.

218

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.23 Typical SAR timing diagram.

4.2.3 Dual-slope ADCs For higher resolution ADC, dual-slope mode can be used, as shown in Fig. 10.25. Integrator is an essential part of dual-slope ADC to which input is applied, and simultaneously a counter is initiated that counts the pulses. After a fixed time-interval (T), the reference voltage is applied to the integrator. At that moment the accumulated charge gives an average value of input in time period T. With opposite polarity of reference signal, it gives a ramp signal of slope of VREF/RC, and the counter again starts counting from 0, as shown in Fig. 10.26. The counter is stopped when integrator output is 0, and the total circuit is brought to reset. With this the counter output gives the digital value of the input signal. Here the accuracy is independent of clock and capacitor. In addition to the gain errors, the errors contrived from the bias currents and the offset voltages of the integrating amplifier and comparator can be negated by the use of additional charge/discharge cycles to estimate the “zero” and “full-scale” readings while employing the same results for digital correction of the initial measurement.

4.2.4 Pipelined ADCs In any application when higher sampling rates are needed, pipeline ADC is used, as shown in the figure. In this the total output data is divided equally into two parts, and each output is achieved by SAR ADC. This type of architecture is called subranging architecture, and a 6-bit ADC using subranging is shown in Fig. 10.27. First stage output of an ADC (N1-3 bit) is MSB, which is converted back into analog, which is fed to the second stage through a comparator, and this output is a “residue” signal. The second ADC (N2) then gives 3-bit LSB values with this residue signal. Now the combination of MSB and LSB 3 bits each gives 6-bit output, as shown in Fig. 10.28. Whenever the residue input does not exceed input to the second stage, there is no missing code; otherwise there will be missing code, as shown in Fig. 10.30. Under missing code the output is shown in Fig. 10.29.

4. Data converter architectures

FIGURE 10.24 SAR algorithm.

219

220

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.25 Dual-slope ADC.

FIGURE 10.26 Dual-slope ADC integrator output waveform.

FIGURE 10.27 Pipeline ADC using subranging architecture.

FIGURE 10.28 Residue waveform at input of second-stage SADC.

FIGURE 10.29 Quantization steps in case of missing code.

222

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.30 6-bit subranging error-corrected ADC.

Whenever the digital output exceeds 8 bit, this architecture cannot be used. Instead an error correcting method is applied to increase the resolution, as shown in Fig. 10.30, which uses error correcting for 6-bit resolution. In this second stage, ADC has a resolution 1 bit more than the first stage. Whenever a residue signal appears, “001” is added to MSB and MSB of the second-stage output, i.e., offset of “001” is applied., so the output will be incremented by 1 or unmodified depending on the MSB bit of second stage.

4.2.5 Sigma-delta (S  D) ADCs All discussed earlier are of low-resolution type. When a higher resolution is required, i.e., more than 12 bit, a sigma-delta ADC (S  D) is used. This sigma-delta architecture uses delta modulation with PCM encoding scheme. A simple sigma-delta ADC is shown in Fig. 10.31. This architecture uses oversampling (sampling frequency >¼kFs/2, k ¼ 2,3, ..) for sampling the input signal, where Fs is the Nyquist rate. From the spectrum in the figure, it can be seen that the input signal spreads over the bandwidth from DC to Fs/2 (Fig. 10.31A), and the noise signal spreads over bandwidth beyond Fs/2 (Fig. 10.31B). This can be easily removed by a low-pass filter or bandpass filter whose design is very easy to using oversampling (Fig. 10.31C). A basic first-order sigma-delta ADC is shown in Fig. 10.32. It uses an s1 bit DAC that acts as switch, so linearity in this type architecture is more. The modulator gives a 1-bit data stream, and due to negative feedback, output of DAC is always equal to VIN. whenever VIN is zero, output contains an equal number of “1s” and “0s.” As input becomes more positive, the number of “1s” increases rather than “0s” and vice versa. Thus, the total no of “1s” is proportional to the DC input. A low-pass filter and high-pass filter are used for noise shaping of signal and quantization noise, respectively. Optimizing the digital filter makes architecture for suitable line frequency (50 Hz/60 Hz) application. As it increases the delay, while designing such architecture, other applications like servo application should be taken care of. Also due to delay, sigma-delta ADC does not operate in burst mode. Though sigma-delta ADC is highly linear, it does not provide sufficient noise shaping for higher resolution. This can be improved by using a greater number of integrators, as in Fig. 10.33,

5. ADC application in biomedical electronics

223

FIGURE 10.31 Sigma-delta ADC with spectrum.

but increases the complexity of the design. Another method is to use higher modulator with multibit architecture in which 1-bit DAC is replaced with N-bit Flash converters and N-bit DACs. Sigma-delta ADC offers excellent rejection of noise at powerline frequencies.

5. ADC application in biomedical electronics Over the last decade, there has been a huge boom in the field of healthcare and medical diagnosis systems and methodology. Electronics has become an integral part of treatment and caregiving, especially the wearable and implantable biomedical devices. These bioelectronic products are mostly battery driven, characterized by ultra-low power consumption to facilitate portability, light weight, prolonged battery life, and flexibility. For these biomedical devices, conversion of the biologic (analog) signals to electrical signals for processing is the most crucial part, so ADCs and DACs play a pivotal role in their design. The wireless sensing devices can be used for recording and tracking of bio-potential signals like electrocardiogram (ECG) of the heart, electroencephalography (EEG) for brain, and electromyography (EMG) for motor neurons. The block diagram for a quintessential wearable data acquisition interface is given in Fig. 10.34. The fundamental blocks that are inherent to such sensor-based systems are the ADC, the power supply unit, and the analog front end unit. The SAR ADC is a distinctive choice for biomedical applications. This is because a majority of the bio-potential signals have a low frequency range and also exhibit limited dynamic range [9], which is

224

Chapter 10 ADC and DAC for biomedical application

FIGURE 10.32 First-order sigma-delta ADC.

qualitatively illustrated in Fig. 10.35. For acquisition and conversion of such signals the low power footprint of SAR ADCs is very convenient for designing a robust sensor interface with suitable tradeoff between power and speed (in terms of sampling rate) [10]. Here we introduce a 10-bit ultra-low-power SAR ADC that is typically befitting for biosignal acquisition in healthcare electronic diagnostic systems. The schematic implementation of this particular ADC is shown in Fig. 10.36 [8,11]. This design employs a split capacitive array in place of DAC to reduce input loading effect and area complexity. The fundamental integrants of the SAR ADC are as follows: (1) control logic (2) comparator (3) capacitor array

FIGURE 10.33 Second-order sigma-delta ADC with noise spectrum.

FIGURE 10.34 A typical biomedical sensor interface [8].

FIGURE 10.35 Voltage-frequency characterization for ECG, EOG, EMG, and EEG [10].

FIGURE 10.36 Circuit for SAR ADC [8,11].

226

Chapter 10 ADC and DAC for biomedical application

The details of the individual blocks depend on the desired performance parameters required for a particular implementation, Since the design presented here serves to provide a basic idea in SAR ADC design for biosignal acquisition the details of the individual blocks are left as a design assignment for the readers. However, certain pointers are provided to enable recognition of the key design elements that need to be considered. • The control logic for DAC utilizes the synchronous SAR logic, which consists of a ring counter and a code register to sample the input data (shown in Fig. 10.37). To reduce the dynamic power consumption, transmission gate-based D-flip flops can be used, while the multiple threshold CMOS approach in the critical path can be used to lower the leakage power. • The preferred choice for a low-power comparator should be a dynamic two-stage one where the first stage serves as an amplifier and the second stage is a latch. Performance parameters: (a) (b) (c) (d) (e)

sampling rate: 1 kS/s SNR: 54.88 dB power consumed: 14.31 nW FoM: 31.88 fJ/conversion-step technology node: 180 nm CMOS, VDD ¼ 1 V

The aforementioned parameters just offer a qualitative idea about the system performance and are provisional. They can be subjected to change when implemented using a different technology library and simulation conditions. These are just indicative of the metrics that a designer should consider while devising such systems. Additionally, this same system can be modified into a self-sustainable ECG signal acquisition system by modifying it to have an RF energy harvesting interface circuitry along with the consistent building blocks [12]. This is shown in Fig. 10.38.

FIGURE 10.37 Synchronous SAR logic [8].

References

227

FIGURE 10.38 Architecture of an energy-harvesting ECG data acquisition system [12].

6. Conclusion This chapter is dedicated to making the audience aware of the momentous role of ADCs and DACs in the present scenario of the electronic industry with special focus on the biomedical and healthcare electronics. For any sort of battery-powered implantable or wearable devices the ADCs serve as the primary interface connecting the signals and data from the analog to the digital arena. In simple words, it can be said that ADCs and DACs are the heart of any biomedical data sensing device or system. Among the array of ADCs presented the SAR ADC has paramount application in the biomedical domain, as it consumes much less power in comparison to the flash, pipelined, or other ADC architectures. In general, the SAR ADC with medium-to-high resolution having an average sampling rate of five mega samples/sec would suffice most biomedical applications. The main motive of this chapter is to develop an essence of the practical usage of ADCs and DACs in biomedical consumables like pacemakers, portable ECGs, bio-capsules, etc.

References [1] [2] [3] [4]

Analog Devices Inc, Data Conversion Handbook, Elsevier, 2005. Measurement Computing Corporation, Data Acquisition Handbook, 2004. Freescale Semiconductor, Inc., AN2438 -Application Notes on ADC Definitions and Specifications, 2003. Freescale Semiconductor, Inc., AN5250-Application Note How to Increase the Analog-to-Digital Converter Accuracy in an Application, 2016. [5] Analog Dialogue, Which ADC Architecture Is Right for Your Application?, 2005. [6] Maxim Integrated, Guide to Understanding Successive Approximation Registers (SAR) and Flash ADCs, 2020.

228

Chapter 10 ADC and DAC for biomedical application

[7] Maxim Integrated, The ABCs of Analog to Digital Converters: How ADC Errors Affect System Performance, 2020. [8] K. Yadav, Energy Aware Ultra-Low Power SAR ADC in 180nm CMOS for Biomedical Application. Indian Institute of Technology, Hyderabad, Dept. of Electrical Engineering, M.Tech Thesis-IITH Dspace. [9] D. Zhang, Design of Ultra-Low-Power Analog-To-Digital Converters, Linko¨ping Studies in Science and Technology, 2012. Thesis, No. 1548. [10] D. Zhang, A. Bhide, A. Alvandpour, A 53-nW 9.1-ENOB 1-kS/s SAR ADC in 0.13m CMOS for medical implant devices, IEEE J. Solid State Circ. 47 (7) (July 2012) 1585e1593, https://doi.org/10.1109/ JSSC.2012.2191209. [11] K. Yadav, P. Patra, A. Dutta, A 43-nW 10-bit 1-kS/s SAR ADC in 180nm CMOS for biomedical applications, in: IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia), 2015, https://doi.org/10.1109/primeasia.2015.7450463. [12] P. Patra, K. Yadav, N. Vamsi, A. Dutta, A 343nW biomedical signal acquisition system powered by energy efficient (62.8%) power aware RF energy harvesting circuit, in: IEEE International Symposium on Circuits and Systems (ISCAS), 2016, https://doi.org/10.1109/iscas.2016.7527548.

CHAPTER

A low-power reconfigurable ADC for bioimpedance monitoring system

11

P. Rama Krishna1, 2, Kakarla Hari Kishore1, M. Swathi3, 4 1

Department of Electronics and Communication Engineering, Koneru Lakshmaiah Education Foundation, Guntur, India; 2Anurag Group of Institutions, Hyderabad, India; 3Department of Electronics and Communication Engineering, Vignana Bharathi Institute of Technology, Hyderabad, India; 4CMR Institute of Technology, Hyderabad, Telangana, India

Pipe line analog to digital converter 1. Introduction The topologies of analog-to-digital converter (ADC) are examined, and configurability implementation and low power designs are explained. The delta-sigma converters had not been selected because of their lack of high speed and precision operation. High precision is required for this particular operation. There is an option from Successive Approximation Register to the pipeline. The architecture of the SAR is an attractive option on the surface. Generally, the smallest area is needed and the lowest power is required. However, advanced MOS (Metal Oxide Semiconductor) technology makes the operation of SAR converters easy in 10 mega samples per second. Normally, the pipelined ADC needs more power [1e5] and area than a SAR ADC, but they are efficient at higher sampling rates. The rest of this chapter explains the reliability criteria for assessing data converters, revises the modern pipeline architecture, and addresses some of the main subcircuits of the conversion pipeline. This section deals with some specific terms, related to data converters, comprising errors, static and dynamic performance metrics.

1.1 Static performance 1.1.1 Least significant bit Per notation of writing in binary system, bits written in the right are referred to as right most or low order bits. The ADC uses a voltage reference to translate an analog signal into digital output code. The ADC divides the voltage references into smaller levels called counts according to the number of bits. For instance, if the 8-bit ADC is presented, the counts will look those in Fig. 11.1 For an 8-bit ADC, the count will be equal to 256. Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00013-7 Copyright © 2021 Elsevier Inc. All rights reserved.

229

230

Chapter 11 A low-power reconfigurable ADC

FIGURE 11.1 No of counts for 8-bit ADC.

One count is referred to as one least significant bit (LSB), and it is defined as Vref 2N Here, the total number of bits presented in an ADC is N. The full scale of ADC is calculated by using the following formula: LSB ¼

FS ¼ Vref  1$LSB By substituting the value of LSB, we get FS: FS ¼ Vref $

2N  1 2N

1.1.2 Static error Errors that could alter the accuracy of an ADC when a DC signal is added to it are called static errors. The four errors, offset errors, gain errors, integral nonlinearity, and differential nonlinearity, can be summarized.

1.1.3 Offset error The point of offset is the intermediate step value when there is zero digital output. These errors impact all codes with the same quantity, which typically can be corrected by software.

1.1.4 Gain error When the offset error has been eliminated, the slope of actual and ideal transfer function mapping is indicated by gain error. Fig. 11.2 displays the errors for gain and offset.

Pipe line analog to digital converter

Ideal Bout

Gain error

11

10

01

00 Offset error

Vin/Vfs 1/4

1/2

3/4

FIGURE 11.2 Gain and offset error.

FFF

7FF

INL

000 0

FIGURE 11.3 Integral nonlinearity.

Vref

Vin

231

232

Chapter 11 A low-power reconfigurable ADC

1.1.5 Integral nonlinearity Integrated nonlinearity (INL) is the divergence from the optimal transmission function of the ADC output. The INL is calculated at all code transitions by calculating the magnitude and comparing it with the magnitudes for ideal transitions. The difference from the optimal voltage to the actual voltage is the INL defect. An INL error is an aggregation of all DNL errors in the previous ADC code at any given point in an ADC transference function. The INL of approximately 0.5 LSB would provide a suitable ADC. Fig. 11.3 indicates the INL change as the standard straight line.

1.1.6 Differential nonlinearity Note that in an optimal converter, to illuminate differential nonlinearity the analog phases are equivalent to one LSB. Differential nonlinearity (DNL) is defined as the change in the analog step size i.e., differs from one LSB, usually following the removal of offset and gaining errors. The code is missing if a digital output code does not appear during a full voltage swap in the transfer characteristics. If the average DNL error is below 1 LSB, an ADC shall be confirmed to have no missed codes, as shown in Fig. 11.4.

1.2 Dynamic performance 1.2.1 S/N ratio A comparison of the input power of RMS (root mean square) with the output power of the RMS is the signal-to-noise ratio (SNR). It shows how weak it is to transform a signal. Suppose the VIN is a sipffiffi, which correnusoidal signal at intervals 0 to VREF. The sinusoidal wave AC power is therefore Vref 2 2 sponds to the following [6]:

FFF 804 803 802 801 800 7FF 7FE

DNL

000

Vin

FIGURE 11.4 Differential nonlinearity.

2. Pipelined ADC architecture

V inðRMSÞ SNR ¼ 20 log V QðRMSÞ

233

!

0Vref 1 pffiffiffi 2 2A @ ¼ 20 log V LSB pffiffiffiffiffi 12 rffiffiffi ! 3 N 2 SNR ¼ 6:02N þ 1:76dB ¼ 20 log 2

1.2.2 SNDR The signal-to-noise distortion ratio (SNDR) is very near to the S/N ratio, excluding harmonics. So, SNDR is defined as the relation of RMS input power to distortion power to the RMS noise. It is defined as shown: SNDR ¼

Psignal þ Pnoise þ Pdistortion Pnoise þ Pdistortion

SNDR is an excellent indicator of an ADC’s overall dynamic output since it contains all components that constitute noise and distortions.

1.2.3 Effective number of bits SNDR is frequently converted to an effective number of bits (ENOB), as it represents the performance of a converter in a more physical way. Ideally, a 2N signal level will be sufficient for an N-bit converter from an ADC. ENOB defines the resolution of an optimal converter with all of its noise and vibration, which is the same resolution as the real converter. The equation [9] can be used to calculate ENOB. ENOB ¼

SINAD  1:76 6:02

1.2.4 Spurious-free dynamic range The spurious-free dynamic range (SFDR) is the connection between the RMS principal signal force and the intensity of the most grounded misleading recurrence at the yield. A prod is a piece of symphonious bending. SFDR is also one of the main parameter because it represents the least signal that can be discernable from a huge interfering signal.

1.2.5 Dynamic range The converter’s dynamic range is defined as the RMS values ratio between the maximum amplitude input sinusoidal and RMS output noises plus distortions determined by the same sinusoid at the output.

234

Chapter 11 A low-power reconfigurable ADC

2. Pipelined ADC architecture Chapter 2 already defined the overall pipeline architecture. This section provides the pipelined converter mathematical analysis and describes several of the main subcircuits in detail. Fig. 11.5 displays a functional block diagram of a pipeline converter.

2.1 S/H circuit The S/H circuit is an electrical circuit that generates the input voltage samples and retains certain samples for the necessary duration. The sample and hold block consists of a transmission gate and a capacitor. Here the capacitor is used to store and hold the electrical charge, and the transmission gate is used as the switching element. A beneficial input is applied to the transmission gate; then it is turned to ON and functions as a closed switch. The capacitors then feed the analog signal applied to the drain terminal. The capacitor is charged to its peak value. If we apply a low input for the transmission, it goes to the state of OFF and functions as an open circuit.

2.1.1 Sampling time The time input signal amplitude is generated and called sampling.

2.1.2 Holding time When the pulse is ON, the cap charges to its peak value. Fig. 11.6 shows the circuit diagram of a sample and hold circuit. Here, one more transmission gate is added in parallel.

FIGURE 11.5 Block diagram of pipelined ADC.

2. Pipelined ADC architecture

235

FIGURE 11.6 Circuit for sample and hold.

2.2 Comparator An operational amplifier in an open loop state acts as a comparator (detector). In electronics, a device that indicates the higher value after comparison of voltage or current in the form of digital signal is known as a comparator. For comparable and mixed-signal architectures, the comparator is an essential connection. As an indispensable block for deciding on the pipeline data, the converter system uses a comparator. Comparator is designed by utilizing the two-stage operational amplifier. Fig. 11.7 shows the schematic of the model comparator. The sine wave input to VIN and the DC reference voltage to the VREF pin have been checked to account for this comparator. If the VIN signal value is higher than that of the VREF, it has high output; where the VIN is smaller than VREF, it is low. The simulation results of the comparator are discussed in Chapter 6.

+Vcc Analog input

-

Vin

Vout Vref

+ -Vcc

FIGURE 11.7 Diagram for comparator.

236

Chapter 11 A low-power reconfigurable ADC

In pipelined ADC the comparator is used to compare the sample and hold output with the reference voltage and to give an appropriate output.

2.3 Transmission gate 2.3.1 Structure of transmission gate In general, a transmission gate consists of two field-effect transistors where the substrate terminal (bulk) is not directly attached to the source terminal, unlike conventional isolated field-effect transistors. All transistors, a MOSFET n-channel, and a MOSFET p-channel are connected in parallel, but only the transistor’s two drain and source terminals are connected. Their gates are connected by a NOT gate (inverter) to form a control terminal.

2.3.2 Working The transmission gate acts as a switch. Due to this property, we can use it in more applications. It can be constructed by using PMOS and NMOS transistors. When a high input signal passes through the circuit, it acts as a closed switch, and output consists of a replica of input. When a low input is applied to the circuit, it acts as an open switch. In this circuit the comparator output is passed to the transmission gate, and it is connected or disconnected to the subtractor depending on the switch signal. Fig. 11.8 shows the transmission gate circuit diagram.

2.4 Subtractor A differential amplifier is a subtractor, unlike a summing amplifier, which combines input voltages, thereby amplifying the difference between two voltages. This kind of operating amplifier is also referred to as a type of differential amplifier, as seen subsequently.

2.4.1 Working There is a subtraction of the two separate voltages. Fig. 11.9 displays the diagram of the Complementary Metal Oxide Semiconductor-level of the operating amplifier subtractor circuit. The subtractor

FIGURE 11.8 Circuit for transmission gate.

2. Pipelined ADC architecture

237

R3

in1

R0 -

out in2 + R1

R2

FIGURE 11.9 Circuit diagram for subtractor.

device is related to resistor aid. The subtractor is constructed by a four-resistor amplifier that has the same weight. The output of the op-amp is connected by the feedback resistor to the inverting supply of the op-amp and is greater than V1. In pipelined ADC S/H output signal is fed to the subtractor switches circuit. Noninverting terminal of the op-amp is connected to the output of the sample and hold circuit and switch circuit output. Then the subtractor subtracts the output of the sample and holds the output of the transmission gate. The simplified equation of the circuit is as follows: R3 ðV2  V1Þ R0 When the ohms of all resistors are the same, this means R0 ¼ R1 ¼ R2 ¼ R3, so the circuit will become an amplifier with a unity gain, and the voltage gain of the amplifier is either 1 or unity. The resulting voltage level will be negative when the input V1 is greater than V2, and the output voltage value will be positive if V1 is lower than V1. Vout ¼

238

Chapter 11 A low-power reconfigurable ADC

-

out in2 +

R1

R2

FIGURE 11.10 Circuit for residue amplifier.

2.5 Residue amplifier The residue amplifier is one of the key blocks of pipelined ADC. These residue amplifier [6] blocks are then connected in series to form a pipeline [7]. Fig. 11.10 shows a schematic view of the residue amplifier. It is designed using an operational amplifier with two resistors. A sinusoidal signal is applied to the noninverting terminal of the op-amp, and the other terminal is fixed between two resistors. In this ADC, the circuit receives the signal from the subtractor circuit. The residue amplifier output is connected to the next stage of the pipelined ADC, and this process is continued for the last stage of the ADC.

3. Automatic adaptation unit This section portrays the inspecting rate and goal plans. The likely disservice of the goal reconfiguration plan is dissected and the issue is explained. The programmed modification of the pipelined converter is additionally included.

3. Automatic adaptation unit

239

3.1 Sampling speed configuration There are three approaches of sampling speed (rate) configuration that include adaptive distortions, current CMPS (current modulated power scaling), and cross-stage maneuvering. The ADC uses evolutionary discrimination in this work. Although CMPS has proved to be an effective way to increase power efficiency, the system adds considerable complexity and area penalties. Since one of the objectives of this research is not only to reduce energy consumption but also to mitigate CMPS, smaller areas mean lower prices, making the solution more appealing.

3.2 Reconfigurable amplifiers As the bias current changes, amplifiers must also be modified to keep proper functionality. Furthermore, because the weak inversion is not stopped, it becomes safer to model a reconfigurable amplifier. To maintain the transistors in the desired area of operation the aspect ratios of the transistors should be adjusted in the center of the transistor if the subthreshold region is avoided. Since that is not the case, instead, it is possible to adjust the biasing circuit to produce the correct voltages, so the transistors work in a subthreshold area. This is useful in light of the fact that the fundamental amplifier transistors will change the signal way by including interference and noise. In any case, extra switches may prompt undesirable voltage falls, and to keep away from this, the switches ought to be expanded. These enormous parasite capacitors could additionally restrain the effectively moderate transistor speed.

3.3 Resolution configuration Contingent upon the adequacy of the information signal, the converter can be designed for an 8-piece or 12-piece mode. Smaller amplitude signals need to be differentiated with higher accuracy, so the 12bit mode is chosen for 200 mV or fewer input amplitudes. On the other side, it is easier to distinguish bigger amplitude signals, thus selecting an 8-bit mode for amplitude higher than 200 mV. To configure the resolution for a pipelined ADC, turning off the end phases is shown in Fig. 11.11.

3.4 Power in pipelined stages Also, the later phases of an ADC pipeline utilize considerably less than in the past advances since greater unwavering quality is required for past stages. For instance, the 10-piece Sample and Hold Amplifier of an ADC pipeline needs 10-piece exactness. Another approach to state this is SHA is allowed 0.1% error. The following stage requires 9-piece exactness or an error of 0.2%. This error incorporates all non-idealities, for example limited addition, slewing, charge injection, noise, interference, and so forth. Extra current is utilized to build the transmission capacity and slew level and reduce noise impact to achieve high precision in earlier phases. During later stages, the current is increased to save power, as the need for reliability is reduced. It results in greater power output by using the initial phases for the resolution specification.

3.5 Automatic adaptation To acquire all helpful data about a target sample, bioimpedance measurements [8] should be taken over a wide spectrum. A broad recurrence scope of flows should, in this manner, be utilized to energize the

240

Chapter 11 A low-power reconfigurable ADC

Sensor

Pipeline ADC

Aanlog input

switch

b0

AutoAdaption Unit

St 1

b1 Clk1

St 2

St 3

St 12

Digital Output

switch

Clk2

FIGURE 11.11 Reconfigurable ADC

objective biosample, and the voltage drop can vary essentially over the range dependent on the current worth and the impedance of the objective example. To be expected for such a plan, a force effective simple to computerized converter must not exclusively have the option to change its inspecting speed and goal, yet it should likewise have the option to choose quickly and continually which arrangement to work in to oblige the simple information signal. A low-power, low-unpredictability programmed adjustment system has been created for this purpose. The automatic adaptation unit block diagram is displayed in Fig. 11.12. The ADC will be configured in fast mode with more than 50 kHz; otherwise, it will operate in slow mode. The converter is programmed in 12-bit mode by input signals less than 50 mV; otherwise, it converts to a resolution of 8 bits. The block diagram consists of two preamplifiers, Schmitt trigger, frequency-to-voltage converter, peak detector, and two comparators.

3.5.1 Preamplifier Preamplifiers increase the strength of a weak excitation signal. A charge-sensitive preamplifier is used in this project. For most energy spectroscopic applications, such preamplifiers are favored. The preamplifier output voltage has an amplitude Vo and a decay time tf, which are calculated according to the equation.   QD Vo ¼ Cf Where QD is a detector input charge, and Cf is the feedback capacitor. Rf is rendered as wide as possible by a directly coupled device, together with the power signal of the sensor and the leakage current of the detector. The preamp pack is kept small, to allow the detector’s mounting to be as close as possible, thereby reducing the efficiency induced by cabling and the reduction of microphonic noise, ground loops, and frequency pick-up, as shown in Fig. 11.13.

3. Automatic adaptation unit

241

+ -

+ -

Vin

Ratecntrl

Vref-A Frequency - voltage Converter

Schmitt trigger

q0 Cmp-1

q1

Peak Detector Vref-B

Ras. cntrl

Cmp-2

FIGURE 11.12 Automatic adaptation unit block diagram of the proposed pipeline ADC.

R1

Analog signal

+

+

Rf

Cf

FIGURE 11.13 Circuit diagram of preamplifier.

Such input capacitance must be far greater than other capacities connected to the preamplifier input (like detector or control cables). A small amplitude (15uV to 2mV) of the input signal is applied to the preamplifier circuit. After the operation of the preamplifier, we get an increased amplitude signal.

3.5.2 Schmitt trigger Schmitt trigger is a comparator circuit with positive feedback, so it is also called a regenerative comparator, as shown in Fig. 11.14. Instead of opposing it, the usage of positive voltage feedback supports input voltage feedback rather than negative feedback. Use a reusable circuit, the problems in a

242

Chapter 11 A low-power reconfigurable ADC

+V -

Vin

Vout +

R1

-V

R2

FIGURE 11.14 Circuit diagram of Schmitt trigger.

zero-crossing detector system are eliminated by low frequency and input noise voltages. Therefore, a squaring circuit may also be named. The input voltage Vin adjusts the output state Vout. When op-amp is positively saturated, a positive voltage is fed back to the noninverting input, and this positive voltage holds the output in HIGH (þVsat) state. When the output voltage is negatively saturated, a negative voltage is a fed back to the noninverting input, holding the output in LOW (VIN) state [9]. In this circuit, the amplified signal is passed through the Schmitt trigger, and it is converted into a square wave.

3.5.3 Upper threshold voltage The amplitude of input signal at which the output of a Schmitt trigger changes from þVsat to Vsat is called the upper threshold voltage (VUTP). VUTP ¼ ðR2 = R1 þ R2 Þ  ðþVsatÞ

3.5.4 Lower threshold voltage The amplitude of input signal at which the output of a Schmitt trigger changes from Vsat to þVsat is called the lower threshold voltage (VLTP). VLTP ¼ ðR2 = R1 þ R2 Þ  ðVsatÞ

3.5.5 Hysteresis voltage The difference between upper and lower threshold voltages is considered hysteresis voltage.

3. Automatic adaptation unit

243

FIGURE 11.15 Transfer characteristics.

Vhys ¼ VUTP  VLTP     ¼ ðR2=R1 þ R2Þ  ðþVsatÞ  ðR2=R1 þ R2Þ  ðVsatÞ ¼ 2bVsat

3.5.6 Transfer characteristics The transfer characteristics of a Schmitt trigger circuit are shown in Fig. 11.15. The hysteresis loop can be shifted to either side zero point by connecting a voltage source, VR, of Schmitt trigger circuit, as shown in Fig. 11.16.

3.6 Frequency-to-voltage converter (FVC) The FVC is the fundamental square of the adjustment unit. As the name implies, to produce the testing rate control bit, it interprets the info signal recurrence into a DC voltage [10,11]. Fig. 11.17 shows the schematic of an FVC [8]. The circuit activity can be isolated into three states. M2, M3, and M4 are off and P1 is on, since this current likes IB charges C1. The heap amassed corresponds to a large portion of Vin Vout

Vout +Vsat Vin

R2

R1

VR

FIGURE 11.16 Voltage source connected to Schmitt trigger.

-Vsat

244

Chapter 11 A low-power reconfigurable ADC

Vdd

lc

Mn1

Mp1

in

Logic Block

v1

v2

Mn3 Output Mn2

C1

R

C2

VSS

FIGURE 11.17 Frequency to voltage converter.

the info wave period. At the point when M3 and M4 are turned on, in the following state, P1 and M2 are turned off, and the charge put away on C1 is moved to C2. P1, M3, and M4 are turned off in the last state, and M2 is turned on, so C1 is discharged to the ground and C2 holds its accumulation as detached. The viewpoint proportion of transistor M2 is diminished to make the circuit reasonable for biomedical applications, so C1 does not release excessively fast at low frequencies. In the event that the recurrence of the information signal is consistent, the aforementioned advances will be rehashed occasionally, and the heap put away on C2 will arrive at a steady state after a couple of periods. This charge relates straightforwardly to the C1 procured charge, when P1 is on. In the event that the information signal is a balanced wave with half obligation cycle, the equation for Vout can be communicated as far as the recurrence and blade, straightforwardly: Vout ¼

IB 2f in C1

If IB is biasing current, fin is the input signal frequency. From the formula of Vout, it is clear that the converter is restricted to how broad it can discern a frequency range. For different frequencies the values of FVC circuit are shown in Table 11.1.

4. DTMOS logic

245

Table 11.1 FVC output for different frequencies. Input frequency (Hz)

FVC output (mV)

10 K 50 K 100 K 1M

756.5 735.75 731 647

3.6.1 Logic block Th logic block generates the triggering pulses for charging and discharging of the capacitor, as shown in Fig. 11.18. The main function of the logic block is to produce the two narrow pulses P1 and P2 with the same frequency as that of input signal. These two pulses control the Mn2 and Mn3 transistors. It is for the most part made out of a blend of two AND entryways and nine inverters. The reason for inverter I1 and I2 is to make a brief pause to certification that the yield signal P1 will not ascend until after the information signal VIN arrives at its high rationale level. The delivered signal is then modified and deferred when relating to the postponement of the inverters 13e15. The AND gate takes the signal VIN and the signal q4 and produces the output signal q3. Delay has been adjusted, so P2 goes high when P1 goes low [12].

4. DTMOS logic From the previous years, because of the broad development of market for convenient gadgets, for example, phones, versatile PCs, other low power applications, and furthermore the plan of simple circuits that require low power, low voltage with best has become a significant issue today. One of the

FIGURE 11.18 Logic block controller.

246

Chapter 11 A low-power reconfigurable ADC

restrictions for usage of compact gadgets and structure of other low power circuits at low voltage is the limit voltage (Vth). Therefore, decrease of limit voltage is fundamental for low-control, low-voltage activity. DTMOS (Dynamic Thresholed Metal Oxide Semiconductor) procedure [13] is the best answer for decrease of limit voltage (Vth). Along these lines, a successful technique for lessening power utilization is to diminish the power supply voltage (Vdd). So the decrease of intensity supply voltage (Vdd) relies upon one of the factors, which is limit voltage. So one of the conceivable arrangements is to execute CMOS transistors with dynamic Vth, which is the fundamental thought behind DTMOS procedure. DTMOS transistor offers high resistance when it is in “off” condition to limit the leakage current, just as it carries on as a low resistive device in “on” condition, also it offers at lower supply voltages it has high current driving ability. This is one of the elements that makes DTMOS method generally appropriate for low-voltage, low power applications. In powerful limit CMOS (DTMOS), the edge voltage is adjusted progressively to suit the working condition of the circuit, as shown in Fig. 11.19. Here the NMOS (N-Channel Metal Oxide Semiconductor) and PMOS (P-Channel Metal Oxide Semiconductor) transistor body is powerfully one-sided. Adequate body biasing voltage to both PMOS and NMOS transistors is given by the potential dividers (pd) that are associated with the contribution of the inverter. The DTMOS technique reduces the transistor off-state leakage current as well as reduces the threshold voltage during on-state (VBS > 0). After fabrication, the threshold voltage (VTH) of transistors can be modulated by changing the body-to-source voltage. In bulk MOSFETs, the øVTH is given by the following: pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffi  V th ¼ V th þ g j2f  V BS j  j2f Where VDD pd

out

Vin

pd

FIGURE 11.19 DTMOS circuit.

4. DTMOS logic

FIGURE 11.20 Sample and hold.

FIGURE 11.21 Comparator.

247

248

Chapter 11 A low-power reconfigurable ADC

FIGURE 11.22 Subtractor.

Vth is the threshold voltage; Vth0 is threshold voltage for VBS ¼ 0; g is the body effect factor that ranges from 0.3 to 0.4; f is the Fermi potential with a typical value in the range of 0.3e0.4V.

5. Simulation results of the designed circuitry Figs. 11.20e11.27 show the transient responses of each internal circuit in reconfigurable ADC, and the remaining Figs. 11.28e11.30 are performance evaluations.

6. Performance Table 11.2 shows the performance in different parameters of the proposed ADC. The SNR and SFDR of the proposed converter are 72.3 and 82.2 dB in 8-bit mode and 71.06 and 81.85 dB in 12-bit mode, as shown in the figures.

6. Performance

FIGURE 11.23 Residue amplifier.

FIGURE 11.24 Preamplifier.

249

250

Chapter 11 A low-power reconfigurable ADC

FIGURE 11.25 Schmitt trigger.

7. Conclusion A low power reconfigurable ADC and DAC is introduced in this work. Low power consumption is achieved by applying DTMOS logic and a few transistors are one-sided in subthreshold logic just as arranging the ADC to work in a proper mode as for goals and testing rate for a given information. The ADC incorporates an adjustment unit, which is called adaptation unit, that is coordinated with plan. The extra power utilization and region of the adjustment unit and switching system are of little outcome as they permit a bioimpedance checking framework to show an impedance over a wide recurrence extends with expanded force productivity. The converter can yield either 8 or 12 cycle computerized codes at an inspecting pace of 100 kS/s or 100 MS/s. In 8-cycle mode, the converter has integral nonlinearity and differential nonlinearity of 0.01 and 0.5LSB respectively. In 12-bit mode, the converter has a maximum INL and DNL of 0.3 and 0.60 LSB, respectively.

References

FIGURE 11.26 FVC.

FIGURE 11.27 AAU.

251

252

Chapter 11 A low-power reconfigurable ADC

FIGURE 11.28 SNR plot.

FIGURE 11.29 SINAD plot.

References

253

FIGURE 11.30 SNR plot.

Table 11.2 Performance in different parameters. Parameter

This work

Technology Supply voltage Sampling rate Resolution SINAD (dB) SFDR (dB) SNR (dB)

180 nm 1V 10 ks/se1 Gs/s 8/12 72.3/71.06 82.2/81.85 72.3/71.06

References [1] J. Haze, R. Vrba. The New Low Power 10-bit Pipelined ADC Using Novel Background Calibration Technique, 2005. [2] J.-S. Chiang, M.-D. Chiang. The Design of a 1.5V, 10-Bit, 10M sampleds Low Power Pipelined Analog-ToDigital Converter, 2000. [3] T. Randall, I. Mahbub, S.K. Islam, A low power auto-reconfigurable pipelined ADC for implantable biomedical applications, in: Proc. of IEEE Sensors, 2013, pp. 1e4. [4] E. Alpman, H. Lakdawala, L.R. Carley, K. Soumyanath, A 1.1V 50mW 2.5GS/s 7b Time-Interleaved C-2C SAR ADC in 45nm LP digital CMOS, Solid-State Circuits Conference - Digest of Technical Papers (ISSCC), in: IEEE International, 2009, pp. 76e77.

254

Chapter 11 A low-power reconfigurable ADC

[5] Y.M. Greshishchev, J. Aguirre, M. Besson, R. Gibbins, C. Falt, P. Flemke, N. BenHamida, D. Pollex, P. Schvan, S.C. Wang, A 40GS/s 6b ADC in 65nm CMOS, Solid-State Circuits Conference Digest of Technical Papers 2010 (ISSCC), in: IEEE International, 2010, pp. 390e391. [6] B. Murmann, B.E. Boser, A 12-bit 75-MS/s pipelined ADC using open-loop residue amplification, IEEE J. Solid State Circuits (12) (December 2003) 2040e2042, 51. [7] H.A. Alzaher, Novel Schmitt trigger and square-wave generator using single current amplifier, IEEE J. Digit. Circuits 7 (2019). [8] M.C. Cho, Y.G. Yoon, S.H. Cho, Design of highly programmable bio-impedance measurement IC in 0.18mm CMOS, in: Intl. SoC Design Conference (ISOCC), November 2009, pp. 79e82. [9] A. Iljemouai, M. Sawan, M. Slamani, New Circuit Techniques Based on Ahigh Performance Frequency to Voltage Converter, Department of Electrical and Computer Engineering, 1999, pp. 13e16. [10] A. Djemouai, M.A. Sawan, M. Slamani, New frequency-locked loop based on CMOS frequency-to-voltage converter design and implementation, IEEE Trans. Circuits & Syst.-II Analog & Digit. Signal Process. 48 (5) (May 2001). [11] S.M. Kang, Y. Leblebici, CMOS Digital Integrated Circuits Analysis and Design, McGraw Hill Education, India, 2003. [12] A.H. Arrow, M. Moghavvimi, W. Ibrahim, Novel idea to monitor and measure blood hemoglobin noninvasively, Afr. J. Biotechnol. 9 (54) (December 2010) 9295e9306. [13] F. Assaderaghi, DTMOS: its derivatives and variations, and their potential applications, in: Int. Conf. On Microelectronics, November 2000, pp. 9e10.

Further reading [1] H. Wang, H. Hong, L. Sun, Z. Yu, A Sample-And-Hold Circuit For10-Bit 100MS/s Pipelined ADC, 2011. [2] B. Padmavathi, B.T. Geetha, K. Bhuvaneshwari, Low Power Design Techniques and Implementation Strategies Adopted in VLSI Circuits, 2017. [3] T.C. Randall, I. Mahbub, S.K. Islam, Reconfigurable analog-to-digital converter for implantable bioimpedance monitoring, in: 2015 IEEE Topical Conference on Biomedical Wireless Technologies, Networks, and Sensing Systems (Bio Wireless), 2015, pp. 32e34. [4] Djemouai, M. Sawan, M. Slamani, High performance integrated CMOS frequency-to-voltage converter, in: Proc. Of 10th International Conference on Microelectronics, IEEE, 1998, pp. 63e66. [5] B. Buchanan, V. Madisetti, M. Brooke, A rescheduling and fast pipeline VLSI architecture for lifting-based discrete wavelet transform, in: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS ’03, 2003, pp. 732e735. [6] B.-F. Wu, C.-F. Lin, Performance of a fast analog VLSl implementation of the DFT, in: Proceedings of the 35th Midwest Symposium on Circuits and Systems, August 12, 1992, pp. 1353e1356. [7] R. Dubey, A. Kumar, M. Pattanaik, Design of low noise low power two stage CMOS operational amplifier using equivalent transistor replacement technique for health monitoring applications, in: Fifth International Conference on Computing, Communications and Networking Technologies (ICCCNT), July 2014, pp. 11e13. [8] T. Kackar, S. Suman, P.K. Ghosh, Design of high gain low power operational amplifier, in: 2016 International Conference on Electrical, Electronics, and Optimization Techniques (ICEEOT), November 24, 2016, pp. 3270e3274. [9] M. Mohammadpour, M. Rostampour, Indirect miller effect based compensation in low power two-stage operational amplifiers, in: 2012 International Conference on Multimedia Computing and Systems, October 04, 2012.

Further reading

255

[10] B. Murmann, ADC Performance Survey 1997e2014, n.d. [Online]. Available: http://www.stanford. edu/wmurmann/adcsurvey.html. [11] Axim ntegrated nc, Understanding AR ADCs: their architecture and comparison with other ADCs, Maxim. Tutor. 1080 (2001). [12] K. Doris, E. Janssen, C. Nani, A. Zanikopoulos, G. Van Der Weide, A 480mW 2.6GS/s 10b 65nm CMOS time-interleaved ADC with 48.5dB SNDR up to Nyquist, in: Solid-State Circuits Conference Digest of Technical Papers 2011 (ISSCC), IEEE International, 2011, pp. 180e182. [13] J. Harris, The ABCs of Interleaved ADCs, February 17, 2013 [Online]. Available: http://www.edn.com/ design/analog/4407107/1/The-ABCs-of-interleaved-ADCs. [14] Z. Cao, S. Yan, Y. LI, A 32m 1.25/s 6b 2b/step AR ADC in 0.13mm CMOS, in: Solid-State Circuits Conference Digest of Technical Papers 2008 (ISSCC), IEEE International, 2008, pp. 542e634. [15] Maxim Integrated Inc, Demystifying Delta- Sigma ADCs, Maxim Tutorial 1870, January 2003. [16] N. Maghari, U.K. Moon, A third-order DT DS modulator using noise-shaped bi-directional single-slope quantizer, IEEE J. Solid-State Circuits 46 (December 2011) 2882e2891. [17] A.P. Perez, E. Bonizzoni, F. Maloberti, A 84dB SNDR 100kHz bandwidth low-power single op-amp thirdorder DS modulator consuming 140, in: Solid-State Circuits Conference Digest of Technical Papers 2011 (ISSCC), February 2011, pp. 478e480. [18] A. orgado, R. del Rio, J. de la Rosa, SD ADCs: basic concepts, topologies, and tate of the art, in: Nanometer CMOS Sigma-Delta Modulators for Software Defined Radio, Springer, 2012, pp. 23e57, ch. 2. [19] H.C. Hor, L. Siek, Review on VCO based ADC in modern deep submicron CMOS technology, in: 2012 IEEE International Symposium on Radio-Frequency Integration Technology (RFIT), November 2012, pp. 86e88. [20] M. Straayer, M. Perrott, A 12-bit 10-MHz bandwidth, continuous-time sigma-delta ADC with a 5-bit, 950MS/S VCO-based quantizer, IEEE J. Solid-State Circuits (4) (April 2008) 805e814. [21] Maxim Integrated Inc, Understanding Flash ADCs, Maxim Tutorial 810, September 2010. [22] Y.S. Shu, A 6b 3GS/s 11mW fully dynamic flash ADC in 40nm CMOS with reduced number of comparators, in: Symposium on VLSI Circuits 2012(VLSIC), June 2012, pp. 26e27. [23] Maxim Integrated Inc, Pipelined ADCs Come of Age, Maxim Tutorial 634, November 2001. [24] D. Johns, K.W. Martin, Analog Integrated Circuit Design, first ed., John Wiley, Hoboken, 1997. [25] A. Thomasset, Bio-electrical properties of tissue impedance measurements, Lyon Med. 207 (1962) 107e118. [26] J. Nyboer, in: C. Thomas (Ed.), Electrical Impedance Plethysmograph, Second ed., Thomas publishers, Springfield, IL, USA, 1970. [27] E.C. Hoffer, C.K. Meador, D.C. Simpson, Correlation of whole-body impedance with total body water volume, J. Appl. Physiol. 27 (1969) 531e534. [28] O.G. Martinsen, S. Grimnes, Bioimpedance and Bioelectricity Basics, Academic Press, Waltham, MA, USA, 2011. [29] R. Gudivaka, D. Schoeller, R. Kushner, M. Bolt, Single-and multifrequency models for bioelectrical impedance analysis of body water compartments, J. Appl. Physiol. 87 (1999) 1087e1096. [30] G.M. Chertow, J.M. Lazarus, N.L. Lew, L. Ma, E.G. Lowrie, Development of a population-specific regression equation to estimate total body water in hemodialysis patients, Kidney Int. 51 (1997) 1578e1582. [31] L.C. Ward, J.M. Dyer, N.M. Byrne, K.K. Sharpe, A.P. Hills, Validation of a three-frequency bioimpedance spectroscopic method for body composition analysis, Nutrition 23 (2007) 657e664. [32] H.C. Lukaski, W.W. Bolonchuk, C.B. Hall, W.A. Siders, Validation of tetrapolar bioelectrical impedance method to assess human body composition, J. Appl. Physiol. 60 (1986) 1327e1332. [33] X. Xie, N. Kolthoff, O. Ba¨renholt, S. Nielsen, Validation of a leg-to-leg bioimpedance analysis system in assessing body composition in postmenopausal women, Int. J. Obes. 23 (1999) 1079e1084.

256

Chapter 11 A low-power reconfigurable ADC

[34] S.A. Jebb, T.J. Cole, D. Doman, P.R. Murgatroyd, A.M. Prentice, Evaluation of the novel tanita body-fat analyser to measure body composition by comparison with a four-compartment model, Br. J. Nutr. 83 (2000) 115e122. [35] A.C. Utter, D.C. Nieman, A.N. Ward, D.E. Butterworth, Use of the leg-to-leg bioelectrical impedance method in assessing body-composition change in obese women, Am. J. Clin. Nutr. 69 (1999) 603e607. [36] S. Ghosh, D. Meister, S. Cowen, J.W. Hannan, A. Ferguson, Body composition at the bedside, Eur. J. Gastroenterol. Hepatol. 9 (1997) 783e788. [37] P. Deurenberg, M. Deurenberg-Yap, Validation of skinfold thickness and hand-held impedance measurements for estimation of body fat percentage among Singaporean Chinese, Malay and Indian subjects, Asia Pac. J. Clin. Nutr. 11 (2002) 1e7.

CHAPTER

Design of a 16-bit 500-MS/s SARADC for low-power application

12

Tejender Singh1, Suman Lata Tripathi2 1

School of Electronics and Electrical Engineering, Lovely Professional University, Punjab, India; 2Lovely Professional University, Phagwara, Punjab, India

1. Introduction Most of the world’s physical quantities such as time, voltage, pressure, temperature, and current, etc., are in continuous signal form. However, the continuous-time signal, which is an analog signal that exemplifies an actual physical parameter by accuracy, is tough to transmit, store or process without considering the significant error because of noise effect. Thus for transmission, storage, and processing of such a signal, it is suitable to express this quantity in a digital form that provides improved accuracy, more robustness, and decreases noise [1]. A device is needed that converts the analog quantity to digital quantity [2]. An analog-to-digital converter (ADC) is a method consisting of an electronic circuit that translates the continuous-time signal, i.e., analog signal, into a digital signal, i.e., binary signal consisting of 0’s and 1’s. Thus, ADCs and their complementary digital-to-analog converters (DACs) are the basic building blocks in numerous applications [3]. In today’s market, a vast variety of ADCs are available with various ranges of the specification covering a wide range of performance needs. ADCs are classified based on parameters such as architecture, resolution, accuracy, bandwidth, power requirement, packaging, and operating temperature. Some various applications of ADCs are in communications, the medical field, signal processing, precision measurement, and data acquisition, etc., from the various available ADCs. Selection of the particular ADC is done based on the application to be designed [4]. Current development is moving toward digitally assisted design, and analog and mixed signal (AMS) designers are using different types of digital circuits to assist ADCs. Whether it is offset correction, for calibration, or for improving the power consumption, most of the ADC designers use a digitally assisted circuit in their prototype. The advancement in CMOS technology and low power design has sustained the expansion of biomedical devices [5]. Here the research is done on implanting biomedical devices into the patient’s body. For example, in the medical field comprising human safety, one cannot fulfill the necessities of a specific task by just picking up a random design [2]. In bioimplant devices, every application requires a unique and custom design. All biomedical devices presently used in medical practice are comprised of

Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00011-3 Copyright © 2021 Elsevier Inc. All rights reserved.

257

258

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

OSC 001 100 111 101 BAND PASS FILTER

BUFFER

ADC

TRANSMITTER D out

FIGURE 12.1 A biopotential acquisition method.

a sensor used for acquiring the physiologic signals [6e9]. In addition to the sensor, there is an op-amp, ADC, and low-pass filter. In present medical practice, portable biopotential acquisition systems are in huge demand as they monitor biopotential signals [8]. Fig. 12.1 shows the structure of the biopotential acquisition method. Here the sensor drives the analog signal as an input that is amplified and then filtered. Later the filtered signal will be processed to an ADC where the signal will be digitized, provided with a clock signal generated by an on-chip oscillator. This receives a digital output code, and it is transmitted by an antenna. In all electronic devices, one of the unique constructing blocks is an ADC [10]. There are numerous ADC structures out of which the most commonly used ADC for a medical application is SAR (successive approximation register). SAR architecture is simple and provides low power consumption with a better sampling rate and avoids energy consumption. Due to these advantages, it is an utmost used architecture in biomedical applications. An integrated circuit (IC) designer inclines to suggest an exclusive SAR design based on the task and application for implantation of sensors and chips in both the architecture as well as circuitry [11]. Novel improvements in electronics engineering have created many new prospects in all fields of science and out of which biomedical engineering has become the topmost [12]. The main agenda about this work is to solve real-life difficulties by applying the emerging improvements in the semiconductor industry. The major contributions at present in biomedical applications where the SAR-ADC has been used are wearable biomedical sensor, wireless sensor, optical heart monitoring, ECG, EEG, arrhythmia monitoring, portable pulse oximeter, and biosignal acquisition devices [13]. Some of the bioimplant devices that are used in day-to-day life are shown in Fig. 12.2.

1.1 Paper organization This paper is systematized as follows: in the first section a brief introduction is given, and then in Section 2 an overview of ADCs, their working, principles, and performance parameters are explained briefly. In addition, the classification of ADC architectures are listed, and at last, a comparison is done. Section 3 refers to SAR-ADC, where its operation for N-bit architecture is described and the SAR algorithm is defined. In Section 4 the proposed technique to design a 16-bit SAR-ADC and a selection of best design and methodology is done for different blocks of DAC and comparator. Finally, Section 5 presents the concluding remarks with a few suggestions for future work.

2. Overview of analog-to-digital converters

259

FIGURE 12.2 Bioimplant devices in medical and healthcare field.

2. Overview of analog-to-digital converters 2.1 Analog-to-digital converter

An ADC is an electronic IC that converts an analog signal into a digital signal [14]. A signal from the exterior world is driven as an input that processes and then is converted to a binary form. For all the digital circuits, ADC devices are at the front end. Fig. 12.3 shows its symbol.

FIGURE 12.3 Symbol of an ADC.

260

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

FIGURE 12.4 Block diagram of analog-to-digital converter.

For converting the analog quantity into digital form the analog signal needs to be passed through four different blocks, and they are shown in Fig. 12.4. Here the whole conversion process occurs in microseconds (ms). Sample: The primary block of an ADC is a sampler, where the applied analog input signal is sampled at a specified time interval based on the sampling frequency used [10,15]. Hold: Its function is to just hold the sample amplitude for the time being until the next sample is taken. Until then, the hold value is constant. Quantizer: This is the main block of an ADC where digitization occurs. It converts the continuous amplitude signal into a discrete amplitude signal, so the output signal will be discrete in time as well as in amplitude. Encoder: This is a final block that converts a digital signal into binary form, i.e., in terms of bits.

2.2 Working principle of ADC The key purpose of ADC is for converting an analog signal into a definite amount of bits, i.e., (N). Bits in the sequence represent the numeral, beginning from MSB (most significant bit) to an LSB (least significant bit). Here, every single bit has a double weight corresponding to the succeeding bit [16]. For the input analog signal (Vin), let the bit sequence be bN-1, bN-2, bN-3, ---------------------- b2, b1, b0. Then Eq. (12.1) specifies the input voltage. Then Vin ¼

N 1 X n¼0

V

bn 2n

VRef 2N

V

Here, MSB will weight 2Ref , then next 4Ref , ---- and so on. And LSB will weight bits leads to a more accurate representation of the digital signal.

(12.1) VRef 2N .

Therefore more

2.3 Performance factors of an ADC Some of the factors that are important parameters to define ADC are as follows: 1. Resolution: It is defined as the number of bits used for the representation of amplitude for a digital signal. Hence the resolution of ADC is defined by the number of steps, as shown in Eq. (12.2). Number of steps ¼ 2n ;

(12.2)

Here n is the number of bits.For a 2-bit resolution: If n ¼ 2, then the number of steps ¼ 2n ¼ 22 ¼ 4. As shown in the Fig. 12.5.

2. Overview of analog-to-digital converters

261

FIGURE 12.5 Representation of 2-bit resolution.

If the number of bits is increased, then the number of steps is also increased exponentially. 2. Width of step: It is defined as the voltage difference between the two adjacent steps. Here a fixed voltage is represented by a single step that is shown in Eq. (12.3). DV ¼

VRef 2n

(12.3)

Here, n represents the number of bits, and VRef represents the maximum voltage converted.For example, if VRef ¼ 10.24 V and n ¼ 10 bits, then 10:24 210 10:24 DV ¼ 1024

DV ¼

DV ¼ 0:01 V Thus the width of the step is 0.01V. 3. Quantization error: It is defined as a difference among an input signal and rounded off digital DV signal. ADC will update its value based on the input voltage w.r.t. DV 2 (i.e., if Vin > 2 ). 4. Sampling rate or sampling frequency: It is defined as the total amount of samples/second taken from a continuous-time signal to convert into a discrete-time signal [10]. Hence the sampling frequency is defined based on the input signal. 5. Nyquist rate: It states that to reconstruct the waveform a sampling frequency (fs) must be greater than double the maximum input frequency (fi) used for the sample [17]. 6. Offset: In ADC, it is defined as the shift in digital output. Example: For input voltage, Vin ¼ 0V, the output needs to be a digital 0. It can be 2, 4, 8, or 10. If it is digital 4, then the offset of ADC is 4.

262

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

2.4 Applications of ADCs There are boundless applications of ADCs: cellphones, X-ray and MRI, air conditioner, digital oscilloscopes, music reproduction system, scientific instruments, etc. [18].

2.5 Classification of ADCs ADC is classified into two types: 1. direct type ADC; 2. indirect type ADC Direct type ADC: In this, the transformation of an analog signal to a digital signal is done directly by using the internal digital circuit, which generates a code, and it is compared with the input analog signal [19]. Example: Counter type ADC, successive approximation register ADC, flash type ADC. Indirect type ADC: In this form of ADC, at first an analog input signal is converted into a linear function of frequency or time, and thereafter a binary output is produced. Example: Dual slope ADC (Fig. 12.6).

FIGURE 12.6 Classification of ADC.

2.6 Comparison of different ADCs Based on the available ADCs some of the most popular ADCs that are used in day-to-day applications are compared in Table 12.1 based on the resolution, speed, power, and area.

3. Successive approximation register

263

Table 12.1 Comparison of different ADCs. Type

Resolution

Area

Power

Speed

SAR (successive approximation register) ADC Flash type ADC Pipeline ADC Sigma-delta ADC Dual slope ADC

Medium to high

Small

Ultra-low

Medium

Low Medium to high Ultra-high Medium to high

Big Big Medium Medium

High High Medium Low

Ultra-high High Ultra-low Slow

From the table we can observe that SAR-ADC stands best matched for device designing as its power consumption is ultra-low, and the area required for design is also small with a high resolution of bits [20,21].

3. Successive approximation register The most popular ADC is an SAR, and it is the best-chosen architecture that is used for low-power applications in VLSI design, and it has become a tremendous advancement in the medical field and acoustic applications because of its low-frequency sound in nature. SAR SDC architecture uses a bit resolution that ranges between medium and high, produces low power consumption, and uses a small area [22]. It employs a digital circuit in it when compared to other ADCs, which it makes it unique and crucial. Here the input analog signal is passed through the track as well as the holding block, where a signal is held for a particular instant of time. At first, the N-bit register is SET, which forces DAC V output to 2Ref and it is provided to the comparator [23]. Here, the comparator compares the two input voltages VIN and VDAC and produces an output. If (VIN > VDAC), then the comparator produces a logic high (1), or else if (VIN < VDAC), then the comparator produces logic low (0). Based on the output produced by the comparator decision on MSB bit of N-bit register is taken. If the output is "1" then the MSB bit will remain "1," and if the output is "0," then the MSB bit will be cleared to "0." Then SAR logic travels to the next successive bit, and again a comparison is performed and output is generated. Once it completes the conversion, an N-bit digital data will be produced by the register that determines the final digital value at the output. Fig. 12.7 shows a simple SAR-ADC block diagram. ANALOG IN

VIN

TRACK/HOLD

VDAC

VREF

+

COMPARATOR

-

N-BIT DAC N

DIGITAL DATA OUT N-BIT REGISTER SAR LOGIC

FIGURE 12.7 A simple SAR-ADC block diagram.

(SERIAL OR -PARALLEL)

264

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

3.1 An N-Bit SAR-ADC architecture SAR-ADC devices remain more prominent for their usage in the biomedical system because of their moderate sampling rate, simplicity, and low power consumption. These devices have the internal blocks as a comparator, SAR, control logic, and DAC. Power dissipation exists through a source control logic, DAC, and comparator. Scaling of technology will improve the speed and decrease the dissipation of power. SAR architecture is designed simply with a comparator block that consumes very low power, and it reduces the power-crazy requirement by the absence of an op-amp in the system [24]. This is present in most ADC structures, so substantial power consumption is achieved in comparison to other ADC architectures. An input signal is processed through a sample/hold block to get the sampled signal. A comparator is used to compare the analog signal levels equivalent to N bits, thereby generating a compared output. SAR logic is the next main block that considers the output of the comparator to generate a binary-weighted reference for DAC [25]. Therefore a digital signal is generated from an MSB to LSB in response to DAC. All other DAC architecture accomplishes a similar procedure in SAR-ADC for generating a suitable reference voltage (VREF) for a comparator. Here, the output register holds digital signal bits from MSB to LSB and is generated sequentially to get a digital N-bit signal [26,27]. When a START signal is being received externally a digital signal is generated by the SAR logic of MSB with 1 bit of phase delay when compared to the START signal. Fig. 12.8 exemplifies the concept of designing N-bit SAR-ADC [28].

FIGURE 12.8 N-bit SAR-ADC Architecture.

3.2 SAR algorithm ➢ SAR-ADC makes use of a “binary search” algorithm. It decides the closest digital bit to match with the input signal in a feedback loop. ➢ During the binary search technique, in each clock cycle, the circuit splits the difference among the sampled signal and hold signal, the input signal (VIN), and DAC output (VDAC).

3. Successive approximation register

265

FIGURE 12.9 Flow chart of SAR-ADC.

➢ A flow chart illustrating this technique is shown in Fig. 12.9. ➢ In the first period, a mid-scale value (1 0 0 —— 0) is SET at a decision register, so mid-scale analog output is generated by DAC [29]. ➢ Then polarity is determined by the comparator, which determines the MSB bit b1. If (VIN > VDAC), MSB will be SET to "1," or else if (VIN < VDAC), MSB is SET to "0."

266

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

➢ During the second period, the mid-scale value is pointed to (1 1 0 —— 0) and b2 bit is determined followed by b3, b4, and so on, until all N bits are determined. ➢ Thus for N-bit conversion, it requires "N" clock cycles.

4. Proposed SAR-ADC design SAR-ADC consists of three key components: successive approximation register logic, a comparator, and DAC. All these blocks are linked in feedback. The proposed SAR logic is designed for a resolution of 16 bits, and a set of D flip flops are used, which is double edge triggered [30e34]. The type of design used is a sequencer/code register design in which each bit cell has one row of D flip flop that works as code and sequencer register [35,36]. Double-edge-triggered flip flop transfers the data from input to output on both edges of the clock signal, i.e., at rising and falling edges by making the clock frequency to halve, thereby reducing the power consumption and propagation delay as the SAR design is synchronous with input data and clock signal [37e41]. Therefore, it can be used for designing a circuit based on low power. Fig. 12.10 illustrates a proposed system block diagram.

FIGURE 12.10 Proposed SAR-ADC system.

4. Proposed SAR-ADC design

267

4.1 Digital-to-analog converter A digital-to-analog converter (DAC) is one of the main blocks of SAR type A/D architecture. It converts a received digital code into an analog output. To operate, it uses an analog reference voltage [42]. For every binary word, there exists an equivalent analog voltage, and it is given by Eq. (12.4):   D Vout ¼ Vref N (12.4) 2 Here, N represents the resolution, and D represents the binary word input. Whereas D is expressed as D ¼

N 1 X

2n ðbn Þeiq

(12.5)

n¼0

Here, n represents the index of a binary word, and b represents the digital bit "0" or "1." Numerous architectures convert digital data into an analog output. Based upon requirements, DAC architecture is selected [43e45]. Some parameters need to be considered for selecting DAC such as power, accuracy, bandwidth, and area. The type of DAC that we are using for our proposed design is an R-2R DAC. An R-2R network is made by two sets of  resistor  values. The resistor is used in such a way that one set has a value twice the other in a ratio near

R 2R

. The resistor values determine the accuracy

of DAC, and high precision can be achieved by the exact match of a ratio [46]. R-2R DAC receives the 16-bit input from SAR. The configuration of the R-2R resistor is placed alternately. The typical range value of R is between 2.5kU and 10kU. At the right end of the network, a 2R resistor is placed on the ground [47]. Here, each node voltage is Vref , and the current flowing through each node is constant. It consists of a total of 16 (R, 2R) resistors of each, as shown in Fig. 12.11.

FIGURE 12.11 16 bit R-2R DAC.

268

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

Here, we can see that each digital input corresponds to a single switch, so if the digital input bit is "1," i.e., high, then its equivalent switch is connected to an inverting terminal of an operational amplifier. Or else, if the digital input bit is "00 ," then its equivalent switch is made to connect a ground terminal [48]. The output voltage is given by Eq. (12.6).  X Rf n1 di (12.6) Vo ¼  Vref R i¼0 2ni

4.2 Comparator A comparator is an electronic circuit that compares two analog input signals, so based on a comparison, it generates an output [49]. In our proposed system, it compares the sampled signal and a VDAC output signal. As we know, the input sensitivity of it will affect the bit resolution of the converter [50]. So, special care must be taken while designing the comparator with the desired specification. So, here, we can use a shared charge dynamic latch comparator to meet the ADC requirements [51e54]. The structure of it is centered by the concept of share charge logic, which is applied on a double tail current dynamic latch comparator (DTCDLC). Fig. 12.12 represents the circuit of the proposed designed comparator, i.e., shared charge double tail current dynamic latch comparator (SC-DTDLC). At stage one, i.e., charge sharing stage, the clock signal is set to ground (CLOCK ¼ 0) and both Mtail1 and Mtail2N transistors are turned OFF, which prevents the consumption of static power [55e57]. Whereas, transistors M3, M4 pull down fn, fp terminals to Vdd by making the transistors MR1 and MR2 to ON state. Here, PMOS transistor shorts the Outp and Outn output terminals, making it a shared charge transistor, i.e., a charge is shared between Outp and Outn terminals, and it will be approximately at 0.7 V, i.e., half of that of the supply voltage Vdd [58e61]. The evaluation stage is the second stage, which is also called the decision-making stage, where the clock signal is set at high supply voltage (CLOCK¼Vdd), and NMOS transistors Mtail1 and Mtail2N will turn ON, and PMOS transistors M3 and M4 will move to OFF state [62]. Therefore, between fn and fp node, an input differential voltage is formed that passes to the cross-coupled latch and provides a faster decision. Now, through inputs M1 and M2 transistors, fn and fp terminals start discharging at a different rate at the specified input voltages [63]. Assuming that (VINP < VINN), at that time the Outp, i.e., output terminal and its voltage at fp terminal, discharge faster than that of the Outn, i.e., output terminal and its voltage at fn terminal, and vice versa. By making M7 transistor to ON and Outn terminal charges to Vdd, transistor M8 will remain in an OFF state. On the other hand, once Outp remains discharged toward GND, the M9 transistor will go in cut-off state and draws zero current from Vdd after a short time [64]. Therefore at end of the regeneration phase, Outn ¼ Vdd and Outp ¼ GND. This method has advantages such as lower input offset voltage over a common-mode voltage, less kickback noise, less transistor stacking, and a better optimization is achieved in terms of delay and power as it operates on two separate tail current transistors.

4. Proposed SAR-ADC design

FIGURE 12.12 Schematic circuit of a shared charge double tail current dynamic latch comparator.

269

270

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

5. Conclusion The main objective of this paper is to project an idea for designing an SAR-ADC using a bit resolution of 16 bits sampled at a rate of 500 MS/s. Thereby, it can increase the efficiency of the device by operating on moderate speed without degrading the performance. We know that SAR-ADC design has achieved much acceptance due to its low power consumption, medium speed, and high energy efficiency. Therefore, we can conclude that SAR-ADC architecture with an R-2R-based DAC and a share charge double tail dynamic latch comparator can be used for low-power devices and biomedical applications. Further, for future scope, we can use any low-power techniques to additionally enhance the device to be used in all low-power devices.

References [1] J. Eon Kim, et al., A 0.5 V 8e12 Bit 300 KSPS SAR ADC with Adaptive Conversion Time Detection-AndControl for High Immunity to PVT Variations 8, IEEE Access, 2020, pp. 101359e101368. [2] W. Mao, et al., A low power 12-bit 1-kS/s SAR ADC for biomedical signal processing, IEEE Trans. Cir. Syst.eI 66 (2) (2019) 477e488. [3] E. Mazidi, Design and Analysis of a Low-Power 8-Bit 500 KS/S SAR ADC for Bio-Medical Implant Devices, July, 2016, https://doi.org/10.31979/etd.tq8n-42kd. [4] A. Umer Zahal C P.B, J. Manjula, Design of low power high-speed SAR based 16-bit analog to digital converter: charge sharing approach, in: International Conference on Communication and Signal Processing, IEEE, April 6-8, 2016. [5] J. Shen, et al., A 16-bit 16-MS/s SAR ADC with On-Chip Calibration in 55-nm CMOS, IEEE J. Solid-St. Circ. 53 (4) (2018) 1149e1160. [6] N. Yan, C. Kang, et al., A 10-bit 16-MS/s ultra-low power SAR ADC for IoT applications, 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2018. [7] M.S. Arefin, et al., A MEMS interface IC with low-power and wide-range frequency-to-voltage converter for biomedical applications, IEEE Trans. Biomed. Circ. Syst. 10 (2016) 455e466. [8] M. Sadollahi, et al., An 11-bit 250-nW 10-kS/s SAR ADC with doubled input range for biomedical applications, IEEE Trans. Circuits Syst. I, Reg. Paper. 65 (1) (2018) 61e73. [9] W. Guo, et al., Fully passive compressive sensing SAR ADC for low-power wireless sensors, IEEE J. Solid State Circ. 52 (8) (2017) 2154e2167, https://doi.org/10.1109/JSSC.2017.2695573. [10] L. Chen, et al., A 0.7-V 0.6-mW 100-kS/s low-power SAR ADC with statistical estimation-based noise reduction, IEEE J. Solid State Circ. 52 (5) (January 13, 2017) 1388e1398, https://doi.org/10.1109/ JSSC.2017.2656138. [11] S.-L. Chen, et al., "A power-efficient mixed-signal smart ADC design with adaptive resolution and variable sampling rate for low-power applications", IEEE Sensor. J., DOI 10.1109/JSEN.2017.2680472. [12] A. Sharma, et al., Multi-modal smart bio-sensing SoC platform with >80 dB SNR 35mA PPG RX chain, Symp. VLSI Circuits Dig. Tech. Papers, Jun. (2016) 1e2. [13] D. Zhang, A. Alvandpour, A 12.5-ENOB 10-kS/s redundant SAR ADC in 65-nm CMOS, IEEE Trans. Circuits Syst. II, Exp. Briefs 63 (3) (March, 2016) 244e248. [14] S.A. Mahmoud, et al., An 8-bit, 10KS/s, 1.87mW successive approximation analog to digital converter in 0.25mm CMOS technology for ECG detection systems, Circ. Syst. Signal Process. 34 (2) (February, 2015) published online:10.1007/s00034- 015-9973-z. [15] V. Misra, et al., Flexible technologies for self-powered wearable health and environmental sensing, Proc. IEEE 103 (4) (April, 2015) 665e681.

References

271

[16] Y.-P. Chen, et al., An injectable 64 nW ECG mixed-signal SoC in 65 nm for arrhythmia monitoring, IEEE J. Solid State Circ. 50 (1) (January, 2015) 375e390. [17] M. Yip, A.P. Chandrakasan, A resolution-reconfigurable 5-to-10-bit 0.4-to-1 V power scalable SAR ADC for sensor applications, IEEE J. Solid State Circ. 48 (6) (June, 2013) 1453e1464. [18] J.-Y. Um, et al., A digital-domain calibration of split-capacitor DAC for a differential SAR ADC without additional analog circuits, IEEE Trans. Circ. Syst.-I, Regular Paper. 60 (11) (November, 2013) 2845e2856. [19] H. Lee, et al., A 100-nW 9.1-ENOB 20-kS/s SAR ADC for portable pulse oximeter, IEEE Trans. Circ. Syst.II, Express Brief. 62 (4) (April, 2015) 357e361. [20] H. Xin, et al., A 0.1-nWe1- m W energy-efficient all-dynamic versatile capacitance-to-digital converter, IEEE J. Solid State Circ. 54 (7) (2019) 1841e1851, https://doi.org/10.1109/JSSC.2019.2902754. [21] S.Y. Lee, et al., A 1-V 8-bit 0.95uW successive approximation ADC for biosignal acquisition systems, IEEE Int. Symp. Circ. Syst. 42 (10) (May, 2009) 649e652. [22] Z. Zhu, Y. Liang, A 0.6-V 38-nW 9.4-ENOB 20-kS/s SAR ADC in 0.18-mm CMOS for Medical implant devices, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl. 62 (9) (September, 2015) 2167e2176. [23] S.-E. Hsieh, C.-C. Hsieh, “A 0.44fJ/conversion-step 11b 600 KS/s SAR ADC with semi resting DAC, in: Symp. VLSI Circuits Dig. Tech. Papers, June, 2016, pp. 1e2. [24] S.-E. Hsieh, C.-C. Hsieh, A 0.4V 13b 270 kS/s SAR-ISDM ADC with an opamp-less time-domain integrator, in: IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, February, 2018, pp. 240e241. [25] P. Harikumar, et al., A 0.4-V sub nanowatt 8-bit 1-kS/s SAR ADC in 65-nm CMOS for wireless sensor applications, IEEE Trans. Circuits Syst. II, Exp. Briefs 63 (8) (August, 2016) 743e747. [26] P. Harpe, et al., A 0.20 mm, 23 nW, signal acquisition IC for miniature sensor nodes in 65 nm CMOS, IEEE J. Solid State Circ. 51 (1) (January, 2016) 240e248. [27] S. Choi, et al., An 84.6-dB-SNDR and 98.2- dB-SFDR residue-integrated SAR ADC for low-power sensor applications, IEEE J. Solid State Circ. 53 (2) (February, 2018) 404e417. [28] Y. Tao, Y. Lian, A 0.8-V, 1-MS/s, 10-bit SAR ADC for multichannel neural recording, IEEE Trans. Circuits Syst. I, Reg. Papers 62 (2) (February, 2015) 366e375. [29] J.W. Nam, M.S.W. Chen, An embedded passive gain technique for asynchronous SAR ADC achieving 10.2 ENOB 1.36-mW at 95 MS/s in 65 nm CMOS, IEEE Trans. Circuit Syst.I, Reg, Papers 63 (10) (October, 2016) 1628e1638. [30] C. Yuan, et al., A 1-V 9.8-ENOB 100-kS/s single-ended SAR ADC with symmetrical DAC switching technique for neural signal acquisition, Proc. IEEE Asian Solid-State Cir. Conf. (A-SSCC), Nov. (2015) 1e4. [31] Y. Song, et al., A 0.6-V 10-bit 200-kS/s fully differential SAR ADC with an incremental converting algorithm for energy-efficient applications, IEEE Trans. Circuits Syst. I, Reg. Papers 63 (4) (April, 2016) 449e458. [32] L. Chen, et al., A 10.5-b ENOB 645 nW 100kS/s SAR ADC with a statistical estimation based noise reduction, Proc. CICC (2015) 1e4. [33] B.R.S. Sung, et al., A 21fJ/Conv-step 9 ENOB 1.6GS/S 2 time-interleaved FATI SAR ADC with background offset and timing skew calibration in 45nm CMOS, in: IEEE Int.Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, San Francisco, CA, USA, February, 2015, pp. 1e3. [34] H. Omran, et al., A 33fJ/Step SAR capacitance-to-digital converter using a chain of inverter-based amplifiers, IEEE Trans. Circuits Syst. I, Reg, Papers 64 (2) (February, 2017) 310e321. [35] M. Verhelst, A. Bahai, Where analog meets digital: analog-to information conversion and beyond, IEEE Solid-State Circuits Mag. 7 (3) (June, 2015) 67e80. [36] P.V. Rajesh, et al., A 172 mW compressive sampling photo plethysmographic readout with embedded direct heart-rate and variability extraction from compressively sampled data, in: IEEE, Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, February, 2016, pp. 386e387.

272

Chapter 12 Design of a 16-bit 500-MS/s SAR-ADC

[37] W. Guo, et al., Ultra-low power multichannel data conversion with a single SAR ADC for mobile sensing applications, in: Proc. IEEE Custom Integr. Circuits Conf. (CICC), September, 2015, pp. 1e4. [38] W. Guo, N. Sun, A 12b-ENOB 61 mW noise-shaping SAR ADC with a passive integrator, in: Proc. IEEE Eur. Solid-State Circuits Conf., September, 2016, pp. 405e408. [39] S.U.N. Wood, et al., Blind speech separation and enhancement with GCC NMF, IEEE ACM Trans. Audio Speech Lang. Process. 25 (4) (April, 2017) 745e755. [40] B. Verbruggen, et al., A 60 dB SNDR 35 MS/s SAR ADC with comparator noise-based stochastic residue estimation, IEEE J. Solid State Circ. 50 (9) (May, 2015) 2002e2011. [41] L.-H. Wang, et al., Implementation of a wireless ECG acquisition SoC for IEEE 802.15.4 (Zigbee) applications”, IEEE J. Biomed. Health Inform. 19 (1) (January, 2015) 247e255. [42] S.-L. Chen, et al., VLSI Architecture of a lossless ECG compression design based on the fuzzy decision and optimization method for wearable devices, Electron. Lett. 51 (18) (September, 2015) 1409e1411. [43] S.-L. Chen, A Power-Efficient Adaptive Fuzzy Resolution Control System for Wireless Body Sensor Networks, IEEE Access, March, 2015. [44] S. Daulatabada, et al., 8-Bit 250-MS/s ADC based on SAR architecture with novel comparator at 70 nm technology node," 7th international conference on communication, computing and virtualization 2016, science direct, Proc. Computer Sci. 79 (2016) 589e596. [45] S. Kazeminia, et al., On matching properties of R-2R ladders in high-performance digital-to-analog converters, in: 2010 18th Iranian Conference on Electrical Engineering (ICEE), May 11-13, 2010, pp. 432e436. [46] D. Marche, F. Yvon Savaria, Modeling R-2R segmented-ladder DACs, IEEE Trans. Circ. Syst. I 57 (1) (January, 2010) 1241e2010. [47] S. Jalaja, A.M. Vijaya Prakash, Design of low power SAR ADC using clock retiming, in: IEEE Computer Society Annual Symposium on VLSI, 2018. [48] W. Guo, et al., An area- and power-efficient iref compensation technique for voltage-mode R-2R DACs, IEEE Trans. Cir. Syst. II: Express Brief. 62 (7) (2015) 656e660. [49] L. Wang, et al., A CMOS R-2R ladder digital-to-analog converter and its characterization, in: Instrumentation and Measurement Technology Conference, 2001, IMTC 2001, Proceedings of the 18th IEEE, vol. 2, 2001, pp. 1026e1031, vol. 2. [50] A. Rabal, et al., A compact R-2R DAC for BIST applications, in: IEEE Conference, 2016. [51] C.-Y. Huang, et al., 10-bit 30-MS/s SAR ADC using a switchback switching method, IEEE Trans. Very Large Scale Integr. Syst. 21 (3) (March, 2013) 584e588. [52] P. Nandhini, et al., Design of FinFET based low power dynamic comparator, SSRG Int. J. Electron. Communicat. Eng. ( SSRG e IJECE ) (March, 2019). ISSN: 2348 e 8549. [53] S. Babayan-Mashhadi, R. Lotfi, Analysis and Design of a Low Voltage Low-Power Double-Tail Comparator, IEEE Trans. VLSI Systems, February, 2014. [54] B. Goll, H. Zimmermann, “A comparator with reduced delay time in65-nm CMOS for supply voltages down to 0.65,” IEEE Trans. Circuits System II, Exp. Briefs 56 (11) (November, 2009) 810e814. [55] V. Varshney, R. Kumar Nagaria, Design and analysis of ultra-high-speed low- power double tail dynamic comparator using charge sharing scheme, AEU e Int. J. Electron. Communicat. 116 (2020), https://doi.org/ 10.1016/j.aeue.2020.153068. [56] T.-Y. Wang, et al., A bypass- switching SAR ADC with a dynamic proximity comparator for biomedical applications, IEEE J. Solid State Circ. 53 (6) (2018) 1743e1754, https://doi.org/10.1109/ JSSC.2018.2819164. [57] M.M. Hossain, S.N. Biswas, Analysis and Design of a 32nm FinFET Dynamic Latch Comparator, IEEE, 2019. [58] P.E. Allen, D.R. Holberg, CMOS Analog Circuit Design, Oxford University Press, 2002.

References

273

[59] Uthaichana, et al., Low power CMOS dynamic latch comparators, in: Conference on Convergent Technologies for the Asia-Pacific Region, TENCON, IEEE Proceeding, 2003, pp. 605e608. [60] P.P. Gandhi, N.M. Devashrayee, A novel low offset low power CMOS dynamic comparator”, in: Analog Integrated Circuits and Signal Processing, vol. 96, springer, 2018, pp. 147e158. [61] D. Schinkel, et al., A low-offset double-tail latch-type voltage sense amplifier, in: 18th Annual Workshop on Circuits, Systems and Signal Processing, Pro RISC, Technology Foundation STW, Utrecht, 2007, pp. 89e94. [62] D. Xu, S. Xu, G. Chen, High-speed low-power and low power supply voltage dynamic comparator, IEEE Electron. Lett. 51 (23) (November, 2015) 1914e1916. [63] S. Rao Vemu, et al., in: An Energy-Efficient and High-Speed Double Tail Comparator Using Cadence EDA Tools, IEEE, 2017. [64] V. Savani, N.M. Devashrayee, Analysis and design of low-voltage low-power high-speed double tail current dynamic latch comparator, in: Analog Integrated Circuits and Signal Processing, 93, Springer, 2017, pp. 287e298.

CHAPTER

Design and applications of rail-to-rail FC-OTA and second-generation CCIIþ cell

13

Tripurari Sharan, Anil Kumar Gautam Electronics and Communication Engineering, Department of North Eastern Regional Institute of Science and Technology Deemed to be University, Nirjuli, Arunachal Pradesh, India

1. Introduction Nowadays, low-voltage and ultra-low-power compact and portable devices have attracted utmost attention of design engineers related to low-frequency biomedical signals in analog as well as digital domains of applications. Downscaling of complementary metal oxide semiconductor (CMOS) devices requires supply voltage reduction to avoid breakdown of gate interfaces, and low-power consumption per switching action avoids the need of cooling agents in system on a chip (SoC) chips. Furthermore, the low-power operated devices support battery-powered circuits to remain active for longer duration, within the two-successive charging intervals. The chip area, circuit’s power budget, and cost are important design parameters for deep submicron CMOS devices. Though, the downscaling is reducing the chip area, which supports portability but increases the power consumption per unit of chip area and imposes cooling and heatsink requirements. According to Moore’s law, the device counts get doubled every 2 years/18 months in the CMOS chip, which may get saturated in coming years [1]. The power requirement is another factor for a very large-scale integration (VLSI) designer that should be as low as possible. Since, the long-lasting battery design methodology has not improved much over a decade, it has become an essential aim of the VLSI circuit designers to design high-performance CMOS devices even with low-power budget (sub-mW). The cost of very compact and high-density CMOS devices gets reduced in mass production and batch processing process, provided the successful yield rate is quite high. The digital design fully enjoys the downscaling benefits owing to its two-level (binits 1, 0) based design structure and high noise margins, but the analog design suffers in a low-voltage environment [2]. The mixed signal CMOS-based SoC chip is concerned with analog as well as digital circuit design. The digital design has trade-off in delay/speed and power budget and needs on-chip single or biphase clock pulses, which produce stray noise for the nearby on-chip analog circuits. So, the analog circuits should be able to work properly in this noisy environment and need to have high common mode rejection ratio (CMRR) and power supply rejection ratio (PSRR). The design of low-voltage analog circuits needs nearly rail-to-rail input common mode range (ICMR) and output signal swings. The designers are not able to reduce the threshold voltage of CMOS devices in proportion to power supply reduction, so there lies a threshold voltage hurdle for analog circuit parts. Though this threshold Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00023-X Copyright © 2021 Elsevier Inc. All rights reserved.

275

276

Chapter 13 Design and applications of rail-to-rail FC-OTA

voltage hurdle is more stringent in gate-driven analog circuits, it does not arise in bulk-driven CMOS analog circuits. The gate-driven, high-performance, strong inversion biased analog circuits relatively consume more power and are used for high-frequency operations, whereas the bulk-driven weak inversion biased CMOS analog devices consume ultra-low-power and are suitable for low-speed, lowfrequency biosensors and biomedical applications that are band-limited within 100 mHze500 Hz range of frequencies [3]. Fig. 13.1 shows the block level diagram of a biosignal processor. It requires analog signal preprocessing subblocks, i.e., biosensor/transducer, isolation buffer amplifier for shock-proof probing of leads, which are attached to patient’s body, current mode instrumentation amplifier (CMIA) as preamplifier, frequency selective filters so low-pass and band-pass antialiasing filters, power supply (50 or 60 Hz) notch filter, analog signal wave shapers (rectifiers, absolute value peak detector, adder, averager, integrator, etc.) in its front ends, whereas it has sample and hold circuit, A/D converter, D/A converter, DSP processor in its middle section, and low-pass smoothing filter to reconstruct analog signal at its back ends. Thus, analog subcircuits are required in its front as well as back ends. In this study, we have focused on preamplifiers, signal scaled adders, integrator, various multifunction filters, low-frequency bi- and three-phase signal generators for testing of biomedical equipment and subvolt low-frequency rectifier, all related to I, II, III, and VI blocks of Fig. 13.1. The biomedical imaging such as electromyogram (EMG), electroencephalogram (EEG), and electrocardiogram (ECG) are very low-frequency and low-amplitude signals. The frequency and the amplitude ranges of the signals are given next: ECG (250 Hz, 100 mV to 5 mV), EEG (60 Hz, 15e100 mV), and EMG (200 Hz, 0.1e5 mV). They are very weak in amplitude so are likely to be corrupted by several on-chip and outward natural as well as manmade electromagnetic interferences of comparable amplitudes, and in some cases, noise may be much stronger than these biosignals. The generated transduced signals must be amplified by using a fully differential preamplifier having high CMRR and PSRR to overcome noise. Two-stage variable gain voltage or CMIA are preferred for this purpose. A variable gain current-conveyor-based CMIA will be designed to serve this purpose. These amplified signals are also corrupted by power supply noise (50 Hz or 60 Hz), so a notch filter is required to filter out these power supply transients. It can be performed by using ultra-low-power LV operational transconductance amplifier (OTA) or CCII cell based-narrow-band reject filter designed in this work. Further, the low-pass and band-pass filters are required to select and route a specific biosignal to its concerned path. Filters are also needed as antialiasing filter before analog-to-digital converter as well as smoothing filter after the digital signal processing (DSP) processor, as stated earlier. In addition to preamplifier and filters the analog and DSP processors require different types of wave-shaping circuits, such as integrator, rectifier, absolute value peak detector, averager, analog

LPF

Sensor /

BPF

CMIA transduser

I II

III

A/ D converter

DSP Processor

IV

V

FIGURE 13.1 Block level presentation of analog and DSP parts of biosignal processor.

Low-pass Smoothing Filter VI

2. Circuit schematic and description of low-voltage, low-power FC-OTA

277

multiplier, analog adders, subtractors, etc. In this work, multiple output-based diode-less full-wave rectifier, OTA-based scaled gain, and unity gain adder, averager have been described with concern to low-frequency biosignals processing. In this chapter, we have focused on the design of some current mode CMOS analog cells, such as OTA, second-generation positive current conveyor (CCIIþ), and their applications [4,5]. The OTA is a voltage-to-current converter and is an important analog cell utilized in the core of op-amps, current conveyors (CCs), current feedback amplifiers (CFOA), etc. So, in this work, first a high-performance OTA cell is designed. Out of several types of OTAs, such as single ended or fully differential output, current mirror OTA (CM-OTA), telescopic OTA, folded cascode OTA (FC-OTA), etc., available in the literature, the FC-OTA and CM-OTA provides high gain and gain bandwidth product at a reasonable area [4]. So, a high-performance OTA as an analog cell has been designed, and its various performances are obtained in terms of open loop gain, phase margin (PM), gain margin (GM), gain bandwidth product (GBW), CMRR, PSRR, input and output noise spectral density, DC offsets cum linearity, slew rate (SR), total harmonic distortion (THD), etc. [6]. The folded cascode op-amp is then converted into CCIIþ by using CMOS inverter approach. The two CMOS inverters are connected at the output terminal of op-amp by using proper negative feedback at the inverting terminal of the OTA. The performances of the CCIIþ cell are obtained in terms of its voltage gain (a), current gain (b), input and output impedances, DC offset error, 3-dB bandwidth, power dissipation, etc. The CMOS CCIIþ cells have various applications such as adders, averager, integrators, second-order biquadratic voltage mode and current mode single-input multiple-output (SIMO), multiple-input single output (MISO) filters, single-phase and bi-phase oscillators, rectifiers, multipliers, etc. [7]. In this chapter the second-order biquadratic filters, oscillators, and rectifiers have been designed either using OTA cells or CCIIþ cells, and their performances and uses are discussed.

2. Circuit schematic and description of low-voltage, low-power FC-OTA The voltage-controlled current source is an essential analog cell used in the design of various active cells, such as op-amp, CCs, CFOAs, operational transresistance amplifier, dual-output second-generation current conveyors (DO-CCII), etc. [8,9]. To ensure ultra-low-power and very low-voltage operation, circuit designers prefer to use a bulk-driven weak inversion biased transconductance amplifier, which offers maximum gm/ID ratio efficiency [10e17]. Fig. 13.2 shows the PMOS input pair-based bulk-driven FC-OTA circuit. This circuit is biased in weak inversion region using IB of 18 nA and CMOS transistors NB1 through NB4 and PB1 through PB3 to generate required gate-bias reference voltages of VBN1, VBN2, and VBP1. The tail PMOS transistor P3 generates a current of 182.3 nA for PMOS input pair transistors P1 and P2. The folded cascode combiner network consists of two NMOS cascode pairs N1, N3 and N2, N4 as well as two-PMOS cascode pairs P4, P6 and P5, P7 (see Fig. 13.2). The current through the input pairs P1 and P2 is obtained as 90.66 nA. The circuit is simulated using PMOS input pair because the flicker noise of PMOS devices is less than NMOS devices, and the bulk of PMOS devices are only available in n-tub process [14]. The total current flowing in N1 or N2 is the sum of the drain current of P1 or P2 and the current coming from the up-side circuit portion (say N3 or N4) of its combiner network. The aspect ratios of the combiner network are set to ensure required values of symmetric positive and negative SR. The weak inversion bulk-transconductance (gmb) is

278

Chapter 13 Design and applications of rail-to-rail FC-OTA

FIGURE 13.2 Circuit schematic of bulk-driven FC-OTA.

given as gmb ¼ IBP1 =np UT where np is subslope factor of PMOS transistor and UT is thermal voltage 25.6 mV at ambient temperature (300 K) [16]. Thus, transconductance gain depends on bias current of input pair devices in weak inversion operation [16]. The low-frequency open loop voltage gain (Av) of this OTA can be expressed asAv ¼ gmb ro , where gmb is bulk-transconductance of matched input pair (P1 or P2) and ro is effective output impedance at output node of this OTA. Further, the impedance ro ¼ rop jj ron where rop is equivalent resistance of PMOS cascodes (P4; P6 or P5; P7), and ron is equivalent resistance of NMOS cascodes (N1; N3 or N2; N4). The rop and ron are extremely high for cascode load compared to single MOS-based active loads, so this FC-OTA provides increased gain. The rop is given as rop ¼ gmP7 roP7 roP5 and for comparable output conductance of N2 and P1 the ron ¼ 0:5 nn gmN4 roN4 roN2 gmi and ri are gate-transconductance and output resistance of concerned CMOS transistor, and nn ¼ 4/3 is the subslope factor of NMOS devices in 180 nm CMOS process [4,6]. The  GBW can be expressed as GBW ¼ gmP1 ðCL þCpo Þ , where CL and Cpo are load capacitor and sum of all parasitic capacitance present at output node [18e23]. The NMOS transistor N4 experiences body bias effect in NMOS cascode section.

3. Simulation results of OTA The AC, DC sweep, and transient analysis are performed to validate the various desired and actual performances of an amplifier. This bulk-driven FC-OTA is biased and designed in weak inversion region utilizing 180 nm CMOS process. In the transient analysis, sine and pulse waveforms are applied at the input terminal of the FC-OTA, and simulated performances such as THD and SRs are obtained. The circuit is simulated using n-tub bulk-CMOS 180 nm processing technology using Tanner EDA

3. Simulation results of OTA

279

Table 13.1 Simulated performances and transistor aspect ratios of FC-OTA. Performance name and results

Transistor aspect ratios and device parameters

Performance

Simulated value

Device name

Open loop gain (dB) 3-dB bandwidth (kHz) GBW (kHz) PM (degree) GM (dB) CMRR (dB) PSRRþ (dB) PSRRe (dB) Inoise (nV/OHz) Slew rates (V/ms) THD for 200 Hz, 0.2 V peak (dB) DC offset error (mV) Power dissipation (nW)

55 6.6 (unity gain) 6.5 89 42 113 @ 1 Hz 54.8 @ 1 Hz 87.6 @ 1 Hz 730 @ 1 kHz 6.0, 5.4 45.2

NB1, NB2, PB3 P1, P2 PB1 PB2 P3 P4, P5 P6, P7 N3, N4 N1, N2 NB4 NB3

Device size (W/L), (mm/ mm) 2/1 30/0.6 4/1 5/1 40/1 20/1.2 40/1.2, M ¼ 2 20/3, M ¼ 3 14/1 6/1 1/1

83.4 251.4

Load CL IBias

15 pF 18 nA

tools v 16.1. Table 13.1 lists CMOS transistor aspect ratios, DC bias current, used load capacitor, and simulated performance results of this FC-OTA.

3.1 AC analysis of OTA In the AC analysis, AC signal is applied to the input of an FC-OTA. This circuit is biased using 0.3 V supply voltage and biasing current of 18 nA in weak inversion region operation with load capacitor CL ¼ 15 pF. This bias ensures the low-voltage and low-power operation of the circuit [24e26]. The 1 V AC input is applied across the noninverting and inverting terminals of FC-OTA either in differential input configuration or alternatively, 0.5 V input AC to noninverting input w.r.t gnd, and 0.5 V input to inverting input terminal w.r.t. gnd. For a bulk-driven input pair like this, no additional DC bias is required at bulk terminals. Input pair devices are biased in condition by connecting their gate to VSS supply line [17]. The simulated gain and phase responses are obtained as shown in Fig. 13.3. It ensured the open loop gain of 55 dB, GBW of 6.5 kHz, PM of 89 , GM of 42 dB with power dissipation of 251.4 nW. When output node is shorted to inverting input node of OTA, a 100% negative feedback is inserted, which converts the amplifier into unity gain configuration [25]. In unity gain configuration, 3 dB bandwidth is found to be 6.6 kHz at load capacitor of 15 pF. Fig. 13.4 shows the CMRR, positive supply rail PSRR, and negative rail PSRR-responses under AC analysis. The CMRR is the ratio of differential mode gain to the common mode gain. A high CMRR is desired for folded cascode amplifiers in weak as well as strong inversion region operations to overcome stray noise coupled to biosignals [27,28]. The high CMRR is obtained at 1 Hz, which is equal to 113 dB, and CMRR being the function of frequency falls to 40 dB at 99 kHz. The PSRR is basically the common mode noise rejection capability of an amplifier if an unwanted noise gets coupled either to positive or negative supply rail or under the

280

Chapter 13 Design and applications of rail-to-rail FC-OTA

FIGURE 13.3 Gain and phase responses of FC-OTA.

FIGURE 13.4 The CMRR, PSRR, and PSRR-of OTA simulated under common mode gain and differential gain AC response setup.

effect of power supply transients. A high CMRR and PSRR are required to reduce the internal and external noise coupled to an SoC chip [19,20]. The positive and negative PSRRs are found to be 54.8 and 87.6 dB spotted at 1 Hz frequency. The circuit has also been simulated under five different corners, which are typical-typical NMOS and PMOS (TT), C1; fast-fast (FF); C2, slow-slow (SS); C3, fast-slow (FS); C4, and slow-fast (SF); C5, as shown in Fig. 13.5. There is slight variation in gain and phase responses ensuring its high PM and stability [27]. The range of the gain variation is limited within 49 dB (minimum) to 58.5 dB (maximum) concerned to SS and FF corners, respectively. Fig. 13.6 shows the result of noise contribution of this OTA under AC analysis. The high signal-tonoise ratio (SNR) at output node of an amplifier is desired, i.e., the noise in the signal path must be as

3. Simulation results of OTA

281

FIGURE 13.5 Corner simulation result under AC analysis setup for all device corners.

FIGURE 13.6 Input referred noise under AC response and noise analysis circuit setup.

little as possible [27]. In the analog circuits, signal is highly affected by the noise, which can degrade SNR at the output. The input referred noise (Inoise) referred to bulk-input terminal of this FC-OTA circuit w.r.t. to the output node is found to be 730 nV/OHz spotted at 1 kHz frequency.

3.2 DC sweep analysis of OTA The DC signal is applied to the noninverting input terminal of FC-OTA under unity gain configuration to find linearity range between input and output signal. It shows the linearity behavior of ICMR of the amplifier. There is a good linearity for 200 to 225 mV range out of total DC sweep range of e300 to 300 mV, as shown in Fig. 13.7. The DC offset error should be as low as possible, which constitutes good linearity of the circuit. The DC sweep error at 300 mV input is 43 mV, and at 300 mV, it is 30 mV. The difference in output and input voltage at Vin ¼ 0 V is found to be 100 mV only.

282

Chapter 13 Design and applications of rail-to-rail FC-OTA

FIGURE 13.7 DC sweep-based input common mode voltage range of this bulk-driven FC-OTA.

3.3 Transient analysis of OTA in unity gain configuration The SRs and THD is simulated under unity gain configurations of an amplifier. Under the input excitation with a pulse or unit-step signal the output voltage follows certain leading and trailing edge slopes that are limited by the processing speed of amplifier [19]. There are positive and negative slew rates associated with leading and trailing edges of pulse. The slew rate is given as SR ¼ 2 IP1/CL, where IP1 is DC bias current of input pair P1 or P2. The slew rate is the maximum possible value of rate of change of output voltage with time, i.e., dVo/dt of an amplifier. The input pulse of 200 mV and 1 kHz frequency (Load CL ¼ 15 pF) is applied to the input terminal of FC-OTA, which ensures positive and negative slew rates of 6 V/ms and 5.4 V/ms, respectively, as shown in Fig. 13.8. The sinusoidal transient is simulated with sine wave input of certain amplitude and frequency. Owing to some nonlinearity in output and input transfer characteristics of OTA/op-amp the output signal may have either wave-shape or frequency shift type distortion or sometimes both types of distortions. The THD measures the linearity criteria expressed whether in percent or decibels. The sine wave of 200 mV amplitude and 200 Hz frequency has ensured THD of e45.2 dB, as shown in Fig. 13.9. Further, the sine wave of 225 mV amplitude and 200 Hz frequency ensured the THD of 1%, which is equivalent to 40 dB.

4. Second-generation current conveyor (CCII) Sedra and Smith introduced for the first time a basic analog cell called a current conveyor in 1968 [20e22]. Though the op-amps were used in the design of voltage mode circuits and systems, its GBW was rather limited. The strong inversion operated current conveyors wins gain bandwidth limitations of op-amps and are very often used in high-frequency applications. However, if a low-voltage CCII cell is

4. Second-generation current conveyor (CCII)

283

FIGURE 13.8 Slew rates responses under transient analysis.

FIGURE 13.9 Sinusoidal wave response under transient analysis for this FC-OTA.

biased with low current ( 10; for this case, a ratio of C2/C1 is scaled for high Q and product of C1 and C2 sets notch frequency value of fN ¼ 50 Hz or 60 Hz to remove power supply interference. Using the band-reject subcircuit of Fig. 13.16, the notch frequency can be set to 50 Hz or 60 Hz to remove the power supply ripples (see Fig. 13.18). It is obtained by using C2 ¼ 50 nF and C1 ¼ 60 pF in the band-reject subcircuit of Fig. 13.16.

290

Chapter 13 Design and applications of rail-to-rail FC-OTA

FIGURE 13.17 Five-OTA-based biquadratic filter response.

FIGURE 13.18 Simple MISO type OTA-C biquadratic filter response.

5.2 Two-OTA-based Gm-C MISO type biquadratic filter This MISO type OTA-C filter, shown in Fig. 13.19, requires only two FC-OTA cells and two capacitors C1 ¼ C2 ¼ 1 nF, adopted from Ref. [29]. For setting LP, BP, HP, and BR responses the connection of the input signal should follow as stated in Table 13.4. Its routine nodal analysis generates the output to inputs relation, as shown in Eq. (13.3).

5. Applications of operational transconductance amplifiers

V1

Vin

gm2

gm1 +

VAC

Vo



− VAC (1-V)

291

+ C2 C1

E1

E2

E3

FIGURE 13.19 Two-OTA-based biquadratic filter response [29].

VoðsÞ ¼

NðsÞ E3 s2 þ E2 gm2 s=C2 þ E1 gm1 gm2 =C1 C2 ¼ DðsÞ s2 þ gm2 s=C2 þ gm2 gm1 =C1 C2

(13.3)

Comparing Eq. (13.3) by standard transfer function of Table 13.3 the u0 and Q can be found as shown in Eq. (13.4). qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi (13.4) u0 ¼ ðgm1 gm2 Þ=C1 C2 and Q ¼ ðgm1 C2 Þ=gm2 C1 The band-pass filter has fL ¼ 64 Hz and fH ¼ 172 Hz, BW ¼ 108 Hz. The BP response has a central frequency (fo) of 105 Hz and quality factor of 0.98. The depth of the notch response is found to be 38 dB. For HPF, fL ¼ 83 Hz and for LPF, fH ¼ 132 Hz (see Fig. 13.20). It used two OTAs and consumed a total power of 503 nW.

FIGURE 13.20 Simple MISO type OTA-C biquadratic filter response.

292

Chapter 13 Design and applications of rail-to-rail FC-OTA

FIGURE 13.21 Two-OTA-MISO type notch filter with fN ¼ 50 Hz, Q ¼ 25, and notch bandwidth of 2 Hz.

This filter can be utilized to have high Q sharp notch response to filter out 50 Hz power supply noise from the biosignals. Using C2 ¼ 60 nF and C1 ¼ 60 pF, we obtained notch filter with notch frequency fN ¼ 50 Hz, Q ¼ 25, and notch bandwidth of 2 Hz, as shown in Fig. 13.21. It can be utilized to remove out mains supply frequency interferences from biosignals.

5.3 SIMO voltage mode biquadratic filter Fig. 13.22 presents the circuit schematic of an SIMO biquadratic filter using four OTA cells and two grounded capacitors C1 and C2. This circuit has been adopted from Ref. [29]. The gm3 and gm4 cells function as integrators, whereas gm1 works as adder with different feedback paths, and gm2 presents a resistor of value 1/gm2 in between VHP and VBP nodes (see Fig. 13.22).

+ −

gm2 gm1



+

Vin 1-V VAC FIGURE 13.22 Circuit schematic of SIMO biquadratic filter [29].

VHP



gm3 +

VBP C1



VLP

gm4 +

C2

5. Applications of operational transconductance amplifiers

293

This filter provides, simultaneously available, high-pass, band-pass, and low-pass filter functions at its VHP and VBP, and VLP nodes, respectively. It can be utilized when all types of filter functions are simultaneously required in a biosignal chain. The routine nodal analysis provides high-pass, band-pass, and low-pass transfer functions, as given by Eqs. (13.5)e(13.7). VHP gm1 s2 =gm2 ¼ 2 Vin s þ gm3 s=C1 þ gm1 gm3 gm4 =gm2 C1 C2

(13.5)

VBP  gm1 gm3 s=gm2 C2 ¼ Vin s2 þ gm3 s=C1 þ gm1 gm3 gm4 =gm2 C1 C2

(13.6)

VLP gm1 gm3 gm4 =gm2 C1 C2 ¼ 2 Vin s þ gm3 s=C1 þ gm1 gm3 gm4 =gm2 C1 C2

(13.7)

From these equations the attenuation factor a ¼ uo/Q ¼ gm3/C1 and square of angular frequency u2o ¼ gm1 gm3 gm4 =gm2 C1 C2 . So, the central angular frequency and quality factor Q of band-pass and band-reject responses are given by Eqs. (13.8) and (13.9). uo ¼ ðgm1 gm3 gm4 =gm2 C1 C2 Þ1=2

(13.8)

Q ¼ ðgm1 gm4 C1 =gm2 gm3 C2 Þ1=2

(13.9)

For all the equal components design angular central frequency (uo) is uo ¼ gm/C and Q ¼ 1. This SIMO filter is comprised of four FC-OTA cells and two grounded capacitors C1 ¼ C2 ¼ 1 nF. Its bandpass response has fL ¼ 62 Hz and fH ¼ 180 Hz, and BW ¼ 118 Hz. The central frequency is found to be 105.64 Hz, and quality factor of BP response is 0.98. For HPF, fL ¼ 81 Hz, and for LPF, fH ¼ 136 Hz (see Fig. 13.23).

FIGURE 13.23 SIMO voltage mode biquadratic filter responses.

294

Chapter 13 Design and applications of rail-to-rail FC-OTA

1/R

G

+ 0.5 gm

R



V1 C

R

V2

− OTA +

C

R

V3

− OTA +

C

R

− OTA +

FIGURE 13.24 Circuit of three-phase oscillator using three OTAs and three RC networks, adopted from [23].

FIGURE 13.25 Output responses three-phase oscillator.

5.4 Three-phase oscillators using FC-OTAs Three-phase oscillators are comprised of three FC-OTA cells, each of transconductance value, say gm, three resistors each of R, and three capacitors each of C, as shown in Fig. 13.24, which has been adopted from Ref. [23]. To build up oscillations the condition is to set resistor R ¼ gm/2. However, for its on-chip implementation, all three resistors can be replaced by three gm cells having transconductance gain of gm/2. To achieve this requirement the DC bias current of those OTAs forming gmbased resistor (R) has been taken as (IB/2), i.e., 9 nA. So, these resistors have been replaced by the transconductance cells each of value of gm/2, and three equal value capacitors each of 1 nF are used. In this case, this circuit requires six OTAs and three capacitors and will dissipate a total power of 1508 nW. The frequency of oscillation is 57 Hz for each of three 120-degree phase-shifted outputs, as shown in Fig. 13.25.

5. Applications of operational transconductance amplifiers

295

5.5 Full-wave rectifiers using MO-CM-OTA The low-voltage precision full-wave rectifiers (FWR) and half-wave rectifiers are required in waveshaping subcircuits of a biosignal processor [30,31]. An OTA-C-based rectifier also requires one or two diodes. Some authors have reported diode-less FWR using multiple output OTA (MO-OTA) [32,33]. The design of an MO-OTA can be easily be done by utilizing balanced current mirror loadbased OTA methodology. The ultra-low-power high-gain CMOS OTA having low transconductance value are preferred to design high time constant circuits for low-frequency biomedical applications [39,40]. Fig. 13.26 presents a multiple output (three outputs) bulk-driven self-cascode symmetric current mirror load OTA operating in weak inversion region having low power budget. Its input core contains one pair of flipped voltage followers (FVF) made of CMOS devices P1 through P4 and current sources N1, N2, which are biased with DC bias currents of 20 nA. These FVFs convey their bulk-input signals to the sources of main input pair pMOS transistors P5 and P6. The input signal is also applied to bulk-terminals of P5 and P6, which increases the effective transconductances by two times [27,41]. Further, in its load side the partial positive feedback is applied through current starving nMOS transistors N5, N5C, N6, and N6C. The gain enhancement factor of PPF is set to 1/ (1K), where K is set to 0.75, which adds four times gain enhancement [28,41]. Thus, a total gain enhancement of eight times is achieved from input core side over the simple-bulk-driven OTA. This self-cascode load and current mirror-based OTA provides the gain enhancement owing to selfcascode composite pair type active loads. In this BDeSCeCM-OTA, several output nodes can be composed by adding required numbers of current mirrors branches. In this way, it has composed positive and negative polarity fully differential nodes, named Vo1 and Vo3 ¼ Vo1. Further, one additional output node is derived to get a duplicated Vo1 node named Vo2 (see Fig. 13.26). This bulk-driven SC-CM-OTA ensured the fully differential gain of 78 dB. Table 13.5 lists the simulated performance results and components used in design of this OTA. This OTA consumes low power of 104 nW. This multiple output symmetric current mirror bulk-driven OTA has been utilized in the design of noninverting and inverting FWR in Section 5.4. It can also be utilized to design all remaining OTA-based circuits described in Section 5.5. Fig. 13.27A shows a noninverting full-wave rectifier circuit that requires a multiple-output OTA having two positive Vo1 and Vo2 nodes and a single negative polarity Vo3 node and two CMOS switches Mn and Mp [34]. The signal Vo2 at common gates of switches generates Vsat (300 mV) levels on alternate cycles of input signal [34]. It turns on switch Mn in positive half cycle and Mp during negative cycle. A 1.2 MU load resistor (RL) produces FWR voltage across it. The input, output, and gate drives and signals are shown in Fig. 13.28. To rectify the inverting envelope of sine wave the Vo1 and Vo3 connections are to be exchanged, which produces inverting FWR action, as shown in Fig. 13.29. The load resistor RL can be replaced by using a shunt-connected pMOS (MpL, W/L ¼ 7 mm/1 mm) and nMOS (MnL, W/L ¼ 5 mm/1 mm) transistors, as shown in Fig. 13.27B, to reduce the high area consumed by 1.2 MU load resistor. However, this area reduction is achieved at the cost of slightly reduced peak when switch Mp conducts. These rectifiers are found suitable for frequency range of 0.1e500 Hz and amplitude range of 10 mVe35 mV.

296

P4

P3 P1

IBias

Vin+ Vin-

P8

N1

P10

Vin-

P16 Vo3= −Vo1

Vo2

Vin+

NB N4C N3C

Vo1

P6

VSS

P14

P12

N2

P5 VBN

P15

P13

P2

VBN

20 nA

P11

P9

P7

N7C

N8C

N5C

CL

N6C

N10C

N9C

N11C

gnd N4

N3

N7

N10

N8

Vb2

N9

N6

N5 Vb1 VSS = −0.3 V

FIGURE 13.26 The circuit schematic of bulk-driven self-cascode current mirror MO-OTA.

to Vb1

to Vb1

N11 to Vb2

Chapter 13 Design and applications of rail-to-rail FC-OTA

VDD = 0.3 V

6. Applications of second-generation positive CCII cell

297

Table 13.5 Simulated performance results and component size of BDeSCeCM-MO-OTA. Performance name and results

Component name and physical size Device size (W/L) (mm/mm), M [ 1

Performances

Simulated value

Device name

FD-open loop gain (dB) FD-GBW (kHz) FD-PM (o) GM (dB)

78 13.5 63 31

10/0.6 5/1 20/0.6 2/1

SE-CMRR (dB)

100 @ 1 Hz

SE-PSRRþ (dB) SE-PSRRe (dB) SE-Inoise (nV/Sqrt Hz) Slew rates (V/ms) SE nodes and SR in FD output case THD for 100 Hz, 0.25 V peak (dB) Power dissipation (nW) of MO-OTA

75 86 818 @ 1 Hz 5.7, 3.33 40, 40

P1, P2, P5. P6 NB, N1, N ¼ P3, P4 N3, N4, N5, N6 N9, N10, N11 N3C, N4C, N5C, N6C N9C, N10C, N11C P7, P9 P8, P10 N7, N8 N7C, N8C Mn, Mp Load CL RL IBias

15 pF 1.2 MU 20 nA

38 104

40/1 6/1 60/1, M ¼ 2 1.6/1 32/1 40/0.5 and 80/0.5

5.6 FC-OTA-based signal adder The unity gain analog signal adder or scaled gain signal adder are required in analog signal blocks of a biosignal processor. Fig. 13.30 shows the simple three-signal adder comprised of four OTA cells adopted from Ref. [23]. Three signals are applied into noninverting pins of three different OTAs with their inverting terminals grounded. The gm4 cell collects all these three currents to provide added output at node Vo. The transfer function equation of the circuit is shown in Eqs. (13.10) and (13.11). Vo ¼

g m 1 V1 g m 2 V2 g m 3 V 3 þ þ gm 4 gm 4 gm 4

For equal values of all transconductances the Vo ¼ V1 þ V2 þ V3

(13.10) (13.11)

6. Applications of second-generation positive CCII cell The CCIIþ cells are particularly useful while designing a voltage mode as well as current mode basic building blocks. It provides voltage buffering action between its Y and X terminals and current buffering action between its X and Z nodes [8]. Its Y node does not draw any current owing to its extremely high input impedance (IY ¼ 0).

298

io= gm Vin

+

Mn

Vo1 Vin

gm

VSS

io

−Vo3



MpL

io

Vo2

±Vsat RL

−io

VDD RL

VSS MnL

Mp MO-OTA

gnd

VDD VDD

(a) FIGURE 13.27 (A) MO-OTA and two switch-based noninverting FWR, (B) CMOS equivalent of load RL.

(b)

Chapter 13 Design and applications of rail-to-rail FC-OTA

VSS

6. Applications of second-generation positive CCII cell

FIGURE 13.28 Noninverting FWR output (blue trace) across load resistor RL.

FIGURE 13.29 Inverting FWR output (blue trace) across load resistor RL.

V1

+ gm1 −

io1 V2

+

gm2 −

io2 V3

− gm3 +

FIGURE 13.30 Three analog signal adder circuit using four OTA cells [23].

io3

− gm4 +

io Vo

299

300

Chapter 13 Design and applications of rail-to-rail FC-OTA

VDD Vout V1

C1

Y R1

CCII+ Z

X

R2

VSS

V2

C2 V3

FIGURE 13.31 MISO type multifunction biquadratic filter using a single CCIIþ cell. Adopted from Jiun-Wei H. (2010). Voltage/current-mode universal biquadratic filter using single CCIIþ, Indian J. Pure Appl. Phys. 48, 749e756.

6.1 MISO type biquadratic filter MISO/SIMO filters can also be implemented using second-generation current conveyors and RC networks. Fig. 13.31 shows a single CCIIþ cell-based multifunction MISO filter, which has been adopted from Ref. [35]. This MISO type biquadratic filter uses a single CCIIþ cell. Different input signals are given at the input terminal to generate LP, BP, HP, and BR type of responses, but only one at a time. Its nodal analysis generates the output and input signal relations as expressed in Eq. (13.12).   V1 R1 R2 C1 C2 s2 þ V3 R2 C2 s þ V2 VO ¼ (13.12) ðR1 R2 C1 C2 s2 þ ðR1 C1 þ R2 C2 Þ s þ 1Þ So, it will provide HP response with setup of V1 ¼ Vin and V2 ¼ V3 ¼ 0, BP response with setting of V3 ¼ Vin and V1 ¼ V2 ¼ 0, and low-pass filer response with setting of V2 ¼ Vin and V1 ¼ V3 ¼ 0. It offers band-reject response when V3 ¼ 0 and V1 ¼ V2 ¼ Vin. Comparing its transfer function Eq. (13.12) by standard biquadratic equation as listed in 13.4, we can calculate its central angular frequency u0 and Q as given by Eq. (13.13). pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi pffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi u0 ¼ 1= R1 R2 C1 C2 and Q ¼ R1 R2 C1 C2 =ðR1 C1 þ R2 C2 Þ (13.13) This filter is comprised of two equal values of resistors and two equal values of capacitors, each of R ¼ 100 kU and C ¼ 10 nF, respectively. The band-pass corner frequencies fL ¼ 94 Hz and fH ¼ 258 Hz, and BW ¼ 164 Hz. The central frequency is found to be 156 Hz and quality factor Q ¼ 0.95 for BP response. For HPF, fL ¼ 122 Hz, and for LPF, fH ¼ 204 Hz (see Fig. 13.32). It uses a single CCIIþ cell and dissipates the power of 944.5 nW. Fig. 13.33 shows high Q (value 25) notch response of reject-bandwidth 2 Hz to notch out 50 Hz power supply ripples from biosignals. It is obtained by using a band-reject MISO subcircuit of Fig. 13.31, with C1 ¼ 1 mF and C2 ¼ 0.9 nF, while setting R1 ¼ R2 ¼ 100 kU.

6.2 Quadrature oscillator Fig. 13.34 shows the circuit schematic of quadrature (two-phase) oscillator that generates 90-degree phase-shifted bisignals of low frequency. It uses three CCIIþ cells, four grounded resistors, and

6. Applications of second-generation positive CCII cell

301

FIGURE 13.32 MISO voltage mode biquadratic filter response.

FIGURE 13.33 High Q sharp notch biquadratic filter to remove 50 Hz power supply ripples.

two grounded capacitors [8]. It presents a two integrator loop biphase oscillator. Two phase-shifted signals are produced at its V2 and V4 nodes. This quadrature oscillator is comprised of three CCII cells, four equal values of resistor R ¼ 10 kU, and two equal values of capacitors C ¼ 60 nF. The frequency of oscillation is 258 Hz and phase shift is 90 degrees (See Fig. 13.35). Since it uses 3-CCIIþ cells, it dissipates a total power of 2.833 mW. This oscillator can be used in testing of biomedical instruments and in quadrature modulation scheme.

302

Chapter 13 Design and applications of rail-to-rail FC-OTA

R4

Y3 + Z3 CCII3+ X3 − R3

v2

X1

R1

Y1

v4

Y2 CCII2+ Z2

CCII1+ Z1

X2 C2 C1

FIGURE 13.34 Two-phase quadratic oscillator circuit [8].

FIGURE 13.35 Quadrature oscillator response.

R2

6. Applications of second-generation positive CCII cell

v1

+



Y1 CCII1+ Z1 X1

303

Vo 2 iZ

2 iX =2 iZ

RL iZ2 = iX2 = iX

iX2 = iZ RG X2 CCII2+ Z2

v2

Y2

iZ2

FIGURE 13.36 Two-CCIIþ-cell-based CMIA first time proposed by [9,38].

6.3 Current mode instrumentation amplifier The CMIA of extremely high CMRR and PSRR is desired to amplify low-amplitude and lowfrequency transduced signals that are buried in on-chip stray noise. The CMIA having high CMRR highly attenuates common mode noise to increase SNR at output node [36e38]. Fig. 13.36 shows the circuit schematic of the two-CCIIþ-cell-based instrumentation amplifier adapted from Ref. [9,38]. It uses two CCIIþ and the grounded load resistor (RL). It provides two-fold currents at Z1 node to facilitate 6 dB gain rise compared to a simple CMIA of unfolded IZ2 type [37]. This CMIA consumes power of 1889 nW. The voltage gain of this CMIA is given by Eq. (13.14). Av ¼

Vo RL ¼ ðV2  V1 Þ ðRg þ 2 RX Þ

(13.14)

where RX is the input impedance of CCIIþ cell at its X node. The voltage gain of this CMIA is the function of RL and RG. If RG is taken of zero value, then gain depends upon load resistor RL. This RL can be replaced by MOS resistor in case of on-chip fabrication of CMIA. The instrumentation amplifier is comprised of two CCII cells, one load resistance RL ¼ 5 MU, and RG is set to zero. The gain of CMIA is found to be 87.5 dB, and its PM is 56 degrees (see Fig. 13.37). The CMRR of this instrumentation amplifier at gain of 87.5 dB is found to be 92 dB at 1 Hz, and its positive supply rail PSRR and negative supply rail PSRR are found to be 152 and 154 dB at 1 Hz, respectively, as shown in Fig. 13.38. Thus, it has offered high noise and power supply transient reduction property suitable to process biomedical signals.

304

Chapter 13 Design and applications of rail-to-rail FC-OTA

FIGURE 13.37 The gain and phase plots of CM-instrumentation amplifier AC response setup.

FIGURE 13.38 CMRR of instrumentation amplifier response.

6.4 Voltage and current adders The CCII cell or cells that can be utilized to sum several analog currents are analog voltages levels in biosignal processing blocks. Fig. 13.39A and B show one such current and voltage adder, respectively, as reported in Ref. [7]. In Fig. 13.39A, three currents when fed into the X terminal of a CCII cell replicate the sum of these currents at its output Z node. So, iz ¼ i1þ i2 þ i3. It dissipates the power of 944.5 nW. Fig. 13.39B presents three voltage adders in which at X terminal of the first CCII cell, three currents are added and conveyed to its Z node, and all

7. Conclusions

305

i1 i2 X CCII+ Z

i3

iZ IO

Y (a)

v1 v2 v3

R R R

X CCII+ Z

iZ Y CCII+ Z X

Y RL (b)

vo

FIGURE 13.39 Signal summer using CCIIþ cells, (A) three current adders, (B) three voltage adders [7].

of these currents when flowing into the load RL generate a voltage level Vo at Y node of the second CCII cell. This Vo is given by Eq. (13.15). Vo ¼ ðV1 þ V2 þ V3 ÞRL =R

(13.15)

The output voltage for equal values of R and RL resistors is given as Vo ¼ V1 þ V2 þ V3. It consumed power of 1889 nW.

7. Conclusions This chapter has discussed bulk-driven FC-OTA and single-/dual-output CCIIþ cells and their performance features. The various useful applications such as SIMO, MISO filters, FWR, three-phase oscillators, quadrature oscillator, signal adders for this low-power and low-voltage OTA are briefly described with concern to low-frequency biosignal processing. The HPF, LPF, and BPF filters can be used to bandlimit the amplified biosignals, whereas the band-reject filter is useable to remove fundamental as well as second and third overtones of power supply transients at 50 Hz or 60 Hz. The analog adders, signalaverager, and precision rectifiers are used in wave-shaping of biosignals. The designed biphase and three-phase low-frequency oscillators can be used in testing of biomedical instruments. Similarly, the various applications of CCIIþ cells are stated and briefly described with concern to low-voltage, lowpower biosignal applications. The CCII cell-based current and voltage adders are useable in an analog signal processing chain. The corner frequencies, central frequency of filters, and oscillation frequency of oscillators is set below 1 kHz to ensure their usability in a biosignal sensor, biosignal processing, and biomedical testing. The CCII cell-based CMIA offers extremely high input impedance and avoids loading of transduced signal source. It has extremely high CMRR and PSRRs and removes common mode noise and power supply transients to a greater extent. Thus, this CMIA is useable to amplify weak amplitude low-frequency transduced signal in the front end of a biosignal processor.

306

Chapter 13 Design and applications of rail-to-rail FC-OTA

References [1] F. Peper, The end of Moore’s law: opportunities for natural computing? New Generat. Comput. 35 (2017) 253e269, https://doi.org/10.1007/s00354-017-0020-4. [2] F. Dielacher, J. Houptmann, J. Resinger, A software programmable CMOS telephone circuit, IEEE J. Solid State Circ. 26 (7) (1991) 1015e1026. [3] Y. Cheng, Y. Li, The design of integrated circuit for biomedical and mHealth application, in: S. Adibi (Ed.), Mobile Health. Springer Series in Bio-/Neuroinformatics, vol. 5, Springer, Cham, 2015. [4] R. Dehghani, Design of CMOS Operational Amplifiers, Artech House, Norwood, 2013. [5] T. Sharan, N.K. Nath, Low-power, folded cascode near rail-to-rail OTA for moderate frequency signal processing, in: International Conference on Innovations in Electronics, Signal Processing and Communication (IESC), Shillong, 2017, pp. 5e10. [6] K.R. Laker, W.M.C. Sansen, Design of Analog Integrated Circuits and Systems, McGraw-Hill, 1994, ISBN 9780071134583. [7] G. Ferri, N.C. Guerrini, Low Voltage Low Power CMOS Current Conveyors, Springer, New York, 2003, ISBN 9781402074868. [8] R. Senani, D.R. Bhaskar, A.K. Singh, Current Conveyors, Springer International Publishing, 2015, ISBN 9783319086835. [9] L. Safari, G. Ferri, S. Minaei, V. Stornelli, Current-Mode Instrumentation Amplifiers, Analog Circuits and Signal Processing BOOK SERIES, Springer International Publishing, 2018, ISBN 9783030013424. [10] A. Guzinski, M. Bialko, J.C. Matheau, Body-driven differential amplifier for application in continuous-time Active-C Filter, in: European Conference on Circuit Theory and Design, 1987, pp. 315e319. [11] B.J. Blalock, P.E. Allen, G.A. Rincon-Mora, Design 1-V Op amps using standard digital CMOS technology, IEEE Trans. Circ. Syst. II: Anal. Digit. Signal Process. 45 (7) (1998) 769e780. [12] F. Bahmani, S.M. Fakhraie, A rail-to-rail, constant Gm, 1-volt CMOS opamp, in: IEEE International Symposium on Circuits and Systems, Geneva, Switzerland, vol. 2, 2000, pp. 669e672. [13] P. Monsurro, G. Scotti, A. Trifiletti, S. Pennisi, Biasing technique via bulk terminal for minimum supply CMOS amplifiers, Electron. Lett. 41 (14) (2005) 779e780. [14] S. Chatterjee, Y. Tsividis, P. Kinget, 0.5-V analog circuit techniques and their application in OTA and filter design, IEEE J. Solid State Circ. 40 (12) (2005) 2373e2387. [15] L. Zuo, S.K. Islam, Low-voltage bulk-driven operational amplifier with improved transSconductance, IEEE Trans. Circuits Syst. I, Reg. papers 60 (8) (2013) 2084e2091. [16] L.H.C. Ferreira, S.R. Sonkusale, A 60 dB gain OTA operating at 0.25-V power supply in 130-nm digital CMOS process, IEEE Trans. Circ. Syst. I 61 (6) (2014) 1609e1617. [17] A. Yodtean, A. Thanchayanont, Sub 1-V highly-linear low-power class-AB bulk-driven tunable CMOS transconductor, Analog Integr. Circuits Signal Process. 75 (3) (2013) 383e397. [18] R. Dehghani, A.R. Danesh, A high-constant gm rail-to-rail operational amplifier using bump-smoothing technique with stabilized output stage, Analog Integr. Circuits Signal Process. 103 (2020) 273e281, https://doi.org/10.1007/s10470-020-01620-1. [19] S. Banagozar, M. Yargholi, Ultra-low power two-stage class-AB recycling double folded cascode OTA, AEU-Int. J. Electron. Communicat. 110 (10) (2019) 152848. [20] K.C. Smith, A. Sedra, The current conveyor-A new circuit building block, IEEE 56 (8) (1968) 1368e1369. [21] F. Khateb, N. Khatib, D. Kuba´nek, Novel ultra-low-power class AB CCIIþ based on floating-gate folded cascode OTA, Circ. Syst. Signal Process. 31 (2012) 447e464. [22] F. Khateb, N. Khatib, D. Kuba´nek, Novel low-voltage low-power high-precision CCII based on bulkdriven folded cascode OTA, Microelectron. J. 42 (5) (2011) 622e631.

References

307

[23] T. Parveen, Textbook of Operational Transconductance Amplifier and Analog Integrated Circuits, I.K, International Publishing House Pvt. Ltd., 2013, ISBN 978-93-80026-55-8. [24] M. Akbari, O. Hashemipour, A 0.6-V, 0.4-mW bulk-driven operational amplifier with rail-to-rail input/output swing, Analog Integr. Circuits Signal Process. 86 (2) (2016) 341e351. [25] M. Akbari, S. Biabanifard, S. Asadi, M.C.E. Yagoub, High performance folded cascode OTA using positive feedback and recycling structure, Analog Integr. Circuits Signal Process. 82 (2015) 217e227. [26] A.N. Ragheb, H. Kim, Ultra-low power OTA based on bias recycling and subthreshold operation with phase margin enhancement, Microelectron. J. 60 (2017) 94e101. [27] X. Lv, X. Zhao, Y. Wang, D. Jia, Super class AB-AB bulk-driven folded cascode OTA, integration, VLSI J. 63 (2018) 196e203. [28] R.S. Assaad, J. Silva-Martinez, The recycling folded cascode: a general enhancement of the folded cascode amplifier, IEEE J. Solid State Circ. 44 (9) (2009) 2535e2542. [29] K.L. Su, Analog Filters, second ed., Springer US, 2002, ISBN 9780306479533, pp. 345e376. [30] M. Kumngern, K. Dejhan, High frequency and high precision CMOS full-wave rectifier, Int. J. Electron. 93 (3) (2006) 185e199. [31] H. Dong, Z. Yuanjin, A low-voltage low-power high precision digitally tunable transconductance rectifier, Int. Symp. Integr. Circuit. (2009) 368e371. ISIC ‘09. [32] F. Khateb, S. Vlassis, Low-voltage bulk-driven rectifier for biomedical applications, Microelectron. J. 44 (2013) 642e648. [33] N. Minhaj, Precision full-wave rectifier circuits without diodes, Int. J. Recent Trends Eng. 1 (3) (2009) 1e4. [34] S. Maheshwari, Current controlled precision rectifier circuits, J. Circ. Syst. Comput. 16 (1) (2007) 129e138. [35] H. Jiun-Wei, Voltage/current-mode universal biquadratic filter using single CCIIþ, Indian J. Pure Appl. Phys. 48 (2010) 749e756. [36] B. Wilson, Universal conveyor instrumentation Amplifier, Electron. Lett. 25 (7) (1989) 470e471. [37] A.A. Khan, M.A. Al-Turaigi, M.A. El-Ela, An improved current-mode instrumentation Amplifier with bandwidth independent of gain, IEEE Tran. Instrum. Meas. 44 (04) (1995). [38] S.J. Azahari, H. Fazlalipour, A novel current mode instrumentation amplifier (CMIA) Topology, IEEE Trans. Instrument. Measure. 49 (6) (2000) 1272e1277. [39] A. Ghaemnia, O. Hashemipour, An ultra-low power high gain CMOS OTA for biomedical applications, Analog Integr. Circuits Signal Process. 99 (2019) 529e537. [40] V.S. Rajan, K.H. Kishore, R. Sanjay, S. Kumaravel, B. Venkataramani, A novel programmable attenuator based low Gm-OTA for biomedical applications, Microelectron. J. 97 (2020) 104721. [41] N. Deo, T. Sharan, T. Dubey, Subthreshold biased enhanced bulk-driven double recycling current mirror OTA, Analog Integr. Circuits Signal Process. (2020), https://doi.org/10.1007/s10470-020-01689-8.

CHAPTER

The role of electronic filters in biomedical applications: a brief survey

14

Tanya Srivastava1, Sahil Virk2, Abhimanyu Kumar3, Souvik Ganguli3 1

Department of Computer Science and Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India; 2Mentor Graphics Pvt. Ltd., Uttar Pradesh, India; 3Department of Electrical and Instrumentation Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India

1. Introduction Filters have been widely utilized in the electrical and electronic industries to remove unwanted components from the input signal. Removing noise from the signal is as important as segregating toxicities from biomedical waste. As these toxic substances may release into the atmosphere and their release can cause several environmental problems, the same is the case in the filters where the presence of noise in them could lead to the loss of valuable information and sometimes may lead to the malfunctioning of the device [1]. Several types of electronic filters are already in use for different biomedical applications. For instance, low-pass filters are being employed in the analysis and detection of cardiac signals, as they have been used in the generation of electrocardiogram (ECG) reports by eliminating the muscle noise and the high-frequency signal. High-pass filters are also utilized in ECG to eradicate the low-frequency components that constitute the respiratory variation and motion artifact. Due to the interference of power lines in indoor biopotential measurements, notch filters of 50/60 Hz are also applied to reduce such kind of interference [2,3]. Electronic filters are also employed in magnetic resonance imaging (MRI) to remove noise. Various types of filters are utilized in brain image processing as well. Primarily, the image is processed through a kernel filter (which uses low/high-pass filter) for image sharpening, and then the image is passed through the Sobel filter. Lastly, the brain image is smoothened by processing it through a lowpass filter. This whole arrangement of filters is also known as the kernel, Sobel, and low-pass filter. Also, filters are applied in evaluating images in mammography. Through mammography, tumors in the breasts of women can be easily detected [4]. In brain wave machines, filters are also widely used. A brain wave machine is a type of biomedical instrument in which the activity of the scalp is being recorded with electroencephalography by firing the neurons within the brain. It helps in the treatment of various disorders like brain death, mental

Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00022-8 Copyright © 2021 Elsevier Inc. All rights reserved.

309

310

Chapter 14 The role of electronic filters

healthiness, coma, anesthesia, and sleeping disorder. In electroencephalography, a low-pass filter removes the high-frequency components such as electromyographic signals, while high-pass filters out electro-galvanic signals and movement artifacts. In digital image processing, filters are mainly employed to smoothen the image by suppressing the high frequencies and enhance the image by repressing the low frequencies. Low-pass filtering is also called a blurring or smoothing filter, and it is the most basic of filtering operations. It calculates the average of the pixel and all eight of its immediate neighbors. High-pass filtering emphasizes the fine details of the image, where it can cause small and faint details to be greatly exaggerated. Thus, it is used to make an image appear sharper. This technique of digital image processing is used in biomedical sciences to detect tissues and to identify and study various diseases. Thus, this chapter will review the contribution of different electronic filters in the field of biomedical engineering.

2. Literature review The entire survey is divided into four subsections based on the diagnostic procedure involved.

2.1 ECG/EMG ECG and electroencephalogram (EEG) are the two most important diagnostic procedures in medical science. They differ in the bandwidth and the amplitude of the signals they measure as well as the type of biomedical signals they read. For example, ECG is employed for determining heart activity by processing signals from the limbs and torso, whereas EEG is used as a reference for monitoring brain activity. Despite these stark differences, biomedical signals (such as ECG and EEG) share a similarity in their characteristics such as low frequency and voltage levels. Due to the delicate nature of these signals, the concern for noise susceptibility and linearity arises. Denoising and amplification are, therefore, important in this area, raising the use of electronic filters [5]. A common contaminant in the output of these diagnostic procedures is residual, unwanted powerline harmonics. The physiologic signals often get corrupted by these undesired powerline signals at a frequency of 50/60 Hz if they are run on AC power. It is caused by variations between the impedances of the electrodes and the stray currents between the patient and the wire. These interferences can disturb the ECG wave boundaries and thus flaw the automatic ECG analysis [6]. An established method in the removal of powerline artifacts from ECG signals is the subtraction procedure, and it has been continuously been improving in the past years [7e9]. The removal of the interference components on linear segments is done by digital filtering, whereas in the nonlinear segments, the interference components are removed by initially storing them and then subtracting them. Due to the specific filter modules, this method showcased unparalleled flexibility and further modifications were easily made for reducing the artifacts. This method proved to be advantageous over other methods [10]. Recently, digital or analog comb filters were employed using traditional op-amps to further reduce interference. Comb filters work on the principle of constructive or destructive interference. By adding a delayed version of the input signal, the signals are made to interfere, making the output have a series of evenly spaced notches resembling a “comb” [11e13]. Utilizing CMOS operational

2. Literature review

311

transconductance (OTA) and grounded capacitors, Ref. [11] proposed a new analog comb filter (developed on the working of bandpass filters). A block diagram is shown in Fig. 14.1. They compared previous works on parameters such as total harmonic distortion, noise depth, and adjustability of bandwidth, which revealed the suitability of their method. As discussed, notch filters and their variations were used to remove powerline interference. Otherwise, it resulted in a portion of the information about EEG from the gamma wave band (35e100 Hz) being lost. These distortions were anticipated to be decreased when using an adaptive algorithm, so a comparative study was done in Ref. [14]. The filters compared were notch filters, adaptive LMS (least mean square), and adaptive recursive least squares filters. The study concluded that notch and adaptive filters performed comparably better. Bano et al. [15] presented two different compact nano-power subthreshold biquadratic cells for portable ECG applications where a notch filter was used to remove the power line interference. Now, let us discuss the specialized use of electronic filters in the medical field, such as seizure classification. Bhati et al. [16] proposed a three-band orthogonal wavelet filter bank. The wavelet filter bank classified EEG signals as seizure and seizure-free with 99.33% accuracy, which is exceptional when compared to its predecessors. Another aspect of the EEG signal is that it is comprised of different frequency bands that give us different information about brain activity. It is helpful if an EEG signal is divided into subbands before further classifications and sophisticated analysis (as proposed by Ref. [16]). The signal preprocessing is essential as hardware used for applying machine learning over this data is greatly reduced. Traditionally, FIR (finite impulse response) over an IIR (infinite impulse response) filter was used owing to its linear phase property, stability, and low coefficient sensitivity. However, a major drawback of it was the use of additional multipliers. To overcome the said drawback, Shang et al. [17] proposed an FIR filter bank structure for processing EEG signals based on FRM (frequency-response masking) technique. They demonstrated a 77% reduction in the use of multipliers. Nowadays we are faced with a new challenge: we need implantable and wearable medical diagnostic devices (like EEG, ECG). Consequently, there is a rapidly increasing need for a low-power

FIGURE 14.1 Block diagram of a comb filter.

312

Chapter 14 The role of electronic filters

analog front-end interface. Traditionally, a low-pass filter with a high dynamic range (DR) was used to relax the front-end amplifiers, but when power consumption and chip size are reduced greatly, these design specifications render a challenge. Adirek et al. [18] proposed a subthreshold low-pass biquad circuit intending to be used for EEG/ECG low-pass filter. This filter was a second-order low-pass filter that had a local feedback structure [19,20] that made it achieve considerable linearity. This made it easier to adapt these circuits into wearable diagnostic devices. An innovative use of EEG readings is the newly emerging field of brain-computer interface (BCI) that aims to harness brain signals to regulate other electronics. The main noises contaminating the signal are caused by blinking, baseline wander, jaw movement, etc. A hybrid approach for extracting EMG for automating appliances was presented in Ref. [21], which employed a combination of filters for noise removal and improving signal quality. The sequential process is described next. • Preprocessing 1: powerline interference removal A second-order notch filter IIR [22] filter with a sampling frequency of 256 Hz is designed for the removal of the 50/60 Hz powerline interference [23]. • Preprocessing 2: removal of baseline wander This is caused by breathing, variable cathode impedance, motion, varying temperature, etc. Baseline wanders generally have a frequency below 0.8 Hz, so a filter with the appropriate cutoff is used. • Preprocessing 3: desired frequency channel extraction A fifth-order Butterworth IIR high-pass and low-pass filter with zero-phase shift are employed for extracting the desired frequency band (20e30 Hz) [24,25]. The phase information is also modified through nonlinear phase IIR filters (like Butterworth filter), but by applying a zero-phase IIR filter, the phase effect is minimized to some extent. • Preprocessing 4: smoothening To obtain a smooth time domain signal, a moving average filter (or simply, a low-pass FIR filter) is used. The main idea is to determine the order of the filter which is appropriate for the purpose [26]. The end output after all this processing is a signal that can be used for BCI purposes. This technology could also be applied to a variety of other fields, especially for disabled individuals.

2.2 MRI MRI employs strong magnetic fields and radio waves to create detailed images of internal organs and tissues of the body. Tagging frequencies are used for the identification of various parts of the heart and tissues in an MR image. The same can be achieved by altering longitudinal magnetization, so the tissues appear darker in MR images [27]. Several methods for extracting spectral peaks from tagged MR images associated with tissue motion are discussed [28e30]. In the early years of MRI, medical professionals emphasized the need for improvement in the retrieving process of harmonic images from tagged MR heart images. Osman and Prince [31] aimed to improve this very step. They concluded that the spectral peaks were localized about the tagging frequencies, and their size was determined by analyzing the anatomy. Therefore, its size is bounded by the spectrum on the lower end and the interference with the DC peak on the higher end. Another finding was how the interference is reduced by the use of a tip angle of 180 degrees, rather than 90 degrees.

2. Literature review

313

Another stride in the medical field concerning minimal invasive procedures is the use of RF (radio frequency) in conjunction with MRI. The looming concern with this technique is the excessive amounts of electronic noise made by the RF generators, which interfere in the MR images (among other noises, mainly from powerlines or white noise from electronics). Preliminary efforts to solve this impediment were described in Ref. [32,33]. Oshiro et al. [34] outlined three methods that in unity prove the most successful in the suppression of the noise. These are hardware filtration (10 pole Butterworth filter serving as a bandpass filter with the stop band as the RF generator operating frequencies), software-controlled filtration internal hardware, and keyholing. These are described next. • Hardware filtration As MRI scanners acquire data from 10 to 80 MHz (centered at the resonance frequency of 8.51 MHz), a bandpass filter is used to filter out the noise at MRI operating frequency and continue to allow frequencies around 500 kHz used by generators (for effective tissue ablation). Butterworth filters are preferred because the lobes, surrounding the passband, attenuate well in comparison to the elliptical and Chebyshev filters, which tend to have ripples near the passband. • Software-controlled filtration internal hardware filter This is used to decrease electronic noise using an internal hardware filter, controllable by the user. The user operates via the MR scanner’s user interface to change the bandwidth of the receiver. • Keyholing Keyholing is a methodology using initial data from reference images to add to the partial data gathered during the current acquisition. This technique reduces scan time considerably. Hardware filtration is found to be efficient when used alone, but all three in unison are known to be most effective. In addition to that, MRI has also been used in conjunction with ECG to monitor arrythmias. MRI induces electromagnetic perturbations that pose an obstacle in cardiovascular MRI, appearing as ECG distortions. They make detection of cardiac arrhythmias during MRI difficult for medical professionals. Wu et al. [35], in an attempt to solve this problem, characterized ECG artifacts due to radiofrequency pulses and gradient switching during MRI. They employed a combination of analog and digital (LMS adaptive) filters for ECG signals corrupted by MRI interference. This combination proved to be extremely successful when compared to low-pass filtering. For the digital low-pass filter, a 20-Hz low-pass filter is mostly employed [36e38]. The gradient artifact suppression method also includes modeling of artifacts as the response of the gradients in each direction through a linear time-invariant system representing the body and sensor response. Mukherjee et al. [39] pointed out three main sources of artifacts in MRI-guided electrophysiology: (i) RF pulses coupled with fast switching magnetic gradients introduce electromagnetic fields that result in voltage artifacts in ECG scans [40], (ii) distortions due to magneto-hydrodynamic effect (deflection of electrically charged blood particles due to the presence of a magnetic field [41]), and (iii) time-varying magnetic fields (leading to induced electric currents in tissues and wires). They also reviewed the use of low, high, and notch filters to reduce the aforementioned artifacts. Recently, Reis et al. [42] proposed a hardware and software solution for the effective and high-quality acquisition of ECG gating in MRI and diagnostic quality ECG signals in MRI. Denoising is an important aspect so that the obtained MR image is of good quality. Dogra and Bhalla [43] tackled denoising in MRI images by image sharpening using Gaussian/Butterworth highpass filters (low-pass filters are mainly used for image smoothening). Fourier transformations gave

314

Chapter 14 The role of electronic filters

frequencies of the periodic parts of the image. Using that, they isolated the frequencies classified as noise. With the cutoff frequency set to 100 Hz and using filters of order 4, the Butterworth filter produced a sharper image than the Gaussian filter [44,45]. Broadly speaking, the employment of bandpass filtering improves imaging, ensuring the final reconstruction maintains a high level of accuracy. Deep neural networks decrease image reconstruction time by reconstructing MR images from a huge collection of subsampled acquisitions (i.e., digitally encoded representation of visual characteristics). A considerable advantage of the bandpass network is the division of data into localized patches within the measurement domain. As mentioned before, the harmonic phase analysis using tagged MRI is crucial, especially for noninvasive organ motion. The filtering process was used for tissue tracking, influences, and estimation accuracy. Wang et al. [46] evaluated noise filtering mechanisms and based on those evaluations suggested a high-pass filter for volumes tagged in particular directions. They proposed that would be better suited to preserve the motion details that result in considerable frequency shifts than harmonicphase (HARP) analysis [47,48]. HARP analysis used filters to demodulate motion information [49]. During relatively simple displacements, the modulated frequency accumulates near the bandpass region (near the first peak). The high-pass filter maintains the higher frequency components that are actualized by complex displacements. Another obstacle to obtaining good quality MRI images is the artifact induced by head motion [50]. The movement estimates tend to get corrupted by fluctuations in the magnetic main field by body motion. To combat these obstacles, FIRMM (Framewise Integrated Real-Time MRI Monitoring) was developed [51]. Likewise, Fair et al. [52] showcased that respiratory motion contaminates the movement estimates in a functional MRI and the consequent head motion reduces the MRI quality. Employing a band stop/notch filter to removed respiratory motion from motion estimates can be done [53]. More work needs to be done in the fine-tuning strategies to make the filter more suitable for an individual.

2.3 Mammography Another field of medicine where electronic filters are used is in mammography, to aid the detection of breast cancer. Mammography allows the detection of suspicious lesions well before they turn fatal. Small tumors appear in low contrast in noisy areas of the image, making diagnosis difficult. The literature suggests the use of computer-aided systems to provide a machine learning-based system (support vector machine) to classify whether the patient is developing cancer or not [54]. On the other hand, gamma correction was used initially but was not widespread [55]. Traditionally, linear filters (like Wiener filters) were employed to combat this noise but ended up blurring the image, although later there were some attempts to eradicate this problem. Mayo et al. [56] applied some of the denoising techniques to mammographic images and compared their effectiveness. They compared a local adaptive Weiner filter, a wavelet filter [57], and a filter based on independent component analysis [58]. Rehmati et al. [4] proposed a preprocessing filter named Fuzzy Contrast-Limited Adaptive Histogram Equalizer (FCLAHE) with the focus on enhancing the mammographic image but, at the same time, preserving natural intensity variations. Like its predecessor, FCLAHE [59] had a trade-off between accuracy and background inhomogeneities, which meant medical diagnosis resulted in a considerable number of false positives.

2. Literature review

315

Another method for mammographic image enhancement is UM (unsharp masking). The basic principle of UM is that the filter is used to add a scaled-up version of the high-frequency part of an image onto the final image to extrude the details and edges. Wu et al. [60] modified UM so the background information was passed through a low-pass filter, and then the predicted image was subtracted to obtain the filtered image. Apart from various denoising techniques, the literature also emphasizes image restoration. Jain and Tyagi [61] investigated the restoration and degradation processes and reviewed spatial and frequency domain filters. They pointed out degradation is caused by motion blurring, atmospheric blurring, Gaussian noise, and the accidental defocusing in imaging systems among a variety of causes. Fig. 14.2 summarizes all kinds of image degradations. The restoration of the image from these degradations requires spatial and frequency selective filters. Spatial filters focus on determining the intensity of a pixel according to the intensities of the neighboring pixels. Frequency domain filters remove the periodic noise, so band reject, bandpass, and notch filters are employed for image restoration. Figs. 14.3 and 14.4 show a flowchart classifying the several spatial and frequency domain filters.

FIGURE 14.2 Flowchart of various causes of image degradation.

316

Chapter 14 The role of electronic filters

FIGURE 14.3 Classification of several spatial domain filters.

FIGURE 14.4 Classification of several frequency domain filters.

2. Literature review

317

As discussed before, mass segmentation in mammographic images is the key to an accurate diagnosis. Segmentation refers to changing the representation of an image to aid analysis [62,63]. George and Sankar [64] compared various filters based on several parameters (mean square error and signal-to-noise ratio) to remove Gaussian noises as well as salt and pepper speckle. For better classification accuracy and better segmentation, a hybrid of existing techniques is recommended. A comparative study of the different filters (Weiner, Gaussian, adaptive median, and hybrid median filter) for noise reduction in mammographic imaging revealed that the hybrid median filter outperformed all the other filters [65].

2.4 Electronic prosthetics A newly emerging field in medicine is electronic prosthetics. Arms/legs of an individual may encounter hardship in their life. Prosthetics give these individuals the ability to take back most of the functions of the lost limb and give them the desired independence from any assistance. Electromyography (EMG) signals measure muscle activity (such as contraction, relaxation, etc.) using electrical signals (myoelectric signals). The residual signals in the neuromuscular system of the amputees provide enough data for predicting limb motion [66]. The role of muscles is taken up by actuators (like motors). EMG data can be collected from a person in two ways, (i) an invasive method that requires the insertion of a needle electrode into the patient’s body or (ii) a noninvasive method that uses electrodes stuck on the skin. As expected, the noninvasive method is preferred, but that induces a lot of complications concerning signal contamination and noise. Electrode misplacement and motion artifacts are some of them. Thus, signal processing is essential here. A basic series of steps is shown in the flowchart provided in Fig. 14.5. The steps involved in a myoelectric prosthetic arm [67,68] are elaborated subsequently: • Electrodes These are attached to the body to read EMG signals for shoulder rotational movement [69,70]. • Preamplifier The signal that is read from these electrodes has an amplitude in microvolts, which is too small for the consequent steps. So, a preamplifier is used to amplify said signal to millivolts. Sudarsan and Sekaran [67] used Instrumentation Amplifier INA 128P with high CMRR-120 dB and high input impedance (see also [71,72]).

FIGURE 14.5 The general sequence of steps followed for EEG signal processing for a myoelectric prosthetic arm.

318

Chapter 14 The role of electronic filters

• Low-pass filter EMG signals have a frequency range of 10 Hz to 5 kHz, but the band to be processed (that is the range concerned with muscle motion) lies within 20e500 Hz [73]. So high frequencies, say above 1000 Hz, need to be filtered out. Suitability of various filters like Butterworth, Chebyshev, Gaussian, and Bessel filters was compared based on parameters such as gain response, phase delay, etc. [74]. Butterworth filter characteristics stood out due to its passband frequency having no ripples and its flat response. Fig. 14.6 shows the fourth-order low-pass Butterworth filter. The signal gets sharper at the stopband when the order of a filter is increased. • High-pass filter Now, the signal is given to a high-pass filter to remove frequencies under 20 Hz. This step eliminates motion artifacts and external noise. In most cases, a low-pass and high-pass are cascaded to obtain a bandpass filter. Khan et al. [75] selected a Butterworth sallen-key configuration for this filter owing to its amplitude preservation and linearity in the passband region. The main reason this filtering is done is to (i) increase the signal-to-noise ratio and (ii) reject other physiologic signals. Fig. 14.7 shows the fourth-order high-pass Butterworth filter.

FIGURE 14.6 Fourth-order low-pass Butterworth filter.

FIGURE 14.7 Fourth-order high-pass Butterworth filter.

3. Conclusions and future scope of work

319

• Notch filter This step is to eradicate powerline interference that can be caught by the EMG amplifier. A spike is noticed at 50/60 Hz frequency due to the AC supply [72]. A band stop filter is used to filter out the spike. • Rectification and amplification The signals received might contain negative components that need to be rectified. The signal is squared, so the amplitude is also squared, but that introduces other hindrances. Amplitudes that are less than unity, when squared, approach zero. Thus, rectification is often followed by amplification. We want the output signal to be from 0 to 4 V, so the entire signal is multiplied with a constant to do the same. • Smoothening For the myoelectric prosthetic arm, we are more concerned with the phases of contraction in the processed EMG signal. It needs to be extracted by a low-pass filter that detects only the envelope of the signal. A linear envelop detector would be ideal as it would provide a DC value for the EMG signal proportional to the strength of the contraction. It is suggested that the cutoff frequency of the filter worked best when set at 5 Hz [75]. After smoothening, we obtain the signal where contraction phases are represented as blunt peaks. • Baseline drift elimination A common difficulty in the signal acquisition is baseline drift. In this, the zero potential lines of the signal get displaced either in the positive or the negative direction. This is corrected by subtracting/ adding the averaged value of the signal. • Thresholding and amplification Here, we convert the processed signal into a pulsed signal where the pulses correspond to a contraction phase. Amplification is also done to obtain a 5-V pulse output. • Digitization and motor control This step feeds the forward envelop into an analog-to-digital converter microcontroller. Building on these steps, Ref. [76] aimed to determine the joint elbow position using EMG signals based on a dynamic function that directly uses the filtering and extraction technique in the domain time function. The researchers keenly investigated this field for making life easy for the users of prosthetics.

3. Conclusions and future scope of work This chapter is organized into major diagnostic procedures and their signal processing techniques with the emphasis on the use of electronic filters. The major points that are explained are related to how researchers tried to combat powerline interference (in EEGs, ECGs, and EMGs), channel extraction, smoothening, and general artifact removal among others. We also see how these signals can be employed in BCI and seizure classification. Several reasons for image degradation have been noted, and the possible procedures of removal/rectification have been identified as proposed in the literature. Various researchers have proposed different procedures (say FRM for EEGs, FCLAHE, CLAHE, UM for mammography) and presented design changes in recent years to improve the performance characteristics. The reasoning associated with the use of spatial and frequency filters for the specific stages

320

Chapter 14 The role of electronic filters

in the processes has also been described in detail. Seizure classification and study is a very important aspect in medicine, and extensive documentation is key for further research. Obviously, prosthetics involves professionals from several domains (electrical, robotics, etc.), so it is relatively new and still presents a huge scope before electronic prosthetics are commonplace for all amputees.

References [1] M.K. Iyer, M.L. Bushnell, Effect of noise on analog circuit testing, J. Electron. Test. 15 (1e2) (1999) 11e22. [2] J. Garcı´a-Niebla, G. Serra-Autonell, Effects of inadequate low-pass filter application, J. Electrocardiol. 42 (4) (2009) 303e304. [3] A.S. Vale-Cardoso, H.N. Guimara˜es, The effect of 50/60 Hz notch filter application on human and rat ECG recordings, Physiol. Meas. 31 (1) (2009) 45. [4] P. Rahmati, G. Hamarneh, D. Nussbaum, A. Adler, A new preprocessing filter for digital mammograms, in: International Conference on Image and Signal Processing, Springer, Berlin, Heidelberg, June, 2010, pp. 585e592. [5] S. Solı´s-Bustos, J. Silva-Martı´nez, F. Maloberti, E. Sa´nchez-Sinencio, A 60-dB dynamic-range CMOS sixthorder 2.4-Hz low-pass filter for medical applications, IEEE Trans. Circ. Syst. II: Analog Digital Signal Process. 47 (12) (2000) 1391e1398. [6] J.S. Sahambi, S.N. Tandon, R.K.P. Bhatt, Quantitative analysis of errors due to power-line interference and base-line drift in detection of onsets and offsets in ECG using wavelets, Med. Biol. Eng. Comput. 35 (6) (1997) 747e751. [7] I. Dotsinsky, T. Stoyanov, Power-line interference cancellation in ECG signals, Biomed. Instrum. Technol. 39 (2) (2005) 155e162. [8] I.I. Christov, Dynamic powerline interference subtraction from biosignals, J. Med. Eng. Technol. 24 (4) (2000) 169e172. [9] G. Mihov, I.V. Dotsinsky, T. Georgieva, Subtraction procedure for powerline interference removing from ECG: improvement for non-multiple sampling, J. Med. Eng. Technol. 29 (5) (2005) 238e243. [10] C. Levkov, G. Mihov, R. Ivanov, I. Daskalov, I. Christov, I. Dotsinsky, Removal of power-line interference from the ECG: a review of the subtraction procedure, Biomed. Eng. Online 4 (1) (2005) 50. [11] R.K. Ranjan, K. Kumar, N. Rani, S.K. Paul, S. Akashe, A powerline filter circuit design for biomedical applications, J. Comput. Theor. Nanosci. 13 (5) (2016) 3345e3351. [12] M. Naaz, M.A. Sohel, K. Fatima, M.A. Raheem, Design of low power notch filter for biomedical applications, Int. J. Innov. Res. Electric. Electronic. Instrument. Control Eng. 4 (12) (2016) 75e79. [13] S.K. Paul, C.K. Choubey, G. Tiwari, Low power analog comb filter for biomedical applications, Analog Integr. Circuits Signal Process. 97 (2) (2018) 371e386. [14] R. Plucinska, K. Jedrzejewski, Evaluation of adaptive algorithms effectiveness for cancellation of power line interferences in gamma waves band of EEG signal, in: 2019 Signal Processing Symposium (SPSympo), IEEE, September, 2019, pp. 115e120. [15] S. Bano, G.B. Narejo, S.M.U. Ali, Nanopower sub-threshold biquadratic cells and its application to portable ECG system, AEU - Int. J. Electron. Communicat. 107 (2019) 57e69. [16] D. Bhati, R.B. Pachori, V.M. Gadre, Optimal design of three-band orthogonal wavelet filter bank with stopband energy for identification of epileptic seizure EEG signals, in: Machine Intelligence and Signal Analysis, Springer, Singapore, 2019, pp. 197e207. [17] Z. Shang, Y. Zhao, Y. Lian, Low power FIR filter bank for EEG processing using frequency-response masking technique, in: 2018 IEEE 23rd International Conference on Digital Signal Processing (DSP), IEEE, November, 2018, pp. 1e5.

References

321

[18] S. Adirek, C. Chanapromma, C.S. Mahanakorn, A 1.5 V, 50 nA, 100 Hz, 73 dB-DR, subthreshold lowpass filter for EEG/ECG recording, in: 2019 16th International Conference on Electrical Engineering/Electronics, Computer, Telecommunications and Information Technology (ECTI-CON), IEEE, 2019, pp. 725e728. [19] S. D’Amico, M. Conta, A. Baschirotto, A 4.1-mW 10-MHz fourth-order source-follower-based continuoustime filter with 79-dB DR, IEEE J. Solid State Circ. 41 (12) (2006) 2713e2719. [20] T.T. Zhang, P.I. Mak, M.I. Vai, P.U. Mak, M.K. Law, S.H. Pun, F. Wan, R.P. Martins, 15-nW biopotential LPFs in 0.35-mm CMOS using subthreshold-source-follower biquads with and without gain compensation, IEEE Trans. Biomed. Circ. Syst. 7 (5) (2013) 690e702. [21] A. Kurapa, D. Rathore, D.R. Edla, A. Bablani, V. Kuppili, A hybrid approach for extracting EMG signals by filtering EEG data for IoT applications for immobile persons, Wireless Pers. Commun. (2020) 1e21. [22] C.M. Wang, W.C. Xiao, Second-order IIR Notch Filter Design and implementation of digital signal processing system, in: Applied Mechanics and Materials, vol. 347, Trans Tech Publications Ltd, 2013, pp. 729e732. [23] M.N. Tibdewal, M. Mahadevappa, A.K. Ray, M. Malokar, H.R. Dey, Power line and ocular artifact denoising from EEG using notch filter and wavelet transform, in: 2016 3rd International Conference on Computing for Sustainable Global Development (INDIACom), IEEE, March, 2016, pp. 1654e1659. [24] A. Widmann, E. Schro¨ger, B. Maess, Digital filter design for electrophysiological dataea practical approach, J. Neurosci. Methods 250 (2015) 34e46. [25] A. Acharya, S. Das, I. Pan, S. Das, Extending the concept of analog Butterworth filter for fractional order systems, Signal Process. 94 (2014) 409e420. [26] V. Pandey, V.K. Giri, High frequency noise removal from ECG using moving average filters, in: 2016 International Conference on Emerging Trends in Electrical Electronics & Sustainable Energy Systems (ICETEESES), IEEE, March, 2016, pp. 191e195. [27] E.R. McVeigh, E. Atalar, Cardiac tagging with breath hold cine MRI, Magn. Reson. Med. 28 (2) (1992) 318e327. [28] N.F. Osman, J.L. Prince, Motion estimation from tagged MR images using angle images, in: Int. Conf. Image Processing, vol. 1, Comput. Soc. Press, 1998, pp. 704e708. [29] N.F. Osman, J.L. Prince, Direct calculation of 2D components of myocardial strain using sinusoidal MR tagging, in: Medical Imaging 1998: Physiology and Function from Multidimensional Images, vol. 3337, International Society for Optics and Photonics, July, 1998, pp. 142e152. [30] N.F. Osman, W.S. Kerwin, E.R. McVeigh, J.L. Prince, Cardiac motion tracking using CINE harmonic phase (HARP) magnetic resonance imaging, Magn. Reson. Med.: An Offici. J. Int. Soc. for Magnet. Resonan. Med. 42 (6) (1999) 1048e1060. [31] N.F. Osman, J.L. Prince, On the design of the bandpass filters in harmonic phase MRI, in: Proceedings 2000 International Conference on Image Processing (Cat. No. 00CH37101), vol. 1, IEEE, September, 2000, pp. 625e628. [32] Q. Zhang, Y.C. Chung, J.S. Lewin, J.L. Duerk, A method for simultaneous RF ablation and MRI, J. Magn. Reson. Imag. 8 (1) (1998) 110e114. [33] B.L. Daniel, K. Butts, G.W. McLaughlin, C.J. Nichols, E.L. Kermit, G.H. Glover, MR guided radiofrequency ablation with a multiple wire array-A phantom validation study, in: RADIOLOGY, vol. 205, Radiological Soc North Amer, 20th and Northampton Sts, Easton, Pa 18042, November, 1997, pp. 1555e1555. [34] T. Oshiro, U. Sinha, D. Lu, S. Sinha, Reduction of electronic noise from radiofrequency generator during radiofrequency ablation in interventional MRI, J. Comput. Assist. Tomogr. 26 (2) (2002) 308e316. [35] V. Wu, I.M. Barbash, K. Ratnayaka, C.E. Saikus, M. Sonmez, O. Kocaturk, R.J. Lederman, A.Z. Faranesh, Adaptive noise cancellation to suppress electrocardiography artifacts during real-time interventional MRI, J. Magn. Reson. Imag. 33 (5) (2011) 1184e1193.

322

Chapter 14 The role of electronic filters

[36] J. Felblinger, C. Lehmann, C. Boesch, Electrocardiogram acquisition during MR examinations for patient monitoring and sequence triggering, Magn. Reson. Med. 32 (4) (1994) 523e529. [37] F. Odille, C. Pasquier, R. Abacherli, P.A. Vuissoz, G.P. Zientara, J. Felblinger, Noise cancellation signal processing method and computer system for improved real-time electrocardiogram artifact correction during MRI data acquisition, IEEE Trans. Biomed. Eng. 54 (4) (2007) 630e640. [38] J. Oster, O. Pietquin, R. Aba¨cherli, M. Kraemer, J. Felblinger, Independent component analysis-based artefact reduction: application to the electrocardiogram for improved magnetic resonance imaging triggering, Physiol. Meas. 30 (12) (2009) 1381. [39] R.K. Mukherjee, H. Chubb, S. Roujol, R. Razavi, M.D. O’Neill, Advances in real-time MRIeguided electrophysiology, Curr. Cardiovasc. Imag. Report. 12 (2) (2019) 6. [40] J. Oster, O. Pietquin, M. Kraemer, J. Felblinger, Nonlinear Bayesian filtering for denoising of electrocardiograms acquired in a magnetic resonance environment, IEEE Trans. Biomed. Eng. 57 (7) (2010) 1628e1638. [41] Z.T.H. Tse, C.L. Dumoulin, G.D. Clifford, J. Schweitzer, L. Qin, J. Oster, M. Jerosch-Herold, et al., 1.5 tesla MRI-conditional 12-lead ECG for MR imaging and intra-MR intervention, Magn. Reson. Med.: Offici. J. Soci. Magnet. Resonan. Med./Soci. Magnet. Resonan. Med. 71 (3) (2014) 1336. [42] J.D. Reis, F. Odille, G. Petitmangin, A. Guillou, P.-A. Vuissoz, J. Felblinger, J. Oster, Broadband electrocardiogram acquisition for improved suppression of MRI gradient artifacts, Physiol. Meas. 41 (4) (2020) 045004. [43] A. Dogra, P. Bhalla, Image sharpening by Gaussian and butterworth high pass filter, Biomed. Pharmacol. J. 7 (2) (2014) 707e713. [44] D. Janecki, Gaussian filters with profile extrapolation, Precis. Eng. 35 (4) (2011) 602e606. [45] W.M. Laghari, M.U. Baloch, M.A. Mengal, S.J. Shah, Performance analysis of analog butterworth low pass filter as compared to Chebyshev type-I filter, Chebyshev type-II filter and elliptical filter, Circ. Syst. 2014 (2014). [46] X. Wang, M.L. Stone, J.L. Prince, A.D. Gomez, A novel filtering approach for 3D harmonic phase analysis of tagged MRI, in: Medical Imaging 2018: Image Processing, vol. 10574, International Society for Optics and Photonics, March, 2018, p. 1057414. [47] F. Xing, J. Woo, A.D. Gomez, D.L. Pham, P.V. Bayly, M. Stone, J.L. Prince, Phase vector incompressible registration algorithm for motion estimation from tagged magnetic resonance images, IEEE Trans. Med. Imag. 36 (10) (2017) 2116e2128. [48] C.A. Davis, J. Li, T.J. Denney, Analysis of spectral changes and filter design in tagged cardiac MRI, in: 3rd IEEE International Symposium on Biomedical Imaging: Nano to Macro, 2006, IEEE, April, 2006, pp. 137e140. [49] M. Marinelli, V. Positano, N.F. Osman, F.A. Recchia, M. Lombardi, L. Landini, Automatic filter design in HARP analysis of tagged magnetic resonance images, in: 2008 5th IEEE International Symposium on Biomedical Imaging: From Nano to Macro, IEEE, 2008, pp. 1429e1432. [50] J.D. Power, K.A. Barnes, A.Z. Snyder, B.L. Schlaggar, S.E. Petersen, Spurious but systematic correlations in functional connectivity MRI networks arise from subject motion, Neuroimage 59 (3) (2012) 2142e2154. [51] N.U. Dosenbach, J.M. Koller, E.A. Earl, O. Miranda-Dominguez, R.L. Klein, A.N. Van, A.Z. Snyder, B.J. Nagel, J.T. Nigg, A.L. Nguyen, V. Wesevich, Real-time motion analytics during brain MRI improve data quality and reduce costs, Neuroimage 161 (2017) 80e93. [52] D.A. Fair, O. Miranda-Dominguez, A.Z. Snyder, A. Perrone, E.A. Earl, A.N. Van, J.M. Koller, E. Feczko, M.D. Tisdall, A. van der Kouwe, R.L. Klein, Correction of respiratory artifacts in MRI head motion estimates, Neuroimage 208 (2020) 116400. [53] J.D. Power, A. Mitra, T.O. Laumann, A.Z. Snyder, B.L. Schlaggar, S.E. Petersen, Methods to detect, characterize, and remove motion artifact in resting state fMRI, Neuroimage 84 (2014) 320e341.

References

323

[54] G. Kowshik, R.T. Gandhe, A.N.S. Purushotham, G.V. Reddy, D. Vijayan, Reduction of false positives in identification of masses in mammograms, in: 5th International Conference on Communication and Electronics Systems (ICCES), Coimbatore, India, 2020, pp. 1046e1050. [55] S. Baeg, N. Kehtarnavaz, Texture based classification of mass abnormalities in mammograms, in: Proceedings 13th IEEE Symposium on Computer-Based Medical Systems. CBMS 2000, IEEE, June, 2000, pp. 163e168. [56] P. Mayo, F. Rodenas, G. Verdu, Comparing methods to denoise mammographic images, in: The 26th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, vol. 1, IEEE, September, 2004, pp. 247e250. [57] D.L. Donoho, I.M. Johnstone, G. Kerkyacharian, D. Picard, Wavelet shrinkage: asymptopia? J. Roy. Stat. Soc. B 57 (2) (1995) 301e337. [58] A. Hyva¨rinen, P.O. Hoyer, M. Inki, Topographic independent component analysis, Neural Comput. 13 (7) (2001) 1527e1558. [59] E.D. Pisano, E.B. Cole, S. Major, S. Zong, B.M. Hemminger, K.E. Muller, R.E. Johnston, R. Walsh, E. Conant, L.L. Fajardo, S.A. Feig, Radiologists’ preferences for digital mammographic display, Radiology 216 (3) (2000) 820e830. [60] Z. Wu, J. Yuan, B. Lv, X. Zheng, Digital mammography image enhancement using improved unsharp masking approach, in: 2010 3rd International Congress on Image and Signal Processing, vol. 2, IEEE, October, 2010, pp. 668e672. [61] P. Jain, V. Tyagi, Spatial and frequency domain filters for restoration of noisy images, IETE J. Educat. 54 (2) (2013) 108e116. [62] R. Ramani, N.S. Vanitha, S. Valarmathy, The pre-processing techniques for breast cancer detection in mammography images, Int. J. Image Graph. Signal Process. 5 (5) (2013) 47. [63] S.A. Mane, K.V. Kulhalli, Mammogram image features extraction and classification for breast cancer detection, Int. Res. J. Eng. Technol. (IRJET) 2 (7) (2015) 810e814. [64] M.J. George, S.P. Sankar, Efficient preprocessing filters and mass segmentation techniques for mammogram images, in: 2017 IEEE International Conference on Circuits and Systems (ICCS), IEEE, 2017, pp. 408e413. [65] A.M. Joseph, M.G. John, A.S. Dhas, Mammogram image denoising filters: a comparative study, in: 2017 Conference on Emerging Devices and Smart Systems (ICEDSS), March, 2017, pp. 184e189. [66] J.U. Chu, I. Moon, Y.J. Lee, S.K. Kim, M.S. Mun, A supervised feature-projection-based real-time EMG pattern recognition for multifunction myoelectric hand control, IEEE ASME Trans. Mechatron. 12 (3) (2007) 282e290. [67] S. Sudarsan, E.C. Sekaran, Design and development of EMG controlled prosthetics limb, Proc. Eng. 38 (2012) 3547e3551. [68] R.B. Mavani, D.H. Rank, H.N. Sheth, Design and Working of Myoelectric Prosthetic Arm, 2014. [69] T.S. Poo, K. Sundaraj, Design and development of a low cost EMG signal acquisition system using surface EMG electrode, in: 2010 IEEE Asia Pacific Conference on Circuits and Systems, IEEE, December, 2010, pp. 24e27. [70] Y. Horiuchi, T. Kishi, J. Gonzalez, W. Yu, A study on classification of upper limb motions from aroundshoulder muscle activities, in: 2009 IEEE International Conference on Rehabilitation Robotics, IEEE, June, 2009, pp. 311e315. [71] K. Rendek, M. Dar´ıcek, E. Vavrinsky´, M. Donoval, D. Donoval, Biomedical signal amplifier for EMG wireless sensor system, in: The Eighth International Conference on Advanced Semiconductor Devices and Microsystems, IEEE, October, 2010, pp. 251e254. [72] H. Li, S. Xu, P. Yang, L. Chen, A research and design on surface emg amplifier, in: 2010 International Conference on Measuring Technology and Mechatronics Automation, vol. 1, IEEE, March, 2010, pp. 306e309.

324

Chapter 14 The role of electronic filters

[73] J.R. Potvin, S.H.M. Brown, Less is more: high pass filtering, to remove up to 99% of the surface EMG signal power, improves EMG-based biceps brachii muscle force estimates, J. Electromyogr. Kinesiol. 14 (3) (2004) 389e399. [74] R. Kaszynski, J. Piskorowski, Bessel filters with varying parameters, in: 2005 IEEE Instrumentation and Measurement Technology Conference Proceedings, vol. 1, IEEE, May, 2005, pp. 757e761. [75] M.H. Khan, A. Wajdan, M. Khan, H. Ali, J. Iqbal, U. Shahbaz, N. Rashid, Design of low cost and portable EMG circuitry for use in active prosthesis applications, in: 2012 International Conference of Robotics and Artificial Intelligence, IEEE, October, 2012, pp. 204e207. [76] T.R. Triwiyanto, E. Yulianto, M.R. Mak’ruf, P.C. Nugraha, Dynamic feature for an effective elbow-joint angle estimation based on electromyography signals, Indonesia. J. Electric. Eng. Comput. Sci. 19 (1) (2020) 178e187.

CHAPTER

Fingerprint-based smart medical emergency first aid kit using IoT

15 G. Boopathi Raja

Department of Electronics and Communication Engineering, Velalar College of Engineering and Technology, Erode, Tamil Nadu, India

1. Introduction Presently, accidents are exponentially increasing because of increased population who do not comply with the traffic rules while traveling. It is accounted for that in India more than 1.5 million people lost their lives every year in road accidents, which is extremely troubling. In this way, because of these mishaps, most of the people lost their life. However the researchers have to consider different components like postponement in the reaction of the emergency vehicle at the hour the mishap occurred and furthermore a small time delay in the treatment given to the casualties of the mishaps in the clinic because the medical clinic must prepare for the next step to recover from the health issue by simply verifying the health status of the patients. Generally, in this case, time is important here, which is a basic consideration that prompts the patient misfortune. This chapter tends to provide a valuable solution to save the life of patients by utilizing an exponentially rising IoT (internet of things)-based innovation that is associating more gadgets to the web. Recent advancements in IoT support developing our nation to be more effective and beneficial than other important considerations [1]. As of late, recently improved healthcare frameworks have become a hot zone due to the advanced developments in emergency clinics as well as the patients’ health status being immediately forwarded to the specialist for consultation and the clinic through the internet. Hence, it is necessary for interfacing rescue vehicles, that is, ambulances, with IoT by making them smart emergency vehicles. This vehicle can gather and transmit the patients’ complete medical information to the nearby medical clinic through the cloud and/or by the internet. In this way, the specialists can know the status of patients’ health, regardless of whether the state of the casualty is extremely basic, before the casualty shows up to the medical clinic itself. Assuming this is the case, the courses of action for the treatment utilizing the various classes of sensors just identify the boundaries like pulse rate, a measure of blood misfortune, temperature, and so on. Recent developments in the medical field give transportation and clinical consideration to amplify the endurance likelihood of patients. Verifiably, a ton of advancements have been made for accomplishing this objective. As of late, the phenomenal development in a versatile advanced cell and remote

Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00015-0 Copyright © 2021 Elsevier Inc. All rights reserved.

325

326

Chapter 15 Fingerprint-based smart medical emergency

correspondence are affecting the existing prototype in another manner. Fundamentally, these applications could generally be partitioned into two gatherings: one is about the effective crisis revealing and the other is reacting frameworks.

2. IoT in healthcare Prior to the developments of IoT, patients communicated with doctors, health experts, or medical laboratories and were restricted to visits and tele and text correspondences. There were fewer possibilities for the specialists or medical clinics to screen patient health status persistently and make recommendations and provide suggestions in like manner, [2]. IoT plays an unavoidable role in the biomedical field by empowering the gadgets. They have made remote tracking of the human healthcare services to maintain patient health and safety. Also, IoT allows engaging doctors to convey standout care. Additionally, it has also expanded the patient involvement and satisfaction as communication with hospital, medical laboratory, etc., has become much simpler and progressively more effective. Also, telemonitoring of a patient’s health status simplifies the task to be performed by everyone effectively. It helps to minimize the duration of stay in medical clinics and forestalls reconfirmations. IoT likewise largely affects diminishing medical services costs gradually and by improving treatment results. Undoubtedly, IoT is changing the healthcare industry by redefining and reassembling the need of gadgets and one-to-one communication in conveying medicinal services arrangements. IoT has wide applications in healthcare services that provides benefits to all, especially doctors, patients, relatives, clinics, and insurance agencies. IoT for patients includes wearable devices like flexible fitness band and other remotely associated gadgets such as pulse rate meter, blood pressure (BP) and heart rate monitoring cuffs, glucometer etc., which allow the patients to access customized consideration. Such gadgets may be tuned to remind carbohydrate level, practice level, checkup and doctor appointments, and BP deviations. IoT has completely changed the lifestyle of people, mostly elder people, by enhancing the steady following of health conditions. This majorly affects people living alone and their families. The influence or changes in the standard exercises of an individual component impart signs to relatives and concerned healthcare workers. IoT for physicians includes the utilization of wearable devices, and other home-based health monitoring devices associated with IoHT (Internet of Healthcare Things) have to be increased. The doctors can monitor more effectively to track the patients’ health. They can follow patients’ adherence to treatment and any other need for clinical consideration. IoT motivates medicinal service experts to be increasingly vigilant and communicate with the patients proactively. The health status gathered from IoT gadgets can assist doctors with distinguishing the advanced treatment process for patients and arrive at the desired results. Regarding IoT for hospitals, IoT also plays a major role in healthcare sectors such as primary healthcare center, hospitals, research centers, etc. Apart from tracking patient health status, there are a large number of other sectors where IoT gadgets are unavoidable in medical clinics. Sensor-based IoT gadgets (smart IoT gadgets) are considered to improve the following ongoing research areas while

2. IoT in healthcare

327

manufacturing the oxygenators, ventilators, wheelchairs, defibrillators, nebulizers, and other major medical equipment. Sending of technicians and clinical staff in different areas was impossible and difficult. The outbreak of diseases is a significant problem for the patients in the medical field. IoT-enabled gadgets help in tracking patients from getting tainted. Additionally, IoT gadgets help in asset management such as medical drug stock control and ecologic monitoring, for example, checking refrigerator temperature and moistness and temperature level. Concerning IoT for health insurance companies, IoT offers various open doors for health insurance companies that handle IoT-associated smart gadgets for tracking the health status of the customers. Medical insurance agencies may use information caught through periodic health tracking gadgets for their endorsing and claims activities. This information will empower them to distinguish extortion guarantees and recognize possibilities for endorsing. IoT gadgets are straightforward, that is, there is transparency among the clients and insurance agencies in the endorsing, evaluating, claim processing, and risk management processes. Insurance agencies support incentives for their clients by utilizing and sharing health information produced with IoT gadgets. These agencies can remunerate clients for utilizing IoT gadgets for monitoring their standard exercises continuously and adherence to treatment policies and preparatory well-being measures. This will assist them with reducing claims fundamentally. IoT gadgets can likewise empower insurance agencies to approve claims through the information caught by these gadgets.

2.1 Redefining healthcare The expansion of human service-explicit IoT devices opens with numerous opportunities and chances. Also, the large amount of information created by the linked gadgets holds the possibility to modify the social insurance. Fig. 15.1 shows the four design stages involved in IoT arrangements.

2 Data Aggregation and Preprocessing

1 Devices

IOT 3 Data Storage

FIGURE 15.1 The four design stages of IoT arrangements.

4 Data Analytics

328

Chapter 15 Fingerprint-based smart medical emergency

IoT consists of four design steps that are essentially arranged in a procedure. These four phases are coordinated in a way that information is collected or handled at one phase and yield the data to the next stage. Communication with each phase involved in the activities makes sense and promotes unique business prospects. Stage 1: The first stage includes the arrangement of interconnected gadgets that incorporate sensors, camera frameworks, actuators, screens, indicators, and so on. These gadgets collect the raw sensed information. Stage 2: The information obtained from various sensors and different gadgets are in a simple structure that should be accumulated and modified to the advanced structure for improved information preparation. Stage 3: Once the raw analog data is digitized by a suitable processor, then the information in a suitable digital form is collected. It is preprepared, normalized, and transferred to the server farm, data center, and/or cloud. Stage 4: Finally, the stored information in the cloud can be managed and analyzed at the different stages. Progressed analytics can be applied to this stored information. It brings significant business knowledge for successful dynamics. IoT in the medical field supports rethinking healthcare service by ensuring better consideration. It also supports increased treatment results and minimized expenditure for the patients. It handles better procedures and work processes and improved execution and patient experience for healthcare service providers. The significant points of interest of IoT-based healthcare services include the following: ⁃ Reduction in cost: IoT empowers tracking of patient health continuously. In this manner, it fundamentally avoids unnecessary visit to hospital and meetings with doctors for consultation, long stay at hospitals, and reaffirmations. ⁃ Improved treatment: It empowers doctors, specialists, and physicians to settle on well-structured, educated choices and provides outright straightforwardness as well as transparency in treatment. ⁃ Improved diagnosis: Monitoring the patient continuously and providing ongoing information support in diagnosing maladies at a beginning phase or even before the infection creates dependency on side effects. ⁃ Proactive treatment: Continuous tracking of patient health opens the entryways for giving proactive clinical treatment. ⁃ Managing drugs and equipment: Medical drug and clinical equipment management is a critical task in a biomedical industry. Along with associated gadgets, these are managed, controlled, and used productively with minimized expenses. ⁃ Reduction in error: Data produced by IoT gadgets help in powerful dynamics as well as guaranteeing smooth medicinal services tasks with minimized blunders, waste, and framework costs. IoHT is considered the most powerful weapon in healthcare sectors. IoT-supported electronic gadgets catch a large amount of information, including unique data, offering ascent to concerns about information security.

3. Literature review

329

The major issue faced by IoHT is to implement an apt security-based framework. IoT finds newer modules of patient healthcare with ongoing healthcare checking and access to patient health information. This information is a gold mine for most of the biomedical services providers to improve patient health and encounters while making income openings and improving social insurance activities. Being set up to tackle this advanced force would end up being the differentiator in the undeniably associated world.

3. Literature review Paolo Bonato examined different wearable sensors and frameworks in his exploration from empowering innovation to clinical applications [3]. Because of the tremendous advantages, the improvement of wearable sensors and frameworks might be related to longer observation of people in the home and network settings. Information has been then handed off through the internet to crisis work force, a relative or parental figure, and clinical staff varying to react to crisis circumstances, evaluate the subject’s status, and plan clinical interventions. However, wearable sensors and frameworks that developed over the previous decade have given the tools at long last. The expected uses of this advancement incorporate the early diagnosis of diseases, for example, congestive cardiovascular breakdown, the anticipation of chronic conditions, and Parkinson disease. A. Pantelopoulos and G. Bourbakis experienced an examination overview on a wearable sensorbased framework to monitor the health of human and their prognoses [4]. The principle motivation of this overview was to understand the factors for improving the human health services costs and impelled by ongoing developments in smart textiles, small-scale biosensing gadgets, microelectronics, and wireless communications. The constant development in the field of wearable sensor-based frameworks has the possibility to modify the fate of social insurance by empowering proactive individual health management and ubiquitous checking of a patient’s health condition. These frameworks may include different sorts of little physiologic sensors, transmission modules, and handling abilities and support minimal effort wearable solutions for constant checking throughout the day and any place one’s health, psychologic, and action status. Shyamal Patel et al. experienced a research survey on wearable sensors and framework in rehabilitation application [5]. In this work, they summed up the advanced developments in the field of wearable sensors and frameworks that may be pertinent. The developing assemblage of work concentrated on the utilization of wearable innovation to screen elder peoples and subjects with chronic conditions in the home and network settings that legitimize the emphasis of this work on summing medical applications for wearable innovation as of new experience of evaluation as opposed to portraying the improvement of new wearable sensors and frameworks. J. Chauhan and S. Bojewar proposed a novel healthcare monitoring system implemented with sensor networks [6]. Wireless sensor networks in the clinical field gave consistent observation of imperative health information to specialists over a significant stretch of time. It required assistance to improve precise analysis and provide treatment. The proposed model has the ability to screen a variety of health information such as BP measurement (mm Hg), body temperature, pulse rate (BPM), ECG (electrocardiogram), etc., of an individual. The obtained cumulative information through the

330

Chapter 15 Fingerprint-based smart medical emergency

framework was then transferred to a smart mobile application of the patient over the internet. This information was transferred to the enrolled health specialists to their mobile phone application just as independent PCs. The specialist would then be able to recommend the prescription dependent on the information results that appeared through the framework. This model minimized the burden of patients to meet the specialist each time for checking the health status. M. S. Deekshith Gupta, V. Patchava, and V. Menezes developed a Raspberry Pi-based remote monitoring framework [7]. Raspberry Pi has a single-board debit card-sized mini PC with ARM processor. This developed framework was intended to consistently screen the ECG and other indispensable parameters. This information was continuously recorded in a cloud database and can be shown in a site that can be handled only by approved faculty. The essential work done by this framework was to refresh the information recorded in the cloud database and alert the specialists for any deviation. This was achieved by utilizing MySQLdb module to connect Raspberry Pi to the database. This framework has a lot of future extension as the information is assembled by checking and utilized for logical exploration by the clinical network. S. M. Riazul Islam et al. experienced a research review for the importance of IoT in healthcare services [8]. This work mainly focused on the studies of advancement in IoT-based human healthcare services. Also, they reviewed the best network architectures/platforms, applications, and modern IoTbased healthcare solutions. The distinct IoT security and privacy policies were examined, including security prerequisites, threat models, and attack scientific classifications from the specialist viewpoint. Also, a smart security model was proposed to minimize the security chance; it examines how various advancements, for example, huge information, encompassing knowledge, and wearables can be utilized in healthcare and addresses different IoT and e-Health strategies and guidelines over the world. R.Kumar and M.Pallikonda Rajasekaran built up a model for a Raspberry Pi-based patient monitoring system, [9]. The main objective of this framework was to track the patient health status continuously. IoT makes biomedical equipment effective by permitting ongoing checking of health status of the patients, in which sensors procure patient information and lessens human mistakes. C.Lee, Kim, and S.J. Hyun developed Mobile Sensor Network-Based Data Acquisition Architecture for Healthcare Services [10]. Healthcare monitoring services do not have the required complexity for obtaining the huge measure of human health information created from mobile users. The overhead of sifting through a lot of pointless information that may not straightforwardly helpful for medicinal service applications has been unavoidable. The human services administration engineering and furthermore information procurement programming has been proposed utilizing a database questioning office as an answer for the issue. This proposed administration engineering with the information securing plan viably overcomes any barrier between the medicinal services application area and the procurement of physical information. Minh Pham et al. have developed the Cloud-Based Smart Home Environment (CoSHE) for home human services [11]. The physiologic signs were gathered by several nonobtrusive wearable sensors and provided logical data for daily exercises in the area and home. The body liquid admission level was additionally given. The human services experts were permitted to concentrate on daily exercises and conduct changes and screen restoration and recuperation forms. A smart home condition was developed with surrounding sensors to give relevant data. The sensor information was prepared on a smart home passage and forwarded to a private cloud server. The cloud framework was created to give continuous information access to far off parental figures.

4. Proposed methodology

331

Amir-Mohammad Rahmani et al. developed a novel smart e-Health Gateway [12]. In an enormous amount of IoT-based patient checking frameworks, especially at smart homes or clinical facilities, there exists a passage between a sensor composition and the internet, which frequently performs crucial limits. The vital situation of such passages has been abused to offer a few more significant level administrations, for example, neighborhood stockpiling, ongoing nearby information preparing, installed information mining, and so forth. As such a way, the smart e-Health Gateway was proposed. A few weights of the sensor organization has been taken care of and a far off medicinal services place, a smart e-Health Gateway, was ready to offset numerous limitations in pervasive human services frameworks, for example, versatility, vitality productivity, and unwavering quality issues. A productive execution of smart e-Health Gateway enabled huge association of all-inclusive prosperity checking structures especially in clinical circumstances. Luca Catarinucci et al. [13] proposed a novel, IoT mindful, brilliant engineering for programmed checking and following of patients, faculty, and biomedical electronic gadgets inside clinics and nursing foundations. Based on the consistency in IoT vision, they proposed a Smart Hospital System (SHS) that depends on several corresponding advances, explicitly, RFID, wireless sensor network, and smart portable, interoperating with each other through a CoAP/6LoWPAN/REST arranged foundation. The SHS was ready to assemble, progressively, both natural conditions and patient physiologic boundaries through an ultra-low-power hybrid sensing network made out of 6LoWPAN hubs incorporating UHF RFID functionalities. Detected information was transferred to a control community where a propelled monitoring application made them effectively available by both neighborhood and far off clients by means of a REST web administration. The straightforward confirmation of idea was executed to accept the SHS featuring of various key facilities and parts of curiosity that speak to a critical advance forward contrasted with the real condition of craftsmanship. Mohammed Al-khafajiy et al. [14] have considered wearable sensor-based remote health monitoring of senior citizens. The advancement of a smart healthcare monitoring framework was fit for watching older individuals distantly. The innovation concentrated on the capacity to follow an individual’s physiologic information for identifying explicit issues that may help in early intervention practices. The exact planning and researching of the acquired material data were practiced while transmitting the discovery of disorder to an appropriate caregiver. The clinical choice to help while encouraging early intervention practices has been improved by the proposed framework.

4. Proposed methodology In proposed framework, the unique fingerprint impression is utilized for analyzing past clinical information recovery. This is considered one of the most promising approach alternatives to keep away from the missing identity card issue. Also, this proposed system considers the current health status of the patient like temperature, respiratory rate, and heartbeat rate with live update on IoT entry. This proposed kit compares the current status with past health history as well as healthy threshold level. The doctors as well as health specialists can easily suggest without much of a stretch or give the types of aid to be given on that spot, and the patient’s clinical data are accessed globally. Fig. 15.2 illustrates the detailed block diagram of the proposed system for fingerprint-based first aid emergency system using IoT.

332

Chapter 15 Fingerprint-based smart medical emergency

FIGURE 15.2 Block diagram of fingerprint-based smart medical emergency first aid kit using IoT.

The proposed system consists of Arduino Processor, Wi-Fi module (ESP8266), heartbeat sensor, temperature sensor, global system for mobile communication (GSM) module, GPS unit, LCD, power supply, buzzer, and fingerprint sensor. In this framework, biometric identification is utilized to recover the stored data effectively. It allows the doctor to easily handle and reduce hurdles by keeping away from missing identity card as well as RFID tag issues. The medical information like BP, sugar, blood group, HIV affected status, cancer, etc., can be recorded in the patient’s personal database. Additionally, a patient’s mobile number, relative’s contact number, and address are also managed along with medical report for identification and accessing purpose. Each patient is allotted a location like 1, 2, 3 .. in that location that their medical information is stored by using their fingerprint. The advantages of the proposed system are as follows: ⁃ easy to access the patient information ⁃ it allows quick and required treatment ⁃ no need to waste time on common test

4. Proposed methodology

333

⁃ all medical data can be monitored on IoT portal ⁃ health specialist can suggest legitimately ⁃ high security Fig. 15.3 shows the connection setup for the proposed framework of a fingerprint-based first aid emergency system using IoT. The Microcontroller Atmega328p is connected to the IoT module. The patient’s clinical data and other medical records can be stored in the cloud database. Biometrics is considered one of the highly secure authentication techniques to protect the patient’s database. In this system, fingerprint recognition is considered. A unique finger impression sensor is utilized to take the finger impression of the patients. Each patient is allotted a location to store their clinical information. If the patient keeps their finger on the fingerprint sensor by selecting the location the image is taken, then processed, converted into suitable format, and stored in the cloud database. The patient’s clinical records such as sugar, BP, blood group, HIV, etc., is also stored in the allocated location. The location can be selected by using up, down, and add keys. Whenever the patients keep their finger on the sensor the image is taken and converted and matched with the database. If the fingerprint is matched with the database, then the clinical information is displayed on the mobile phone. If it is not matched, the buzzer will be on, indicating that the image was not matched. The heartbeat sensor and temperature sensor measure the heartbeat and temperature of the person, and this periodic information is updated to the cloud and also sent on the relative’s mobile phone. If there are any deviations in the measured parameters, automatically, an alert is passed to doctor as well as hospital to keep ready for treatment.

FIGURE 15.3 Hardware module of the proposed framework.

334

Chapter 15 Fingerprint-based smart medical emergency

The proposed system also allows us to compare the patient’s current health status with a healthy threshold level. If the measured parameter has deviated from the threshold range, then the alert information is passed as SMS to the doctors, hospitals, ambulance, and caretakers. This activity is effectively performed by GSM module. The GPS unit shares the latitude and longitude of the location of the patient who is in need of immediate hospitalization. This information is provided to an ambulance driver to reach and track the location of the patient in time without much delay. Suppose the status of the patient’s health is normal, then the information is forwarded to doctors and caretakers. This information is also in a cloud database for future analysis. Fig. 15.4 shows the flowchart displaying the various stages involved in the treatment. The type of treatment was decided by the doctors based on the present health condition of the patient as well as the status received from the cloud database. The initial decision is based on the nature of patient, that is, new arrival or patient already in health monitoring. If they already exist, then the type of treatment is decided immediately without any delay. Otherwise, a detailed test is taken, and based on the report, treatment will be provided. The next decision is based on the status of the patient’s health. If he/she is in critical status, first aid will be provided to the patient immediately. The preference will be given to the critical patient based on the received reports. Whether the doctor decides to continue the same treatment or to modify it is also based on the comparison of present and past health records.

5. Hardware description The hardware module of the proposed framework fingerprint-based first aid medical emergency system using IoT is shown in Fig. 15.5. The proposed prototype is compact in size, which may be carried along with the patient. Whenever there is a need or at a periodic interval, he/she will undergo the health checkup.

5.1 Arduino UNO Arduino UNO is one of the popular and cheap microcontroller-based development boards dependent on the ATmega328P processor. It consists of 40 pins. Among these, 14 number of pins are utilized for digital I/O pins (among these 14 pins, 6 pins can be utilized for PWM output), 6 pins are allotted for analog inputs, a USB, power jack, a 16-MHz ceramic resonator (CSTCE16M0V53-R0), an ICSP header, and a reset button. The heart of this framework is Arduino UNO Development board, which is shown in Fig. 15.6.

5.1.1 Specifications ⁃ ⁃ ⁃ ⁃ ⁃ ⁃

operating voltage: 5 V input voltage: 6e20 V clock speed: 16 MHz digital I/O pins: 14 analog input pins: six weight: 25 g

5. Hardware description

FIGURE 15.4 Flowchart displays the different stages of treatment by the status of patient’s health.

335

336

Chapter 15 Fingerprint-based smart medical emergency

FIGURE 15.5 Hardware module of fingerprint-based first aid emergency system using IoT.

FIGURE 15.6 Arduino UNO development board.

5. Hardware description

337

5.2 NodeMCU ESP8266EX conveys a profoundly incorporated Wi-Fi SoC solution for satisfying the ceaseless needs for effective power utilization, minimized plan, and reliable performance in business. Along with WiFi organizing abilities, it can proceed as either an independent application or the captive to a host MCU. When ESP8266EX has the application, NodeMCU has the ability to quickly boot up from the external flash memory. The integrated rapid storage assists with expanding the execution and improves the system memory. Likewise, ESP8266EX can be applied to any miniaturized scale controller plan as a Wi-Fi connector through SPI/SDIO or I2C/UART interfaces. ESP8266EX communicates through a transceiver’s antenna switches, RF balun, power enhancer, Low-Noise Amplifier (LNA), and filters. The compact design nature limits the size of Printed Circuit Board (PCB) and takes into account insignificant outer hardware. Other than the Wi-Fi functionalities, ESP8266EX likewise incorporates with on-chip Synchronous Dynamic Random-Access Memory (SDRAM). It very well may be interfaced with outer sensors and different gadgets through the generalpurpose input/output (GPIO). Espressif Systems’ Smart Connectivity Platform empowers modern highlights that incorporate quick exchanging among rest or sleep mode and active or wake-up mode for energy efficiency purpose, versatile radio biasing for low-power activity, modern signal processing, noise removal, Bluetooth, Double Data Rate (DDR), Low-Voltage Differential Signaling (LVDS), and Liquid-Crystal Display (LCD) obstruction alleviation.

5.2.1 Specification The design of ESP8266EX chipset massively diminishes the quantity of electronic components required. Other than ESP8266EX, it requires less than 10 resistors and capacitors, a crystal oscillator and one SPI flash, which are expected to make a total module with remote correspondence ability. ESP8266EX schematics configuration incorporates six angles: ⁃ ⁃ ⁃ ⁃ ⁃ ⁃

power flexibly power-on succession and reset external resistor crystal oscillator RF flash memory

5.3 Heartbeat sensor A heart rate monitor is a personal checking gadget that permits one to read their pulse continuously or store the pulse for later investigation. It is to a great extent utilized by entertainers of different kinds of physical exercise. The heartbeat sensor is planned to give digital output of heartbeat when a finger is put over the sensor. At the point when the heartbeat finder is working, the beat LED streaks as one with each heartbeat. This modernized advanced yield can be related with a microcontroller clearly to check the beats per minute (BPM) rate.

338

Chapter 15 Fingerprint-based smart medical emergency

5.3.1 Working principle of heartbeat sensor The operating principle of the heartbeat sensor is based on photoplethysmography. It measures the modification in volume of blood through any organ of the body, which causes an alteration in the light power through that organ (a vascular locale). If there should arise an occurrence of utilizations where heart rate is to be observed, the planning of the beats is progressively significant. The working principle of a heart beat sensor is demonstrated in Fig. 15.7. The movement of blood flow volume is chosen based on the pace of heartbeats. Since light is devoured by blood, hence the sign heart pulses are comparable to the heartbeats. There are two different types of photoplethysmography: ⁃ Transmission: Light discharged from the light emitting device is transmitted through any vascular locale of the body like ear cartilage and detected by the receiver. ⁃ Reflection: Light emitted from the transmitting gadget is reflected by the locales.

5.3.2 Circuit diagram of heartbeat sensor Fig. 15.8 shows the electronic circuit diagram of a heartbeat sensor. This circuit can be constructed by the combination of high-pass filter (HPF) and noninverting amplifier. The necessity of HPF is to remove unwanted noise arising due to because channel interference, motion artifacts, cross-talk, power fluctuations, etc. The filtered signal is weak in amplitude, so before processing, it allows to amplifier to boost the strength of the signal.

5.3.3 Heartbeat sensor board The hardware module of a heartbeat sensor is shown in Fig. 15.9. Nowadays, this module is available in portable size at cheaper price. It allows implementation anywhere without much inconvenience.

FIGURE 15.7 Working of heartbeat sensor.

5. Hardware description

680k

680k

6.8k

6.8k Potentiometer 470 ohm

10k

– + 1uF 68k

Input from Arduino

SL100

FIGURE 15.8 Circuit diagram of heartbeat sensor.

FIGURE 15.9 Heartbeat sensor board.

LDR

– + uA741

1uF 68k

uA741

Output to Arduino

339

340

Chapter 15 Fingerprint-based smart medical emergency

5.3.4 Specifications ⁃ ⁃ ⁃ ⁃ ⁃

input voltage: þ5 V DC input current: 100 mA output data level: 5 V TTL level light source: 660 nm super red LED heartbeat detection indicated by LED and output high pulse

5.4 Fingerprint sensor Fingerprint authentication or recognition implies the electronic method for affirming a match between two human fingerprints. Fingerprints are one of various kinds of biometrics used to perceive individuals and affirm their personality. The assessment of the fingerprints for confirmation purposes requires the correlation of a couple of features of the print structure. These incorporate patterns, which are all characteristics of edges, and minutia focuses, which are novel features discovered inside the patterns. It is likewise important to know the structure and properties of human skin to effectively utilize a portion of the imaging advancements. Fig. 15.10 shows the equipment module of a fingerprint recognizer. The fingerprint sensor is an electronic gadget used to capture a digital image of the fingerprint design. The captured image is known as a live output. This live sweep is carefully prepared to make a biometric format an assortment of removed highlights that are stored and used for matching. This is an overview of a portion of the more ordinarily utilized fingerprint sensor technologies.

FIGURE 15.10 Fingerprint sensor.

5. Hardware description

341

5.4.1 Types of fingerprint sensors 1. ultrasonic-based module 2. capacitance-based module 3. optical-based module Among all these types, optical-type fingerprint sensor is most widely used in most of the application areas.

5.4.2 Optical fingerprint sensor Optical fingerprint imaging involves capturing a digital image of the print using visible light. This kind of sensor is, fundamentally, a particularly advanced camera. The top layer of the sensor, where the finger is set, is known as the touch surface. Underneath this layer is a light-transmitting phosphor layer that enlightens the outside of the finger. The light reflected from the finger goes through the phosphor layer to a variety of strong state pixels that catch a visual picture of the unique mark. A scratched or filthy touch surface can cause a terrible picture of the unique finger impression. A drawback of this kind of sensor is the way that the imaging capacities are influenced by the nature of skin on the finger. For example, a grimy or stamped finger is hard to picture appropriately. Additionally, it is workable for a person to dissolve the external layer of skin on the fingertips to where the mark is not unique. It can likewise be effortlessly tricked by a picture of a unique mark if not combined with a “live finger” indicator. In any case, in contrast to capacitive sensors, this sensor innovation is not vulnerable to electrostatic release harm.

5.4.3 Working principle of optical fingerprint sensor The electrical signal created in response to the light hitting the Charge-Coupled Device (CCD)forms pixels that are collectively joined to form an image. These pixels are converted using ADC to make a digital image. This image will be compared and analyzed with the stored images. The working principle of a fingerprint sensor is demonstrated in Fig. 15.11.

FIGURE 15.11 Working of a fingerprint sensor.

342

Chapter 15 Fingerprint-based smart medical emergency

The scanning device of a fingerprint sensor consists of a glass plate, on top of which the patient is supposed to place his/her finger. After the scanning, an inverted image of the scanned finger is recorded. This image will show the ridges and valleys of the patient’s finger. The ridges may be spotted by the darker areas where the light reflection is greater. The valleys can be spotted by the lighter areas, where the light reflected is less. The scanner is also designed to recheck the image captured. The scanner checks whether the image captured has satisfactory pixel darkness. If a problem is seen in the checking process, the image will be rejected, and the suitable adjustments will be made to get a better quality picture.

5.4.4 Specifications ⁃ ⁃ ⁃ ⁃ ⁃ ⁃ ⁃ ⁃ ⁃ ⁃ ⁃

supply voltage: 3.5e6.0 V (DC) operating current: 120 milliampere (maximum) peak current: 150 milliampere (maximum) capturing period: > Re ðZR Þ ¼ > < 2R2 Rload LR CR þ R22 LR CR þ R22 CR2 R2load þ L2R (19.8) > 2 > M u > 0 > > : Im ðZR Þ ¼ 2R2 Rload LR CR þ R22 LR CR þ R22 CR2 R2load þ L2R Putting R2 ¼ 0, Eq. (19.8) is represented as follows: 8 M 2 Rload > > > Re ðZR Þ ¼ > < L2 R

> > > M 2 u0 > : Im ðZR Þ ¼  LR

(19.9)

PTE ¼ P2 =P1

(19.10)

The PTE can be derived as Where the transmitter side power is P1 and receiver side power is P2, which is transferred from transmitter to receiver.

412

Chapter 19 Efficient wireless power transfer system

2.1 Common coil structures Different coil structures have been briefly studied for low-power WPT applications [27]. The power transfer, angle, and air gap of the implanted devices can be varied prominently for these coil structures. Depending on the flux distribution polarity, the WPT coils are classified into three categories: unipolar [28], polarized [29], and double polarized [30]. These commonly used coil shapes that are researched briefly for electric vehicle (EV) charging applications are the square, circular, rectangular, and double coils. Different coil structures have their own advantages and disadvantages. These coil structures are suitable for various applications. A brief design and a comparative analysis are made to find the optimal shapes of coils for EV charging.

2.1.1 Circular coil Circular-shaped coils, as shown in Fig. 19.7A, are unipolar flux distribution coils. These coil structures have the advantage of having a uniform flux distribution, which is produced by the symmetrical geometry of the coil. A uniform flux distribution leads the magnetic coupling to be uniform and have uniform power transfer in all directions. This uniform power transfer minimizes the stress on the secondary side power converter circuit. The quality factor of the circular coils is uL (19.11) R More power can be transferred from the transmitter to the receiver coil by increasing the value of quality factor Q. From Eq. (19.11), it is seen that the Q of the coil can be enhanced by increasing the angular velocity u. But, when u is constant, the quality factor can be enhanced by increasing the inductance of the coil or reducing the resistance value. By taking low-resistance conductors, the turns of the coil are increased by decreasing the coil pitch, without increasing the coil resistance. The application of ferrite core can significantly improve the magnetic coupling between two coils. This core provides a low reluctance path to the magnetic flux, which increases the coil inductance and Q. These coils are shielded by taking ferrite materials to protect the electronic parts from damage due to flux and to minimize leakage flux. These shaped coils are commonly used for the WPT application. The misalignment tolerance is better for circular coils. The circular coils operate efficiently when the two coils are of identical structure. The power transfer capability can be enhanced by increasing the outer radius. QC ¼

(a)

FIGURE 19.7 Common coil structures: (A) circular coil and (B) rectangular coil.

(b)

2. Design of WPT system

413

2.1.2 Rectangular coils Both square and rectangular-shaped coils are practically the same structure, but the performances of the coils are different.The rectangular coil structure is shown in Fig. 19.7B. These coil structures have polarized flux distribution. Compared to the circular-shaped coils, rectangular coils have a higher flux path. But, the coil being two-sided has half the leakage flux in the bottom direction. These coils have more leakage flux, so they are not suitable for the WPT application. However, among all available shaped coils, these shaped coils have the highest magnetic coupling. The magnetic coupling decreases for the misaligned coil. Vertical displacement rectangular-shaped coils have the best tolerance. A large amount of Litz wire is required to make a rectangular coil of the same area as that of a circular coil.

2.1.3 Double coils Double coils consist of two circular coils that are connected in parallel with each other. They have minimum leakage flux with polarized flux distribution in the middle. These types of coils have higher coupling efficiency and operate with a high power factor compared with other coil structures. But, these structures require more space with a large amount of Litz wire requirement. The misalignment tolerance is better for these coils and has one-sided flux distribution, which is similar to the circular coil. It also has a minimum leakage flux. The double coil has a 1.5 times coupling coefficient of the similar size circular coil. Among the aforementioned coil structures, the circular coil not only takes less space but also involves less magnetic materials. These coils have also advantages such as less leakage flux and less misalignment issues. But, a circular coil structure has less magnetic coefficient. Moreover, the rectangular coil structure achieves better magnetic coupling at a large distance. The strength of magnetic coupling is inversely proportional to the distance between the transmitter and receiver coil. However, this coiled structure suffers from misalignment issues and also requires more magnetic materials. Therefore, rectangular structure coils are generally not used in WPT implantable devices. The double coil structure has advantages of circular-shaped coil-like less leakage flux and fewer misalignment issues. However, it not only takes more space but also involves more magnetic materials. Hence, this coiled structure is also not suitable for WPT applications. From this discussion, it can be concluded that the circular structured coil is a more suitable option for implantable devices. A brief comparison is made among all the coil structures, which is given in Table 19.1.

Table 19.1 Overview of different coil structures. Issues

Double coil

Rectangular coil

Circular coil

Leakage flux Material for coil Flux distribution Polarity Horizontal tolerance Vertical tolerance

Minimum More Not uniform Unipolar High Low

In bottom of the coil Moderate Not uniform Polarized Low High

Horizontal Less Uniform Unipolar High Low

414

Chapter 19 Efficient wireless power transfer system

3. Power conditioning units

3.1 Transmitter side power conversion and management An AC voltage of proper magnitude and frequency is required to supply the transmitter coil. This can be achieved with the help of an oscillator and a power amplifier. SiT8008B oscillator and Class-E power amplifier are commonly used for the WPT application.

3.1.1 SiT8008B oscillator An SiT8008B oscillator produces an AC periodic signal from a DC supply source voltage. The generated signal can be changed from a low range to a high range. A low level will be maintained for short intervals, and then it goes back to the high state, and this process is continued in the further cycles until the oscillator meets the necessary conditions. The generated signal quality can be evaluated by estimating its total harmonic distortion (THD). THD of a signal is the ratio of RMS value of all harmonic components to the fundamental component’s RMS value of the generated signal. Moreover, the range of the fundamental frequency of the signal can be varied from [ f0 e Df, f0 þ Df ]. Its frequency stability ratio s can measure the stability of the generated signal. The frequency stability ratio can be measured in ppm at a room temperature of 25 C: sppm ¼ 106

Df f0

(19.12)

In this work, an oscillator of SiT8008B is selected for generating an AC signal of frequency 13.56 MHz. This oscillator is chosen due to its simplicity. SiT8008B oscillator has a stable operation with s of 50 ppm and low energy consumption. Moreover, this oscillator is not influenced by variation in temperature.

3.1.2 The operating frequency The operating frequency for class-E power amplifier (PA) of 13.56 MHz is chosen, which satisfies the industrial, scientific, and medical bands. As per the International Telecommunication Union standard, the maximum current at the frequency levels of 100e140 kHz, 6.88, and 13.86 MHz are 68, 46, and 46 dBmA/m, respectively. The intensity is higher at a low frequency and vice-versa. Moreover, negligible heat will be generated on the tissues in this band. Therefore, 13.56 MHz operating frequency is most suitable in the WPT application. This frequency range confirms the appropriate magnetic field strength, the biocompatibility, and the transmission range [31,32].

3.1.3 Class-E power amplifier Generally, a transcutaneous application device consists of a PA. This PA delivers power to the primary coil of a WPT system at an appropriate operating frequency as well as the magnitude of the signal. Switched-mode PA is commonly used for inductive power coupling because of minimum losses at higher frequencies. The different types of PAs with their characteristics were reported earlier in Ref. [22]. Various challenges should be kept in mind during design of a WPT system for an implantable device. From the literature, it is seen that the Class-E PA is suitable for the implantable device as it has a theoretical efficiency of 100%. Moreover, this PA has a wide frequency range of 3 MHze10 GHz [33]. This amplifier also consists of a transistor that drives a series resonant circuit, as shown in Fig. 19.8.

3. Power conditioning units

415

FIGURE 19.8 Schematic diagram of the power amplifier (Class-E).

3.1.4 Class-E power amplifier transistor DMG230UK-7 Class-E PA can be designed based on minimum power losses. An enhancement-mode N-channel metal oxide semiconductor field effect transistor (MOSFET) is used for the continuous switching of the amplifier. An N-channel MOSFET of DMG230UK-7 is chosen for the amplifier due to its high speed and low switching frequency. From its datasheet, R ¼ 13 mU, which is small, and it is suitable for the amplifier. It is also noted that the high value of capacitance is connected across input, as shown in Fig. 19.7. But, the low load resistance value of R leads a high current to confirm a small switching interval. At a high frequency of 13.56 MHz, the required amount of the current is not supplied by an oscillator only. Hence, a driver is included, which will act as a follower at the output of the oscillator.

3.1.5 Driver LM5134 From Fig. 19.7, the current flowing through Ci is given by the following: I¼

Ci vgs ton

(19.13)

Where ton is the time taken to fully charge the gate capacitor Ci of the control switch and is expressed by the following [19]: ton ¼ 4sðRd þ Rg ÞCi

(19.14)

Where Rg and Rd are the internal gate resistance and the driver output resistor, respectively, and s is the charging constant. From the datasheet, it is found that Rg ¼ 1.7 U and Rd ¼ 0.2 U. For the duty cycle of the transistor to equal 2% of the duty cycle of the control pulse (f ¼ 13.56 MHz), with gate voltage (vgs) of 3.3 V, ton can be found as shown: ton ¼ 0:02T ¼ 1:475 ns

(19.15)

By putting all values in Eq. (19.13), the maximum current is found to be 895.97 mA. In this chapter, Texas Instrument driver no. LM5134 is used for switching the MOSFET with Rd ¼ 0.2 U. Both the SiT8008 and the LM5134 drivers are commonly used for accurate current and voltage as desired by the Class-E PA. A DC supply voltage of 3.3 V is given to the amplifier.

416

Chapter 19 Efficient wireless power transfer system

3.2 Receiver side power conversion and management The receiver circuit comprises a resonant circuit followed by a rectifier, a low-pass filter, a clamp circuit, and a voltage regulator, as shown in Fig. 19.9. The resonant circuit at an operating frequency can be formed by using a capacitor (Cp) connected parallel with the receiver coil (L2). It acts as an AC voltage source to the rest of the receiver circuit due to parallel resonance. The induced AC voltage across the receiver circuit can be rectified to a DC signal by using a full-wave rectifier and a low-pass filter. The overvoltage protection can be provided by connecting a Zener diode (DZ) between the lowpass filter output and the regulator input, which behaves as a clamp circuit. The regulated supply voltage can be achieved at the final stage by using a voltage regulator (LT1521-5).

3.2.1 Backward data communication unit The transmitter coil supplies power to the sensor units inductively, and it transmits the data back to the reader. The data transmitter can be designed based on low power, less complexity, and data rates. Nowadays, the commonly used low-power digital modulation techniques are amplitude shift keying (ASK). However, this technique undergoes noise susceptibility. The frequency shift keying (FSK) modulation technique, which has excellent noise performance, is the better option for the backward data transmission system. But, more power will be consumed by the FSK technique compared to ASK. However, this technique has better noise performance with a simple design structure. The FSK unit comprises a voltage-controlled oscillator (VCO). The digital data from the sensor is given as input to the VCO. Since the output frequency of the VCO is directly dependant on the input signal amplitude, the VCO produces two separate frequencies for the logic “0” or “1” states. The FSK modulator can be realized by using Texas Instruments IC no CD4046B CMOS low-power PLL chip. The PLL comprises a linear VCO with two separate phase comparators. These comparators can be operated at a frequency up to 1.2 MHz. The required frequency for the FSK scheme can be generated by using timing resistors and capacitors. However, this PLL IC is not able to drive reactive loads of low impedance, and the data communication should be free from any interference. Hence, a proper trapping circuit and a gain stage are included in the implant’s system to promote the data coupling with the receiver coil. The resonant circuit acts as inductive for the frequency range below the resonant frequency, whereas it acts as capacitive above the resonant frequency. The parallel receiver coils have an inductive reactance that is less than 500 U at the resonant frequency. Hence, an additional

FIGURE 19.9 Schematic diagram of inductive WPT (receiver side).

4. Challenges and solutions

417

FIGURE 19.10 Gain stage and the trap network.

gain stage is included at the VCO output, as shown in Fig. 19.10. A 1 kU resistor is used as the gain resistor, so it will limit the current in the range of 5 mA with a supply DC voltage of 5 V. The power electronics switch of MOSFET (ZVN0120A) is used here for the continuous switching. The amplifier output is connected with the receiver coil with the help of a series resonant tank; as a result, a low resistance path is provided for the FSK signals at the resonant frequency. The FSK demodulator can also be realized through a PLL of CD4046B chip. The design of a demodulator is made by considering the timing resistors and capacitors.

4. Challenges and solutions Although the inductive coupling resonant WPT system has attractive features, it has also challenges on developing an optimal design of the inductive link for implantable devices. The various challenges, along with their practical solutions, are highlighted next.

4.1 Variation of output load At the different times of operation of the implantable device, the current drawn by it is not constant, as the output load that is continuously changing depends on its function. However, the inductive coupling design is made for a particular load, so it is unable to function satisfactorily at any load condition. a. A relatively large capacitor is connected across the output load of WPT systems. This capacitor can store sufficient charge and deliver the power to the implanted receiver coil. When the time constant, which is equal to the product of storage capacitor and load resistance, is less than the time constant of load current, then the required amount of the power can be delivered to any load under the time-varying load current. b. The PTE is contentiously changing with the change in load conditions. So, a high Q-factor decoupling coil is required to reduce the PTE fluctuations with the load [34,35]. This scheme is commonly used for the implantable device of a mid-range WPT system by applying resonance

418

Chapter 19 Efficient wireless power transfer system

coupling [14,36]. By using resonant WPT technique, the PTE and its range can be enhanced [37,38]. The range of the WPT system can also be investigated by using four coil resonant circuits instead of two coil structures [26].

4.2 Misalignment a. The moving implantable device that is inside the human body creates misalignment and artifacts are inherent. The performance of the inductive WPT system badly affects the misalignments [39], and the design is made accordingly. b. The earlier and current implantable devices have coil alignment employing permanent magnets [40,41]. The alignment magnets will produce enormous heat at the time of magnetic resonance imaging (MRI), which are surgically removed before scanning. This difficulty can be overcome by taking self-aligned suspended magnets [42], which have compatibility with MRI. c. The transmit power can be varied to deliver the necessary power to implant devices by applying a closed-loop power control using backchannel telemetry [43,44]. This can be easily implemented by taking the minimum electronics component at the implant. But, optimal PTE cannot be maintained by using the closed-loop control technique. The operating frequency can be changed with respect to the variation of the load, which is also a better option to enhance the power delivered levels and closed-loop control [45]. Moreover, the present implantable devices have the design of accurate transmitter coil to receiver coil separation and misalignment studies to ensure proper power delivered levels to the IMD in all unfriendly conditions [46].

4.3 Resonance detuning a. For easy surgical operation and to eliminate injuries created by rigid implants, the implantable device should be flexible in structure. But, the implantable coil flexion and stretching produces serious resonance detuning [47,48], which decreases the PTE considerably, if not matched with the tuning capacitor [49,49a]. b. Miniature coils with less inductance per area can be used to eliminate the resonance detuning under stretching. This coil structure is less susceptible to resonance detuning. Power transmission at the high operating frequency is the better option to eliminate poor performance due to size reduction. The flexibility of the coil can be obtained by taking thin self-similar serpentine-sized coil traces built on polyethylene terephthalate [50].

4.4 Electrical safety a. During functional muscle stimulation, the power required by the implantable devices is very high, by which the electromagnetic field may deviate from specific absorption rate (SAR) safety limits, which is not acceptable. So it is essential to maintain the electrical safety from the IMD at high power transmission range up to 150 mW. b. Tissue losses can be minimized by transmitting power at a low frequency of kHz level, as tissue loss depends on the operating frequency [51]. Large power delivery can be achieved while still adhering to SAR limits of 2 W/kg [52]. But, this can be obtained by taking a large-sized coil

References

419

having more turns that has efficient coupling and high PTE. However, this leads to an increased volume of the implant device. By adding good magnetic materials, the coil size can be reduced. The small-sized coil is also able to provide efficient coupling for obtaining high efficiency at low frequencies. This has already been implemented by the FDA [53,54].

4.5 Biocompatibility The coils used for implant devices are fabricated by taking low resistance conductors like copper. Copper offers better performance as it has low resistivity. But, copper metals are generally not biocompatible and not suitable for implant devices. Many biocompatible conductors have a resistivity that is greater than that of copper. The use of biocompatible encapsulations such as silicone [40e42], glass [54], and ceramic [55] permits high conductivity copper coils to be packaged and used safely inside a tissue environment.

5. Conclusion The inductive WPT technique is the most suitable technique for an IMD. Inductive WPT technique is analyzed by the different researchers in due course of time, which is why it is widely used for diagnosis of health as well as treatment applications. Because of the lower MHz range of operating frequency, the tissue safety factor of inductive WPT is higher compared to other WPT techniques. For any IMDs, bidirectional communication is essential between the external control component and the internal sensor, which can be easily achieved by the inductive links. Furthermore, the inductive power transfer topology reduces the size of the devices, so implantation of the devices inside the body will be easier. Further, the higher power transfer capability of RIC WPT is more suitable for transcutaneous applications. The advancement of micro, nano, and quantum technologies along with the miniaturization of all components open the future design of the WPT for a reliable and efficient performance. The research opportunities are different techniques of WPT, distance for the WPT, coil structures, and new intelligent methods for adaptive power transfer. The problems can be formed based on the present requirement, and a robust and efficient method for WPT can be designed for society.

References [1] R.V. Taalla, M.S. Arefin, A. Kaynak, A.Z. Kouzani, A review on miniaturized ultrasonic wireless power transfer to implantable medical devices, IEEE Access 7 (2018) 2092e2106. [2] Y.H. Joung, Development of implantable medical devices: from an engineering perspective, Int. Neurourol. J. 17 (3) (2013) 98e106, https://doi.org/10.5213/inj.2013.17.3.98. [3] S. Narasimhan, X. Wang, S. Bhunia, Implantable electronics: emerging design issues and an ultralightweight security solution, Conf. Proc. IEEE Eng. Med. Biol. Soc. 2010 (2010) 6425e6428. [4] K. Bazaka, M.V. Jacob, Implantable devices: issues and challenges, Electronics 2 (2013) 1e34. [5] C.-C. Wang, C.-C. Huang, J.-S. Liou, Y.-J. Ciou, I.-Y. Huang, C.-P. Li, et al., A mini-invasive long-term bladder urine pressure measurement ASIC and system, IEEE Trans. Biomed. Circuits Syst. 2 (2008) 44e49. [6] J. Olivo, S. Carrara, G. De Micheli, Energy harvesting and remote powering for implantable biosensors, IEEE Sens. J. 11 (2011) 1573e1586.

420

Chapter 19 Efficient wireless power transfer system

[7] Y. Ben Fadhel, S. Ktata, K. Sedraoui, S. Rahmani, K. Al-Haddad, A modified wireless power transfer system for medical implants, Energies 12 (2019) 1890. [8] K.N. Bocan, E. Sejdic, Adaptive transcutaneous power transfer to implantable devices: a state of the art review, Sensors 16 (2016) 393. [9] R.V. Taalla, M.S. Arefin, A. Kaynak, A.Z. Kouzani, A review on miniaturized ultrasonic wireless power transfer to implantable medical devices, IEEE Access 7 (2019) 2092e2106, 11. [10] S.R. Khan, S.K. Pavuluri, G. Cummins, M.P.Y. Desmulliez, Wireless power transfer techniques for implantable medical devices: a review, Sensors 20 (2020) 3487, https://doi.org/10.3390/s20123487. [11] H.-J. Kim, H. Hirayama, S. Kim, K.J. Han, R. Zhang, J.-W. Choi, Review of near-field wireless power and communication for biomedical applications, IEEE Access 5 (2017) 21264e21285. [12] S. O’Driscoll, A. Poon, T.H. Meng, A mm-sized implantable power receiver with adaptive link compensation, in: Proceedings of the 2009 IEEE International Solid-State Circuits Conference-Digest of Technical Papers, San Francisco, CA, USA, IEEE, San Francisco, CA, USA, 8e12 Febuary 2009, pp. 294e295. [13] S.L. Ho, J. Wang, W. Fu, M. Sun, A comparative study between novel witricity and traditional inductive magnetic coupling in wireless charging, IEEE Trans. Magn. 47 (2011) 1522e1525. [14] A. Kurs, A. Karalis, R. Moffatt, J.D. Joannopoulos, P. Fisher, M. Soljacic, Wireless power transfer via strongly coupled magnetic resonances, Science 317 (5834) (2007) 83e86. [15] M. Kline, I. Izyumin, B. Boser, S. Sanders, Capacitive power transfer for contactless charging, in: Proceedings of the Conference Proceeding IEEE Applied Power Electronics Conference Exposition APEC, Phoenix, AZ, USA, 21e25 March 2011, pp. 1398e1404. [16] J. Wang, S.L. Ho, W. Fu, M. Sun, A Finite element analysis and corresponding experiments of resonant energy transmission for wireless transmission devices using witricity, in: Proceedings of the Digests of the 2010 14th Biennial IEEE Conference on Electromagnetic Field Computation, Chicago, IL, USA, 9e12 May 2010. [17] H. Basaeri, D.B. Christensen, S. Roundy, A review of acoustic power transfer for bio-medical implants, Smart Mater. Struct. 25 (2016) 123001. [18] M. Mujeeb-U-Rahman, D. Adalian, C.-F. Chang, A. Scherer, Optical power transfer and communication methods for wireless implantable sensing platforms, J. Biomed. Opt. 20 (2015) 95012. [19] S. Nutwong, A. Sangswong, S. Naetilddanon, E. Mujjalinvimut, Output voltage of control of the SP topology control IPT system based on operating side controller operating at ZVS, ECTI Trans. Comp. Inf. Technol. 11 (2017) 71. [20] Y.G. Jeng, Y. Hung, Y. Huang, T. Lee, K. Tai-Haur, A wireless power transfer system with reconfigurable series/parallel (RSP) receiver, in: Automotive Research and Testing Center (ARTC), Lukang, Taiwan, 2015. [21] S. Cui, Z.Z. Liu, Y. Jhou, H. Zing, Z.K. Yue, H.L. Hiang, Study on efficiency of different topologies of magnetic coupled resonant wireless charging, in: Proceedings of the Earth and Environmental Science Conference, Kunming, China, 22e25 September 2017. [22] L. Liu, B. Lin, X. Zhu, Optimization of Rotary Transformer for RUM with Secondary Self-Compensation, Elsevier, Amsterdam, The Netherlands, 2018, p. 740. [23] K. Chen, D. Peroulis, Design of highly efficient broad class-E power amplifier using synthesized low-pass matching networks, IEEE Trans. Microw. Theory Tech. 59 (2011) 3163e3165. [24] K. Agrwal, J.R. Deesan, Y.-X. Guo, V.N. Thakor, Wireless power transfer strategies for implantable bio electronics: methodological review, IEEE Rev. Biomed. Eng. 10 (2017) 136e161. [25] U.-M. Jow, M. Ghovanloo, Design and optimization of printed spiral coils for efficient transcutaneous inductive power transmission, IEEE Trans. Biomed. Circuits Syst. 1 (2007) 193e202. [26] A.K. Ram Rakhyani, S. Mirabbasi, M. Chiao, Design and optimization of resonance-based efficient wireless power delivery systems for biomedical implants, IEEE Trans. Biomed. Circuits Syst. 5 (1) (2011) 48e63.

References

421

[27] W.M. Ng, C. Zhang, D. Lin, S.Y. Ron Hui, Two- and three-dimensional omnidirectional wireless power transfer, IEEE Trans. Power Electron. 29 (9) (September 2014) 4470e4474. [28] Boys, A. Green, Inductive Power Distribution System, March 8, 1994. US5293308 A. [29] H. Takanashi, Y. Sato, Y. Kaneko, S. Abe, T. Yasuda, A large air gap 3 kW wireless power transfer system for electric vehicles, in: IEEE Energy Conversion Congress and Exposition, 2012, pp. 269e274. [30] M. Budhia, J. Boys, G. Covic, C. Huang, Development of a single-sided flux magnetic coupler for electric vehicle IPT charging systems, IEEE Trans. Ind. Electron. 60 (1) (2013) 318e328. [31] International Commission on Non-Ionizing Radiation Protection, Guidelines for limiting exposure to timevarying electric and magnetic fields for low frequencies (1 Hze100 KHz), Health Phys. 99 (2010) 818e836. [32] IEEE Standard for Safety Levels with Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3KHz to 300 GHz, IEEE Standard C95.1; IEEE, Piscataway, PA, USA, 2005. [33] M. Kiani, B. Lee, P. Xeon, M. Ghovanloo, A Q-modulation technique for efficient inductive power, IEEE Solid State Circuits 50 (2016) 2839e2848. [34] A.K. RamRakhyani, G. Lazzi, On the design of efficient multi-coil telemetry system for biomedical implants, IEEE Trans. Biomed. Circuits Syst. 7 (1) (2012) 11e23. [35] M. Kiani, U.M. Jow, M. Ghovanloo, Design and optimization of a 3-coil inductive link for efficient wireless power transmission, IEEE Trans. Biomed. Circuits Syst. 5 (6) (2011) 579e591. [36] A. Karalis, J.D. Joannopoulos, M. Soljacic, Efficient wireless non-radiative mid-range energy transfer, Ann. Phys. 323 (1) (2008) 34e48. [37] B.L. Cannon, J.F. Hoburg, D.D. Stancil, S.C. Goldstein, Magnetic resonant coupling as a potential means for wireless power transfer to multiple small receivers, IEEE Trans. Power Electron. 24 (7) (2009) 1819e1825. [38] A.P. Sample, D.T. Meyer, J.R. Smith, Analysis, experimental results, and range adaptation of magnetically coupled resonators for wireless power transfer, IEEE Trans. Ind. Electron. 58 (2) (2010) 544e554. [39] M. Soma, D.C. Galbraith, R.L. White, Radio-frequency coils in implantable devices: misalignment analysis and design procedure, IEEE Trans. Biomed. Eng. (4) (1987) 276e282. [40] F.G. Zeng, S. Rebscher, W. Harrison, X. Sun, H. Feng, Cochlear implants: system design, integration, and evaluation, IEEE Rev. Biomed. Eng. 1 (2008) 115e142. [41] I. Hochmair, P. Nopp, C. Jolly, M. Schmidt, H. Scho¨ßer, C. Garnham, I. Anderson, MED-EL cochlear implants: state of the art and a glimpse into the future, Trends Amplif. 10 (4) (2006) 201e219. [42] M. Zimmerling, MED-EL Elektromedizinische Geraete GmbH, MRI-safe Implant Magnet with Angular Magnetization, 2018. U.S. Patent 9,872,993. [43] M. Kiani, M. Ghovanloo, An RFID-based closed-loop wireless power transmission system for biomedical applications, IEEE Trans. Circuits Syst. II Expr. Briefs 57 (4) (2010) 260e264. [44] G. Wang, W. Liu, M. Sivaprakasam, G.A. Kendir, Design and analysis of an adaptive transcutaneous power telemetry for biomedical implants, IEEE Trans. Circuits Syst. I Regul. Pap. 52 (10) (2005) 2109e2117. [45] P. Si, A.P. Hu, S. Malpas, D. Budgett, A frequency control method for regulating wireless power to implantable devices, IEEE Trans.Biomed. Circuits Syst. 2 (1) (2008) 22e29. [46] A. Qusba, A.K. RamRakhyani, J.H. So, G.J. Hayes, M.D. Dickey, G. Lazzi, On the design of microfluidic implant coil for flexible telemetry system, IEEE Sens. J. 14 (4) (2014) 1074e1080. [47] J. Kim, G.A. Salvatore, H. Araki, A.M. Chiarelli, Z. Xie, A. Banks, X. Sheng, Y. Liu, J.W. Lee, K.I. Jang, S.Y. Heo, Battery-free, stretchable optoelectronic systems for wireless optical characterization of the skin, Sci. Adv. 2 (8) (2016) e1600418. [48] A. Trigui, S. Hached, F. Mounaim, A.C. Ammari, M. Sawan, Inductive power transfer system with selfcalibrated primary resonant frequency, IEEE Trans. Power Electron. 30 (11) (2015) 6078e6087. [49] R.H. Kim, H. Tao, T.I. Kim, Y. Zhang, S. Kim, B. Panilaitis, M. Yang, D.H. Kim, Y.H. Jung, B.H. Kim, Y. Li, Materials and designs for wirelessly powered implantable light-emitting systems, Small 8 (18) (2012) 2812e2818.

422

Chapter 19 Efficient wireless power transfer system

[49a] A.M. Houran, X. Yang, W. Chen, Magnetically coupled resonance WPT: review of compensation topologies, resonator structures with misalignment, and EMI diagnostics, Electronics 11 (2018) 22. [50] H. Jiang, J. Zhang, D. Lan, K.K. Chao, S. Liou, H. Shahnasser, R. Fechter, S. Hirose, M. Harrison, S. Roy, A low-frequency versatile wireless power transfer technology for biomedical implants, IEEE Trans. Biomed. Circuits Syst. 7 (4) (2012) 526e535. [51] J.H. Schulman, The feasible FES system: battery powered BION stimulator, Proc. IEEE 96 (7) (2008) 1226e1239. [52] G.E. Loeb, C.J. Zamin, J.H. Schulman, P.R. Troyk, Injectable microstimulator for functional electrical stimulation, Med. Biol. Eng. Comput. 29 (6) (1991) NS13. [53] J.F. Patrick, P.A. Busby, P.J. Gibson, The development of the NucleusÒ FreedomÔ cochlear implant system, Trends Amplif. 10 (4) (2006) 175e200. [54] G.E. Loeb, F.J. Richmond, L.L. Baker, The BION devices: injectable interfaces with peripheral nerves and muscles, Neurosurg. Focus 20 (5) (2006) 1e9. [55] R. Carta, J. Thone´, R. Puers, A wireless power supply system for robotic capsular endoscopes, Sensor Actuator A Phys. 162 (2) (2010) 177e183.

CHAPTER

20

Impact of IoT in biomedical applications: Part I

Monika Parmar1, Harsimran Jit Kaur2 1

Chitkara School of Engineering and Technology, Chitkara University, Himachal Pradesh, India; 2Chitkara University Institute of Engineering and Technology, Chitkara University, Punjab, India

1. Introduction The human services industry is in a condition of incredible despondency. Medical facilities are way more expensive compared to primitive times. Also, the human community is aging globally and chronic diseases are increasing rapidly. This occurs several times when people would not get hospital treatment on time, which can seriously affect their health. The problem is worsened by many causes: insufficient hospital spaces, road noise, inaccessibility of staff, and failure in clinical diagnosis. Innovation cannot prevent the populace from maturing or reducing diseases immediately, but it can make medicinal services simpler to the pocket and terms of availability. Sometimes, persons have really no indication that they suffer from a disease. A consultation with the doctor is needed to diagnose any symptoms. If inspections of heart rate, blood pressure level, sugar level, etc., are checked regularly, sufferers may be alarmed at any long-term health risks. As far as the medical bills are concerned, the diagnostic center utilizes the main portion for the same. So, for all these issues, a paradigm internet of things (IoT) will be useful for the healthcare check-ups from a routine basis that is hospital-based to home-based treatments [1]. IoT allows healthcare providers to be far more vigilant and to efficiently and effectively communicate with the patients. Information recorded from connected systems can allow the doctors to identify the best medication plan for the patient and achieve intended results. IoT is gaining popularity in enormous areas including medical sciences owing to its connection of physical things to a cloud, so they will be able to communicate data to each other. IoT is also known as the internet of everything (IoE) because of its underlying foundations in all ventures over the world. From retail to homes, IoT is all over the place. By utilizing IoT in human services, specialists can guarantee on-schedule and on-time persistent consideration, lessen the expense of medication, and thus making doctoring more effective. From well-being and health management employing smart devices to after surgery care, IoT is affecting human services in all spheres [2]. The complete utilization of IoT or IoE in medical care is a shared expectation since it permits hospitals or clinics to work more efficiently and skillfully, so patients can acquire better treatment. With the utilization of this innovation-based medicinal services technique, there are unrivaled advantages that could improve the quality and proficiency of medicines and likewise improve the conditions of the patients. IoT implementation in the healthcare sector will change the way the government works in the medical Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00002-2 Copyright © 2021 Elsevier Inc. All rights reserved.

423

424

Chapter 20 Impact of IoT in biomedical applications: Part I

field. IoT usage in medicine is the key potential for the future, from better computer management to virtual hospital treatment. This could save people’s lives with a simple treatment of various diseases.

2. Architectural levels of IoT IoT framework includes various components: cloud administration, layered architecture, physical sensors, and gadgets, etc. To disentangle it further, there are four main parts of the same [3]. In IoT, the number of connected devices has been increased from 8.7 to 50 billion from the year 2012 to 2020 and is shown in Fig. 20.1. The “things” in IoT are increasing rapidly, so the security concerns of IoT are also growing exponentially. So, the IoT framework needs to be discussed to solve the privacy concerns of IoT. Furthermore, IoT accompanies layered architecture for following the framework consistency. These layers should be viewed as a route before the IoT engineering process starts [4]. Presently, there are three main layers of IoT framework design (Fig. 20.2). • Layer based on device is the layer for the client • Layer based on gateway is the layer for the operators on the server side. • Layer based on platform is the layer to make the links between the server and the client.

2.1 Layer 1: sensors and physical devices Sensors or actuators are the devices that can change the sensed data to the information that we can process further for examination. On the other hand, it is imperative to include the physical devices, i.e., sensors, in the beginning phases of the IoT design system to get data that we have to process. The same process will follow for the actuators used in the system for the IoT framework. They can choose and act on activities dependent on the data they accumulate consequently [5]. For instance, switching on/off the room light when somebody goes into the room or out of the room, etc. For this layer, we can utilize equipment and increase vital bits of knowledge for additional investigation.

NO. OF CONNECTED DEVICES (IN BILLIONS)

No. Of Devices in Year 2012 -2020 60 50 50.1 40

42.1 34.8

30 28.4 20

22.9 18.2

10 8.7 0

No. Of Devices

11.2

14.4

2012

2013

2014

2015

2016

2017

2018

2019

2020

8.7

11.2

14.4

18.2

22.9

28.4

34.8

42.1

50.1

FIGURE 20.1 The number of connected devices from the year 2012 to the year 2020.

2. Architectural levels of IoT

425

FIGURE 20.2 Layered architecture of the IoT framework.

2.2 Layer 2: data acquisition system for sensor We comprehend at this point that IoT manages to work with sensors and other physical devices. In the present scenario, data acquisition systems (DAS) assume a significant job. DAS gives the output by interfacing with the sensor configuration. Also, internet gateways deal with the wireless fidelity (Wi-Fi), wired LANs, and perform further handling. This layer is imperative for processing the data gathered from the previous layer and to pack it to the ideal size for additional examination [6]. On the head of this, structure transformation occurs at this stage. In the end, layer two assists in making information accumulated and digitized. In the third layer, we move the information that we arranged in layer two and open the same to the IT world. The information technology framework performs improved examination here alongside prepreparing [7], especially artificial intelligence and machine learning. Layer three empowers information gathered from the local sensors and simultaneously moves the information to the remote areas.

426

Chapter 20 Impact of IoT in biomedical applications: Part I

FIGURE 20.3 IoT layered architecture.

2.3 Layer 3: edge information technology systems In Fig. 20.1, there are four layers of the IoT framework network showing the details of connected devices: data acquisition, edge enabled systems, storing, and verification of the data. The detailed stage structure is framed subsequently.

2.4 Layer 4: analysis and data storage In this layer, information is prepared to top to bottom with each detail in the service centers. This layer needs technical IT experts alongside high-end applications. Information can also be accumulated from different hotspots for execution. When all the quality norms and prerequisites are met, the data is then taken back to the physical world for prescient investigation [8]. Also, there is a need to broaden the procedure by including a human in between as an additional phase for activities. It starts a client’s power over the current procedure. The procedure may not require being completely programmed. The significant undertaking here would imagine and deal with the current procedure, sending orders to the sensors, and returning into the loop (Fig. 20.3).

3. IoT sensors used in healthcare and biomedical sciences Enterprises and associations have been utilizing different sorts of sensors for quite a while. However the innovation of the IoT has taken the advancement of sensors to a unique level. The sensors gather the information and communicate the data to the entire network [6]. This gathered information makes it feasible for gadgets to independently work, and the entire environment is turning out to be better and consistently smart [9]. The sensors that are used for IoT medical systems for the conduction of various test on patients are given subsequently.

4. IoT-based medical devices

427

3.1 Glucometer Glucometer is a clinical gadget to check the glucose level in the blood. A little drop of blood, acquired by pricking the skin, is set on the strip that the glucometer reads and figures out the blood glucose level.

3.2 Temperature sensor This sensor permits clients to quantify the internal temperature of the body. It is of an extraordinary clinical significance since various sicknesses can be detected by changes in the internal heat level of the body [10]. Similarly, the course of specific sicknesses can likewise be observed by estimating the body temperature, and the viability of the treatment started can be assessed by the doctor.

3.3 Blood pressure sensor It records the blood pressure of the patient’s pulse and is recorded in two values: the systolic pressure over the diastolic pressure. To evaluate the blood pressure of a person a band is positioned on the right forearm; the band is then expanded. The fluctuations are then transmitted to the air present inside the band from the walls of the arteries into a pressure sensor, which converts the readings into analog electrical impulses.

3.4 Airflow sensor This sensor based on nasal airflow is a gadget used to screen the flow of air pace of a patient who urgently requires respiratory assistance. This gadget comprises an adaptable string that fits behind the ears and two prongs that are set in the nostril, because of which the patient breathes.

3.5 Electrocardiogram sensor The electrocardiogram (ECG) is a demonstrative device that is normally used to survey the muscular elements of the heart. The ECG has become one of the most generally utilized clinical tests in presentday medication.

3.6 Electromyography sensor An electromyography (EMG) sensor checks the muscles related to electrical action during rest position and during contraction. These activities of the acquired signals are utilized in numerous clinical and healthcare applications as a diagnostics device for recognizing neuromuscular illness, evaluating low-back problems, cardiac issues, and other health-related issues. EMG signals are also utilized as a control for controlling prosthetic gadgets, for example, prosthetic hands, arms, and lower appendages (Fig. 20.4).

4. IoT-based medical devices IoT clinical gadgets work by associating with various equipment for illness assessment. The gadget framework has a touchscreen panel for clients to enter information for investigation and preparation.

428

Chapter 20 Impact of IoT in biomedical applications: Part I

Glucometer Sensor Temperature Sensor Airflow Sensor ECG Sensor EMG Sensor

Blood Pressure Sensor

FIGURE 20.4 IoT sensor used in biomedical applications.

As a client inputs information identified with the problem, the framework searches for side effects prestacked into the record and attempts to coordinate with the given input [11]. In case it matches with the prestacked manifestations, the framework responds with the exact name of the disease and solves general medication. In Fig. 20.5, the workflow of IoT medical devices is shown where there are four major parts of the systems consisting of patient, sensor unit, cloud unit, and the doctor consultation unit. Each module

Sensors Paent

•EMG Sensor •ECG Sensor •Blood Pressure Sensor •Glucometer •Air Flow Sensor

FIGURE 20.5 Framework for IoT-based consultation.

Healthcare devices with IoT •Pre-stacked symptoms and illness files •Cloud based Data Managemnet System •Prescripon for general medicines based on pre stacked files

Doctor Consultaon

4. IoT-based medical devices

429

has its functionality that can be controlled remotely as is described in the subsequent section. In every section/unit, IoT plays an essential part. Patient: The patient will give the information utilizing a touchscreen board for the indications into the medical device. The client likewise needs to give all the individual data, for example, name, mobile number, age, and so forth [12]. At that point, the implanted gadget will return conventional medication for the sickness discovered dependent on the information or contact the doctor if the ailment is not found. Healthcare device with IoT: The implanted clinical gadget gets contributions from the client to coordinate the indications with prestacked symptoms or illness records and attempts to locate the exact disease based on the record [13]. It performs tests recommended dependent on the prestacked symptoms document to get the specific counterpart for the ailment if the ailment is not found by analyzing the indications. In case the infection data is not discovered, the framework includes the specialist with the given data, who will counsel the patient, analyze the ailment, and per needs, the prestacked symptoms file will be updated in the framework. The data related to patient and disease will be stored on the cloud in the database management system [14]. All data identified with the patient and sickness is put away in a cloud-based database management system. When the infection is discovered, the framework creates a prescription report of the patient for the same disease. Prescription for general medicines: Based on the patient’s input data, when the illness is found by the implanted clinical gadget, it will search for conventional medication data in the prestacked symptoms document and perform planning for the sickness and medicine for the same. Cloud database management system: In this, the implanted gadget will store all the client subtleties in the cloud-based database management system, which can store the accompanying data for future examination and that includes the patient’s data having the following information (Figs. 20.6 and 20.7).

Collected Paent symptoms data from sensor unit send prescripon to doctor nd paent

generate prescripon of medicaon onto the cloud

FIGURE 20.6 Cloud database management system of IoT for healthcare.

Paent test reports data on to the cloud

Paent diagnosis and Report generated

430

Chapter 20 Impact of IoT in biomedical applications: Part I

START

USER INPUTS

PROCESS USER INPUTS

LOOK FOR IDENTICAL SYMPTOMS INTO FILE

YES (IDENTIFY DISEASE) ACKNOWLEDGE THE USER ABOUT DISEASE

IDENTIFY DISEASE / CHECK IF ALL TESTS ARE PERFORMED?

YES ALL TESTS PERFORMED

NO PROVIDE PRESCRIPTION OF MEDICINE TO THE USER

INFORM USER TO UNDERGO TEST

CONDUCT TEST AS SUGGESTED ON SCREEN STORE THE INFORMATION ON THE CLOUD

SENSOR COLLECTS THE DATA AND SENDS TO EMBEDDED DEVICE

END

FIGURE 20.7 Flowchart showing IoT framework in healthcare.

• • • • • • •

data related to symptoms of the patient data about tests performed on the patient and their corresponding results data of diagnosed disease of the patient data concerning the prescription and the medication for the disease consultation data from the doctor for the patient device data by which all information is getting logged sending the health-related data of the patient on the cloud to countercheck that the system is in working order

5. Impact of IoT in healthcare

431

5. Impact of IoT in healthcare 5.1 Real-time remote monitoring

With IoT, different monitoring gadgets can be associated, therefore empowering constant observion of patients. In addition to this, these gadgets communicate from home also, so in this way, decreasing the time required for persistent consideration in the medical clinics [15]. This can lessen the requirement for a specialist’s consideration and can improve the degree of care given simultaneously. This will give them information in real-time, which will help in giving proof-based prescriptions [16] (Fig. 20.8).

5.2 Smart pills Many pharma organizations are dealing with consumable IoT medication, considered “smart-pills” that will help to check medical problems and prescription controls [17]. These medicines will disintegrate in the stomach and communicate with the wearable sensor on the body. The received signal will then be moved to cell phones for simple access for the patients. These pills will not just assist pharma organizations to decrease the risks associated but besides will guarantee that the patients can screen their medicines and take action accordingly [18] (Fig. 20.9).

5.3 Diabetes management Notably, diabetes is a chronic disease issue worldwide with major monetary and social effects. IoT has a great impact on self-administration in managing diabetes. IoT utilizes sensors to help controlling

FIGURE 20.8 Real-time health monitoring systems.

432

Chapter 20 Impact of IoT in biomedical applications: Part I

1 • Pill taken by Paent • Sensor is exposed

2 • Sensor transmits the signal about pill

3 • Send Text message to Doctor about taking the Pill

FIGURE 20.9 Smart pills and IoT.

diabetes by observing glucose levels in the blood, blood pressure, daily intake of the calories, and the involvement in any physical activity. With the help of a transmitter, the patient’s vitals can be monitored, which sends the signals to the mobile device. Factors, for example, ailment, medicines, physical and mental pressure, physical action, drugs, intravenous liquids, and change in the supper plan cause risky variances in glucose levels [19]. In this way, insulin imbuement count should be upheld by the coming age of individual consideration gadgets. Hence, an individual gadget based on IoT is created to help and consider more factors in the insulin treatment measurement estimation. The framework depends on the IoT to cater to the patient’s management dependent on RFID and also provides a worldwide network between the patient and the doctors [20].

5.4 Blood pressure monitoring A Bluetooth-empowered coagulation framework screens the rate of blood for patients who are on anticoagulants. Also, a framework based on a sensor can be utilized to screen pulse levels in patients experiencing hypertension. Drugs can be taken per the need subsequently. These monitoring gadgets can also bring down the danger of heart failures in analytical cases.

5.5 Connected contact lenses The steady, unnoticeable basal tears that make a slight film over the eyeballs are loaded with dampness that is useful for the eye working. Presently researchers accept that tears could help keep something other than the eyes healthy. Tears can be used to check and monitor the blood glucose level.

6. Security and privacy concerns in IoT-based medical devices

433

6. Security and privacy concerns in IoT-based medical devices for biomedical applications As IoT devices communicate in real time, one of the major concerns with the IoT is its security and privacy issues [20]. Although many IoT-based devices do not have set protocols standards, there is critical vagueness for information possession guidelines. These elements make the information exceptionally susceptible to hackers who can hack into the system and hack the data related to health information of the patient and the doctors [19]. Hackers can use patient-related information for several things. For example, they can make counterfeit IDs to purchase medications and clinical gadgets that can be sold in the future at higher rates. Cybercriminals can likewise record a fake insurance in the name of the patient. Also, there are devices to track sound sleeping that help us in multiple applications as in increasing sound sleep and counterchecking our heart rates [21]. But at the same time, they offer issues related to security and privacy because clients of the sleep tracker will be a target of viruses by installing unsafe third-party applications, thereby allowing a possible attacker to access the system globally. Users then wittingly or unwittingly run such sleep trackers in their locations, i.e., house, assuming that their machines are protected enough to be hacked. IoT bed-tracking systems often interact over the open network. The information will be transmitted to the cloud, so the hacker can interfere with the channel through conducting various attacks, including bots, denial-of-service (DoS), and man in the middle (MITM) attacks, and while the data is exchanged to the cloud, the opponent will interrupt the communication channel [21]. Besides, there can be worries about data breaches, as the attacker can control cloud resources globally by breaching it with infected computers. When the hardware/storage has been managed to be hacked, a person gains confidential customer information about sleep patterns such as sleeping talking, sleepwalking, and sensual activity [22]. Such identity theft will seriously affect the credibility of the customer. Furthermore, an intruder can cause disturbance by talking or making certain noises to interrupt the user when sleeping, which could lead to insufficient sleep (Fig. 20.10).

FIGURE 20.10 Security issues in IoT-based medical devices.

434

Chapter 20 Impact of IoT in biomedical applications: Part I

An image of a sleep tracking sheet is an illustration of a clinical IoT system and whether an intruder can manipulate the different phases of information processing, from information collection to end users [2]. Likewise, there is a possibility of data sampling that is described as collection of person’s actions and evaluating behavioral attributes to anticipate or analyze their performance in a specific field or to classify a specific class of people. This means that data created by sleep tracker systems can be utilized to build profiles of these app users that can then be used for specific advertising purposes [6]. The fact that information is gathered by a person via remote smart devices indicates that enhanced precautions are dedicated to ensuring end user protection and privacy. Studies have already raised numerous issues about safety and privacy due to the diverse existence of IoT.

6.1 IoT security issues When addressing these IoT privacy and protection issues, researchers and security professionals from various realms across the world, i.e., academics, business, and technologic backgrounds, are trying to mitigate such IoT technology vulnerabilities by taking the requisite privacy and security steps [8]. In each layer of IoT, several threats become the major security and privacy concern for IoT. In between the network and the application layer, there is the middleware layer and gateway also that are more prone to attacks. Next is the discussion of several threats that are present in IoT at each layer.

6.2 Threats at perception layer Node monitoring: IoT implementations have many low-power nodes, including sensing devices. Such entities are sensitive to the adversaries’ numerous attacks. The hackers can capture or remove a malicious node within the IoT network. The new user may appear to be part of that system; however the attacker controls it. Manipulating code attack: The threat involves inserting any malware into the device’s memory. In general, IoT device hardware or applications are updated openly, which offers hackers a back door to manipulate the code [23]. Using such malware, the hackers may cause the nodes to execute some unintentional tasks and thus may attempt to access the full IoT system. Side-channel attacks (SCAs): Besides specific node attacks, numerous SCAs may result in confidential information leakage. Processor architectures, electromagnetic emissions, and energy consumption expose valuable information to opponents [24]. Sleep disturbance attacks: In threats of this kind, hackers threaten to exhaust low-powered storage tools with edge IoT. That results in DoS from the nodes of a failed IoT device plug. It can be accomplished by performing infinite permutations in edge devices that use malware or virtual code that can increase the energy consumption of the system (Fig. 20.11).

6.3 Threats at network layer Phishers Web invasion: Phishing attacks also refers to threats in which a limited effort on the part of the hacker may hit many IoT devices. Intruders expect to see at least a couple of the devices to fall prey to the invasion [25]. In the process of people who visit websites on the internet, there is a chance to find phishing websites. When the username and password of the customer are affected, the use of the entire IoT system is susceptible to cyber assaults. DoS attack: The hacker loads the servers with a large proportion of unsafe requests in this form of attack. It damages the main server and therefore disrupts access to legitimate consumers. When there

6. Security and privacy concerns in IoT-based medical devices

PERCEPTION LAYER THREATS Node Monitoring Manipulang Code aack Side-Channel Aacks (SCA) Sleep disturbances Aacks

NETWORK LAYER THREATS Phishers Web invasion: Denial of Service Aack Roung Threats

435

MIDDLEWARE LAYER THREATS GATEWAY THREATS MIM Aack Cloud Malicious Execuon Flooding Threats in Server

End-to-End Encrypon

APPLICATION LAYER THREATS Reconfigure Informaon Thes

Safe on boarDING

FIGURE 20.11 Security threats at IoT layered architecture.

are various sources used by the hacker to overload the server, then it would be called a distributed denial-of-service attempt. Routing threats: Suspicious nodes in an IoT platform attempt to manipulate the network traffic during the information transit in such attacks [26]. Sinkhole threats are a special type of routing intervention in which an attacker adverts an artificial fastest routing path and attracts communication nodes via it.

6.4 Threats at middleware layer MITM attack: This MQTT protocol utilizes the broker that works as a conduit to use the publishsubscribe paradigm of interactions between customers and subscribers. This helps decouple the publishing and subscribing customers from one another and messaging could be sent without the destination information. Cloud malicious execution: The intruder can get commands in cloud malicious execution, insert malicious coding, or insert a virtual computer further into the cloud [27]. By attempting, the intruder claims to be a legitimate service to build a virtual machine. Flooding threat in server: This operation almost works much like DoS attacks in the cloud, and it affects the quality of the services. For depleting services in the cloud, the hackers give multiple attempts to a service. These activities may have a massive impact on the network systems by raising cloud storage load.

6.5 Threats at gateway End-to-end encryption: End-to-end authentication of its application level is vital to guarantee user privacy. Only the authenticated user can decode the received data to its original input

436

Chapter 20 Impact of IoT in biomedical applications: Part I

data information [28]. The access points or the gateways are expected to decode and reencrypt the communications to convert the data from one standard into another. But gateway layer decryption leaves data vulnerable to security breaches. Safe onboarding: Protecting encryption keys is crucial when a new computer or sensor is mounted in an IoT network [29]. Gateways serve as a middleman between both the new devices and the management services, and all the keys cross the gateways.

6.6 Threats at application layer Information thefts: IoT devices manage a lot of sensitive and private information. Moving data is much more susceptible to threats than data at rest, and there is a variety of data movement in IoT applications [30]. When such systems are prone to data-stealing attacks, the users would be hesitant to register their private data on IoT applications. Reconfigure attacks: If coding is not secured, then attackers will attempt reprogramming remote IoT objects.

6.7 Privacy solutions of IoT for biomedical applications In Bruening et al. [31], a data marking idea was implemented to ensure data security when transmitting sensor data across the framework. It adds an external label to data transmission to ensure trustworthy contact, so it may mask the identity of the user. Similarly, Chatzigiannakis et al. [32] proposed another solution to protecting identity information, known as zero-knowledge proof. Based on it, the source should demonstrate obtaining unique properties of ways in which data can ensure validity without disclosing the identities of such data. Moreover, Henze et al. [33] investigated the segmentation method named the k-anonymity model to mask the position of the sensor network, which is designed to safeguard critical data being transmitted through the wireless network. The concept for this is to collect the data at various locations from these points without being easily tracked. Google4 has introduced a solution that is part of Google’s cloud service. Also, IoT solutions provided by IBM, including the IBM Bluemix Platform, are an IoT-powered cloud solution. This framework can be used to build cloud-based applications that handle multiple sensors and sensor-generated data and facilitate safe data transmission. Also, version 6 of the Internet Protocol (IPv6) is the upcoming generation internet protocol that is being implemented as a network protocol in the IoT world. It is nevertheless vulnerable to security attacks because of its nature. Such limitations can disrupt the communication channel between the networks. To address this issue, Rehman and Manickam suggested a rule-based mechanism and a lightweight, cryptographic scheme known as Secure-DAD. The model integrated is capable of detecting any effort at the DoS attack, while a later method can prevent it. Thus, by implementing these frameworks, in a centralized network, we can ensure secure communication seen between IoT nodes. Dwivedi et al. [34] unveiled an IoT platform based on an updated shared ledger. The authors say that the proposed architecture offers an alternative for IoT large datasets and safe interactions based on innovative cryptography algorithms. It could also provide users confidentiality over the blockchainbased system.

References

437

7. Conclusion and future scope IoT is pressing for the advancement of frameworks for the introduction of environmentally assisted care programs that will include facilities in the fields of everyday assistance, safety tracking, and timely access to health and emergency medical services [33]. These innovations are closely linked to people with the rapid development and implementation of technology in the health sphere; thus, safety and confidentiality are significant problems. To illustrate these two important aspects of IoT, we analyzed the development of academic research relevant to the IoT sleep tracking system and find that it is necessary to answer those concerns [35]. The integrated IoT medical equipment is helpful in the field where essential healthcare providers are not open. Based on the examination of the illness and the information encrypted, this allows users to treat the infections on schedule and also to take precautions. It is therefore necessary to note that the integration of the medical system with the cloud involves a continuous network connection [36]. The key aim of using IoT in biomedical applications is to improve the health condition of individuals seeking permanent assistance or monitoring, to reduce the obstacles to effective surveillance and health conditions, to reduce excessive costs of health insurance and effort, and have the right medical assistance at right time.

References [1] M. Elhoseny, G. Ramı´rez-Gonza´lez, O.M. Abu-Elnasr, S.A. Shawkat, N. Arunkumar, A. Farouk, Secure medical data transmission model for IoT-based healthcare systems, IEEE Access 6 (c) (2018) 20596e20608, https://doi.org/10.1109/ACCESS.2018.2817615. [2] H. Tao, M.Z.A. Bhuiyan, A.N. Abdalla, M.M. Hassan, J.M. Zain, T. Hayajneh, Secured data collection with hardware-based ciphers for IoT-based healthcare, IEEE Internet Things J. 6 (1) (2019) 410e420, https:// doi.org/10.1109/JIOT.2018.2854714. [3] F. Aktas, C. Ceken, Y.E. Erdemli, IoT-based healthcare framework for biomedical applications, J. Med. Biol. Eng. 38 (6) (2018) 966e979, https://doi.org/10.1007/s40846-017-0349-7. [4] F.A. Alaba, M. Othman, I.A.T. Hashem, F. Alotaibi, Internet of things security: a survey, J. Netw. Comput. Appl. 88 (March 2017) 10e28, https://doi.org/10.1016/j.jnca.2017.04.002. [5] V.J. Aski, S. Gupta, B. Sarkar, An authentication-centric multi-layered security model for data security in IoT-enabled biomedical applications, in: 2019 IEEE 8th Glob. Conf. Consum. Electron, GCCE, 2019, pp. 957e960, https://doi.org/10.1109/GCCE46687.2019.9015217. [6] S.J. Park, et al., Advances in Human Factors and Ergonomics in Healthcare, vol. 482, January 2017, pp. 309e315, https://doi.org/10.1007/978-3-319-41652-6. [7] J. Li, J. Wu, L. Chen, Block-secure: blockchain based scheme for secure P2P cloud storage, Inf. Sci. 465 (2018) 219e231, https://doi.org/10.1016/j.ins.2018.06.071. [8] H.J.A. Van Os, et al., Concomitant headache in acute ischaemic stroke: relation with CT angiography and CT perfusion characteristics, Int. J. Stroke 10 (2015) 217 [Online]. Available: http://www.embase.com/search/ results?subaction¼viewrecord&from¼export&id¼L72034289%5Cnhttps://doi.org/10.1111/ijs.12479. [9] H. Mei, Z. Gao, Z. Guo, M. Zhao, J. Yang, Storage mechanism optimization in blockchain system based on residual number system, IEEE Access 7 (2019) 114539e114546, https://doi.org/10.1109/access.2019.2934092. [10] H. Tran-Dang, N. Krommenacker, P. Charpentier, D.-S. Kim, Toward the internet of things for physical internet: perspectives and challenges, IEEE Internet Things J. 7 (6) (2020) 4711e4736, https://doi.org/ 10.1109/jiot.2020.2971736.

438

Chapter 20 Impact of IoT in biomedical applications: Part I

[11] S. Huh, S. Cho, S. Kim, Managing IoT devices using blockchain platform, in: Int. Conf. Adv. Commun. Technol. ICACT, 2017, pp. 464e467, https://doi.org/10.23919/ICACT.2017.7890132. [12] A. Ahad, M. Tahir, K.L.A. Yau, 5G-based smart healthcare network: architecture, taxonomy, challenges and future research directions, IEEE Access 7 (2019) 100747e100762, https://doi.org/10.1109/ ACCESS.2019.2930628. [13] E.M. Abou-Nassar, A.M. Iliyasu, P.M. El-Kafrawy, O.-Y. Song, A.K. Bashir, A.A.A. El-Latif, DITrust chain: towards blockchain-based trust models for sustainable healthcare IoT systems, IEEE Access 8 (2020) 111223e111238, https://doi.org/10.1109/access.2020.2999468. [14] H.A. Pham, T.K. Le, T.N.M. Pham, H.Q.T. Nguyen, T. Van Le, Enhanced security of IoT data sharing management by smart contracts and blockchain, in: Proc. - 2019 19th Int. Symp. Commun. Inf. Technol. Isc., September 2019, pp. 398e403, https://doi.org/10.1109/ISCIT.2019.8905219. [15] Q. Xu, K. Mi, M. Aung, Y. Zhu, K.L. Yong, New advances in the internet of things, Stud. Comput. Intell. 715 (2018) 119e138, https://doi.org/10.1007/978-3-319-58190-3. [16] Y.S. Parihar, Internet of things and nodemcu: a review of use of nodemcu ESP8266 in IoT products, J. Emerg. Technol. Innov. Res. 6 (6) (2019) 1085e1086 [Online]. Available: https://www.researchgate.net/publication/ 337656615_Internet_of_Things_and_Nodemcu_A_review_of_use_of_Nodemcu_ESP8266_in_IoT_ products. [17] S. Sicari, A. Rizzardi, L.A. Grieco, A. Coen-Porisini, Security, privacy and trust in internet of things: the road ahead, Comput. Network. 76 (2015) 146e164, https://doi.org/10.1016/j.comnet.2014.11.008. [18] C.S. Kouzinopoulos, et al., Security in Computer and Information Sciences, vol. 821, Springer International Publishing, 2018. [19] H. Kim, S.H. Kim, J.Y. Hwang, C. Seo, Efficient privacy-preserving machine learning for blockchain network, IEEE Access 7 (September 2019) 136481e136495, https://doi.org/10.1109/ACCESS.2019.2940052. [20] H.T. Vo, A. Kundu, M. Mohania, Research directions in blockchain data management and analytics, Adv. Database Technol. - EDBT (March 2018) 445e448, https://doi.org/10.5441/002/edbt.2018.43. [21] P.W. Khan, Y.C. Byun, N. Park, IoT-blockchain enabled optimized provenance system for food industry 4.0 using advanced deep learning, Sensors 20 (10) (2020) 1e24, https://doi.org/10.3390/s20102990. [22] A. Ouaddah, A. Abou Elkalam, A. Ait Ouahman, Fair access: a new blockchain-based access control framework for the internet of things, Secur. Commun. Network. 9 (18) (2016) 5943e5964, https://doi.org/ 10.1002/sec.1748. [23] M. Mohammadi, A. Al-Fuqaha, S. Sorour, M. Guizani, Deep learning for IoT big data and streaming analytics: a survey, IEEE Commun. Surv. Tutorials 20 (4) (2018) 2923e2960, https://doi.org/10.1109/ COMST.2018.2844341. [24] M.A. Ferrag, M. Derdour, M. Mukherjee, A. Derhab, L. Maglaras, H. Janicke, Blockchain technologies for the internet of things: research issues and challenges, IEEE Internet Things J. 6 (2) (2019) 2188e2204, https://doi.org/10.1109/JIOT.2018.2882794. [25] N. Elisa, L. Yang, F. Chao, Y. Cao, A framework of blockchain-based secure and privacy-preserving E-government system, Wirel. Netw. (2018), https://doi.org/10.1007/s11276-018-1883-0. [26] G. Sagirlar, B. Carminati, E. Ferrari, J.D. Sheehan, E. Ragnoli, Hybrid-IoT: hybrid blockchain architecture for internet of things-PoW sub-blockchains, in: Proc. - IEEE 2018 Int. Congr. Cybermatics 2018 IEEE Conf. Internet Things, Green Comput. Commun. Cyber, Phys. Soc. Comput. Smart Data, Blockchain, Comput. Inf. Technol. iThings/Gree, 2018, pp. 1007e1016, https://doi.org/10.1109/Cybermatics_2018.2018.00189. [27] M.U. Hassan, M.H. Rehmani, J. Chen, Privacy preservation in blockchain based IoT systems: integration issues, prospects, challenges, and future research directions, Future Generat. Comput. Syst. 97 (2019) 512e529, https://doi.org/10.1016/j.future.2019.02.060.

References

439

[28] B. Yin, Y. Wu, T. Hu, J. Dong, Z. Jiang, An efficient collaboration and incentive mechanism for internet of vehicles (IoV) with secured information exchange based on blockchains, IEEE Internet Things J. 7 (3) (2020) 1582e1593, https://doi.org/10.1109/JIOT.2019.2949088. [29] S. Das, A. Dey, A. Pal, N. Roy, Applications of artificial intelligence in machine learning: review and prospect, Int. J. Comput. Appl. 115 (9) (2015) 31e41, https://doi.org/10.5120/20182-2402. [30] H. Suo, J. Wan, C. Zou, J. Liu, Security in the internet of things: a review, in: Proc. - 2012 Int. Conf. Comput. Sci. Electron. Eng. ICCSEE 2012, vol. 3, March 2012, pp. 648e651, https://doi.org/10.1109/ ICCSEE.2012.373. [31] P.J. Bruening, K.K. Waterman, Data tagging for new information governance models, IEEE Secur. Priv. 8 (5) (2010) 64e68, https://doi.org/10.1109/MSP.2010.147. [32] I. Chatzigiannakis, A. Pyrgelis, P.G. Spirakis, Y.C. Stamatiou, Elliptic curve based zero knowledge proofs and their applicability on resource constrained devices, in: Proc. - 8th IEEE Int. Conf. Mob. Ad-Hoc Sens. Syst. MASS 2011, 2011, pp. 715e720, https://doi.org/10.1109/MASS.2011.77. [33] K.H. Yeh, A secure IoT-based healthcare system with body sensor networks, IEEE Access 4 (2016) 10288e10299, https://doi.org/10.1109/ACCESS.2016.2638038. [34] L. Hughes, Y.K. Dwivedi, S.K. Misra, N.P. Rana, V. Raghavan, V. Akella, Blockchain research, practice and policy: applications, benefits, limitations, emerging research themes and research agenda, Int. J. Inf. Manag. 49 (February 2019) 114e129, https://doi.org/10.1016/j.ijinfomgt.2019.02.005. [35] D. Minoli, K. Sohraby, B. Occhiogrosso, IoT security (IoTSec) mechanisms for e-health and ambient assisted living applications, in: Proc. - 2017 IEEE 2nd Int. Conf. Connect. Heal. Appl. Syst. Eng. Technol. CHASE 2017, 2017, pp. 13e18, https://doi.org/10.1109/CHASE.2017.53. [36] R.B. Chakraborty, M. Pandey, S.S. Rautaray, Managing computation load on a blockchain - based multi layered internet - of - things network, Procedia Comput. Sci. 132 (2018) 469e476, https://doi.org/10.1016/ j.procs.2018.05.146.

CHAPTER

21

Impact of IoT in biomedical applications: Part II

Neeta Awasthy1, V. Nikhila2 1

2

GLB Group of Institutions, Noida, UP, India; University of Waterloo, Waterloo, Ontario, Canada

1. Introduction to IoT in biomedical applications IoT is a network of sensor-equipped devices, which are pre-programmed to perform certain tasks and are connected with the help of the internet to procure certain solutions. Internet connection is one of the greatest inventions that is providing us with tons of benefits and making our tasks simpler in our day-to-day life. A thing in the context of Internet of Things (IoT) refers to any physical object present in the world. It can be a human body, clock, vehicle, machine, etc. These things are allotted with a respective identification by integrating various types of sensors, including accelerometers, temperature sensors (negative temperature coefficient (NTC) and positive temperature coefficient (PTC) thermistors, for example), humidity sensors, optical sensors, chemical sensors, etc., and wireless technologies providing them with a unique IP address. The concept of IoT has an impact on everything around us. It is implemented almost in everything we do daily in buying, in selling, and finally, every technologic field is benefitting through IoT in one way or the other. IoT mostly applies RFID (radio-frequency identification) as the mode of communication, although it sometimes also includes other wireless and sensor technologies or QR codes. The internet of everything (IoE) seems to be more frequently used by Qualcomm and Cisco. IoE is a wider term, which includes IoT and other numerous technologies and human power as the end nodes. A typical process list of IoT in biomedical applications can be mentioned next. The wearable devices or sensors keep collecting data. After noise reduction, filtering, and segmentation, the features are extracted out of it. The features are visualized for any anomaly. An alarm is thus raised in case of anomaly, and the data is stored for historical purposes. This data is further used for more robust systems.

1.1 History of IoT in biomedical applications IoT is being used in biomedical applications to give remote care by hospitals, better lifestyle choices to the patients, and improve the performance of the athletes during training and competitions. Assessment of sleep patterns can be a major parameter for the performance and motivation of the athletes. Machines have been providing direct communications since the telegraph was developed in the 1830s and 1840s. Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00025-3 Copyright © 2021 Elsevier Inc. All rights reserved.

441

442

Chapter 21 Impact of IoT in biomedical applications: Part II

1. In 1982, a computer science graduate at Carnegie Mellon University, David Nicholas, felt the need to buy a cola from a vending machine inside the campus. He noticed that the machine was empty, and he should wait for a while for the machine to be refilled. Though after filling, the cola would be extremely warm to have it immediately. From that incident, Nicholas, with his other two friends, worked on getting a solution to notify the presence of cola in the vending machine through a green light by finding the count in each column and a red light when there is a shortage. With this, he was successful in tracking the content of the machine remotely. This is the first interconnected appliance. 2. In 1988, Mark D. Weiser, a chief scientist at Xerox PARC, introduced a concept called ubiquitous computing. Ubiquitous computing is a concept where computing is made available in any device, any format, and any location. This made computers interconnect with each other through the internet, hence laying a strong foundation for the concept of the IoT. 3. In 1999, Bill Joy, who is the inventor of Berkeley Unix and Sun Microsystems, gave a clear picture on the D2D (Device to Device) web, which resulted in successfully connecting sensors within a network and enabled direct communication between devices. Finally, in the same year, 1999, Kevin Ashton, who is a cofounder of MIT’s Auto-ID Lab, coined the name “Internet of Things.” He described IoT as identifiable connected objects with RFID technology. It is a technology where digital data is encoded with smart labels and which can further be identified through radio frequencies. Kevin’s proposal made computers gather, track, and store the data without involvement of humans. Later, this concept became widely implemented in various sectors all over the world with a variety of updates in their applications. Kevin laid the foundation of this technology, but many other scientists also contributed in expanding it so far. The IoT technologies that we are implementing today are highly renovated compared to the 1990s. There is no surprise that by the next few decades, human life will largely depend on IoT innovations and new ideas gaining tremendous smart things. The rapid growth in communication and information technologies is bringing a significant impact on the lifestyle of humankind. Integrating these technologies into healthcare through IoT is allowing the expansion in the fields of data collection and its processing anywhere and at any time. It turned out to be a digital transformation in biomedical applications. Probably, telemedicine is one of the first and most useful applications invented in the late 1950s and early 1960s The relationship between IoT and medical applications has become more reliable in the last 2 decades. Using traditional methods in treating a patient became inadequate in acquiring effective results. People are making use of mobile devices to date. In recent years, sensing devices, as well as gadgets, have been highly in demand for daily applications. Based on all the developments in technologies and products, healthcare sectors are improving themselves toward smart devices, which could benefit a patient and help them improve in their health monitoring. In 2020, nearly 40% of IoT-related applications were health-related, which won the race from other categories, making up a $117 billion market. It reshaped the healthcare sector by limiting costs, reducing inefficiencies, and saving lives.

1. Introduction to IoT in biomedical applications

443

1.2 Architecture and working IoT architecture is the group of various elements like physical devices, sensors and actuators, protocols, gateways, cloud services, and so on. There is no unique architecture for IoT, which is accepted universally. Different researchers have proposed different architectures. Given its complexity, its architecture is explained in four layers (Fig. 21.1): 1. 2. 3. 4.

Perception layer Network layer Storage and processing layer Application layer for end users

1.2.1 Perception layer We can consider any physical object as a thing in IoT when it is linked to a sensor and actuator along with the further connections. First, the device is equipped with a sensor, which is an electronic component, very useful in detecting and collecting the data from external sources. Growth in the IoT

FIGURE 21.1 Architecture of IoT.

444

Chapter 21 Impact of IoT in biomedical applications: Part II

industry is leading to various opportunities in utilizing sensor devices. The most widely used sensor in medical IoT is RFID. The problems of authentication and privacy are fundamental to RFID security. RFID is balanced to become one of the sensory organs of our computing networks. The integrity of the data collected by RFID systems and appropriate curbs on the technology’s X-ray power is essential. RFID is a technology with great promise, but it invites numerous security and privacy issues. Deployed naively, the embedding of RFID tags in consumer items or implants can present a danger to the privacy and security of consumers and enterprises in the future. Some of the widely used sensor devices in IoT are listed below: a. Temperature sensors: They are used to measure the temperature and convert it into an electric signal and are majorly used in agriculture and industrial sectors. b. Humidity sensors: They are used to measure water vapor in the atmosphere and other gases. They are found in air conditioners, hospital appliances, and meteorology stations. c. Pressure sensors: They are used for measurement of pressure in gases or liquids. d. Proximity sensors: The presence of an object without any physical contact can be detected by the proximity sensors. e. Optical sensors: Optical sensors can convert light rays into electric signals, which results in digitized data without any involvement of optical fiber. f. IR Sensors: These are used to sense the characteristics of surroundings with the help of infrared radiation. These are used in monitoring blood pressure and blood flow. g. Smoke sensors: They are used to detect smoke and its acquisition. They are also used for alarming people in malls. Actuators function opposite to sensors, as sensors convert physical information to electrical signals, but actuators will convert the electrical signals to physical action. For example, when the temperature rises inside a machine, the temperature sensor would sense the information and send this signal to a device’s houses, hospitals, and other public places. h. Managing center or control center: There the center sends back a command to the machine in the form of a signal to sprinkle water. The actuator works in converting the electric signal received into action and solves the problem. There are many varieties of actuators available in the market utilized for various IoT devices. These devices are described in the following sections.

1.2.2 Network layer The Network layer is highly responsible for secure data transmission from IoT devices to the application users. This layer is considered a communication channel to collect and transfer data in the sensing layer to the storage platforms. This layer is implemented by a variety of communication protocols such as constraints application protocal (CoAP), datagram transport layer security (DTLS), and message query telemetery transport (MQTT), internet protocol version 6 (IPv6), low power wide area network (LPWAN), Zigbee, Bluetooth Low Energy, Z-Wave, radio frequency indentification (RFID), and near-field communication (NFC), cellular, satellite, Wi-Fi, and ethernet. The transmission of data from the devices can occur in two ways: Wired transmission and Wireless transmission (Fig. 21.2). Some of the devices used in IoT are now capable of aggregating the collected data. But to send the data on to the cloud, the sensors must first send all this raw data to the IoT gateway. The IoT gateway follows this simple process: 1. Preprocessing, filtering, and cleaning raw data from sensors 2. Translating protocols for encryption and communication 3. Sending data to a destination on the internet

1. Introduction to IoT in biomedical applications

445

FIGURE 21.2 IoT gateway architecture.

1.2.3 Storage and Processing layer The data collected from the devices in real-time is large in size. All the data transferred by IoT gateways are stored on servers called cloud-hosted servers (Fig. 21.3). Cloud is a large interconnected network of servers. These servers accept, store, and process data for analyzing. These servers also enable visualizing the data by creating attractive dashboards, through which the end users can easily monitor. Today, almost all cloud computing companies have customer service offerings for IoT solutions. Some top cloud platforms for IoT are as follows: • • • • •

Microsoft Azure IoT Suite Thingworx 8 IoT platform Google Cloud IoT platform AWS IoT platform IBM Watson IoT platform

446

Chapter 21 Impact of IoT in biomedical applications: Part II

FIGURE 21.3 Overview of IoT cloud services.

Cloud servers have a lot more advantages compared to local servers in many use cases. They can provide us with cost-effective solutions that are scalable and secure. Data in cloud servers can be accessed anywhere and anytime. They are not bound with any of the networking constraints. This allows the developers to distribute computing between edge devices and monitor digital services. Nowadays cloud services are extending their services, providing advanced machine learning algorithms for predictive analysis, which is highly beneficial to sectors like hospitals and industries. The goal of IoT cloud platforms is to increase the analytics and data processing between cloud and IoT devices.

1.2.4 Application layer The processed data from cloud servers are used by the application layer. This layer acts as a bridge between IoT devices and the cloud network. The application layer is responsible for providing application-specific services to the clients. This layer provides the required tools for developers to realize the IoT vision. The end users can access and visualize their solutions in this layer. There are many areas where IoT concepts are deployed, like smart homes, health monitoring services, fitness tracking, environment protection, industry, entertainment, etc., where humans can access and analyze visualized data in respective fields through constant monitoring. The services in each area would vary based on the data collected by the sensors. End users could access the data through devices like computers, laptops, mobile phones, etc. For instance, a computer has a browser that allows application layer protocols such as HTTP, HTTPS, SMTP, and FTP. Let us consider a smartwatch that could continuously track our heartbeat. The sensors in it would sense our pulse rate, which we can find the measurement for in our mobile application. It is possible through linking our device with the app through Bluetooth technology. The data is also present in the

1. Introduction to IoT in biomedical applications

447

cloud from where our app can show us the tracking of the present as well as previous information with various visualization charts. It could also give us the safety measures alarming us in case it detected high values in the heartbeat rate. This is all initially programmed by the developers, but the end users can highly benefit by taking precautions during emergencies.

1.3 Design considerations of IoT Connecting the devices to IoT is essential to all sorts of industries to stay competitive. Implementing this technology gives consumers more variety of features. This allows the production companies to always stay connected with their customers, which results in finding new product use cases that lead them to a new revenue stream. To design an IoT device, there are few things to take into consideration that are listed below. 1. Secure storage: Security is one of the major considerations in the current scenario. Connected devices need a proper understanding on the risks and impact of a cyberattack, knowledge of vulnerabilities, and the measures to reduce exposure. Extreme care is a must to ensure that only authorized clients can access information from an IoT device. 2. Scalability: Choosing a powerful platform is the first step in ensuring an IoT solution that can ascend. Proper care is needed to ensure that the framework that supports the devices can be right-sized to meet current and evolving demands. Manufacturers must make sure that they pay only for the framework which they are looking for, and if the platform can scale in meeting the needs of a market. 3. Power management: Power management is needed when connecting a product to the IoT. Devices using a connected power supply will not have an issue. Other IoT systems consist of remote devices that require charging after a certain period and should be power efficient by implementing high battery life. 4. Cost: Connecting devices to a network in IoT is a trending update for all the manufacturers to stay competitive within their market. Manufacturers need to stay in contact with their customers and keep on updating the product with respective users’ needs. The entire process seems a bit costlier than their previous methods. The cost of the products can also rise with the technologies and updates, but it should be reasonable for a customer to handle. 5. Wireless capabilities: Wireless connectivity to our systems is becoming easier in recent times as it is highly available. Designing our products with wireless connectivity and low power consuming sensors would highly benefit a wide range of customers to use the products remotely. Many users purchasing health monitoring devices would prefer choosing a product with wireless capabilities to have remote sensing the whole day. 6. Interoperability: IoT devices mostly work under a single protocol and use different versions leading to interoperability issues. Most manufacturers cannot test every potential integration points, so it is necessary to have a third party conduct tests for issues related to interoperability. In many

448

Chapter 21 Impact of IoT in biomedical applications: Part II

situations, just being on a network can provide entry, putting all data sent over the network at risk. Shoring up vulnerabilities and thinking through exposure from other products is critical to ensure data is protected.

2. Hospital management system and mobile applications using IoT A standard hospital management system (HMS) has different types of users (Fig. 21.4). These users have some permissions and rights over each other. This system also communicates with the external world. Let us take one at a time. A. Doctors: They are the backbone of the hospital. B. Radiologist: This is the one who takes out X-ray imaging, medical resonance imaging, CT scans, scans of stomach, etc. C. Pathologist: This is the one who does various tests on blood, stool, urine, sputum, up to DNA testing.

FIGURE 21.4 Hospital management system.

2. Hospital management system and mobile applications using IoT

449

D. Pharmacist: Per the doctor’s prescription, a pharmacist decides the dose and matches the salts in the drugs available in the market. E. Technicians: These are the ones who repair or maintain the machines and equipment. F. Blood bank: It keeps the stores and inventory of blood in the hospital and the nearby hospitals, so blood may be arranged on the requirements and the existing blood may not perish. G. Patient: The patient is the second lifeline of the hospital. Patient registration, history, allergies, appointments, diagnosis, prescription, and recovery lead to success of the hospital. H. Inpatient department: They takes care of occupancy in the hospital. The occupancy and availability of the operation theater is a critical aspect of any HMS. Similarly, the occupancy and vacancy in intensive care units and wards are also monitored. I. Third party administrator (TPA) for insurance: Let it be an employees state insurance corporation (ESIC) scheme for the poor or “all covered medical support” for employees or “paid medical insurance by individuals.” All claims of medical insurance schemes can be settled only with the support of HMS. These “third party assurances” are there to support the patients at the time of crisis. J. Staff: Allotment of staff and user access to the staff per their roles is the front end of any HMS. The staff can be nursing, dieticians, physiotherapists, housekeeping, or other technical and nontechnical support staff. The payroll and service details are also an integral part of HMS. K. Medical research: Any hospital is made out of the research that it creates or the research that it supports. The quality and quantity of data thus created by the HMS can be shared with these research agencies. L. Accounts and inventory: The accounting system of the HMS takes care of the flow of finances in the hospital. Inventory and stores is another area of concern, which is there in any HMS. It ensures that proper reserves of drugs, emergency supplies, and consumables are there for the hospital to run smoothly. At the same time, it is responsible for the damage and maintenance of the assets of the hospitals. M. Garbage management in the hospital: Though very small, it is a very important and precarious area of hospitals. Apart from dry and wet waste generally available in society, the hospitals have garbage full of germs and sharps. Responsible disposal is the responsibility of the hospitals. Similarly, many more aspects are there in an HMS (Figs. 21.5 and 21.6). The illustrations briefly explains the usage of IoT in smart hospitals. The patients are supposed to get registered and collect the ID card, which are scanned and linked to a healthcare cloud used by that particular hospital. The data from the patients who are regularly using IoT wearable devices are constantly being monitored by a controlled management system and saved in the cloud. The cloud is responsible for storing all the information about the patient regarding the problems in his body, previous issues, lab reports, prescriptions used, and medications that he needs to follow. All this information can be accessed by patients as well as by the doctors very easily from their mobile devices like tablets, mobiles, and computers. By all these, the IoT is improving access to quality care and reducing medical costs. There are endless possibilities in utilizing this technology for its improvement.

450

Chapter 21 Impact of IoT in biomedical applications: Part II

FIGURE 21.5 Smart hospital management system.

2.1 Medical applications using IoT Medical applications aid the information flow in the medical sector. They can be divided as per the following classification: 1. Medical news: Medpage, Newsfusion, Medscape, Internal Medicine News are the apps for information search in the medical industry. 2. Education: Apps like Medscape, PEPID, Muscle Trigger Point and VisualDX explain the detailed guidelines on drugs, practical clinical sources, video tutorials, and educational exercises. 3. Diagnosis: PEPID, Prognosis Your Diagnosis, UpToDate, and many more diagnostic apps are there. These apps are based on symptoms and evidence. These are there for point-of-care decision-making. These are resources for drug information also. 4. Drug reference: Epocrates, Davi’s Drug guide, Drug.com medication guide are the apps for medical referencing applications, for identifying pills, and for searching about drug information.

2. Hospital management system and mobile applications using IoT

451

FIGURE 21.6 Connected healthcare devices.

5. Medical calculator: If someone inputs the values given, apps like MDCalc Medical Calculator, MedicALC, IV Infusion Calculator, and Calculate by QxMD can return reliable clinical solutions very fast and seamlessly. 6. Telemedicine: Through apps such as MDLIVE, Express Care Virtual, Ask a Doctor, and LiveHealth Online Mobile, one can connect with a doctor anywhere and anytime. It is fast and easy compared to any physical appointment. In COVID-19 pandemic situation, these apps have turned into a gold mine. Many apps are available for fitness and workout, diet and nutrition, mental health, and medical records. a. These apps keep a record of daily activities, active energy, exercise minutes, flights climbed, meditation data, sleep analysis, walking and running, workouts, and much more. b. They form a community of people based on their activities and geographic location and make a social platform. c. They keep a healthy competition between them and encourage an individual to be active. d. They also integrate smartphone sensors to monitor the daily activity of the users.

452

Chapter 21 Impact of IoT in biomedical applications: Part II

e. They suggest a suitable exercise session, as an AI model at the backend that integrates their activities, history, and comparison with other individuals with similar demography. f. They support and create a large database for nutrition, using image recognition in AI to identify food. This database is sold at a premium. g. AI provides meal plans, relaxation exercises, breathing exercises, and plays music by monitoring calorie intake, sleep time, and walking from embedded sensors in the smartphone. h. Through the heart rate sensors embedded in smartwatches, these apps identify mood and give corrective therapy like color, music, etc. i. The AI-enabled chatbot monitors and guides through rocky patches of life. j. Logs store medical history and communicate with healthcare supporters and more. k. It provides synchronization of data to the cloud for storage security. l. It offers 24/7 access to user’s medical information and healthcare records anywhere.

3. Integrated devices for a single parameter Some of these applications are listed next: 1. Connected Oximeters: An oximeter is a small clamp-like device that can be used by placing on a finger, earlobe, or on the toe of the person. Connected oximeters are used for measuring the oxygen content in the blood with a painless procedure. It has turned out to be a very useful device in pandemic situations like COVID-19. These oximeters use red and infrared LEDs as sensors to measure deoxygenated and oxygenated hemoglobin. These sensors measure blood oxygen, a key data point for reporting accurate pulse rates (and thus heart rates). This measuring is done through the changes in light absorption in oxygenated or deoxygenated blood. Oxygen saturation is a ratio of the amount of hemoglobin carrying oxygen to the amount of hemoglobin not carrying oxygen. 2. Smartwatches: Smartwatches measure blood pressure and pulse rate, and connected pacemakers identify arrhythmia. Smartwatches measure activity and movement by embedded sensors: a. Accelerometer to track the user’s body movements, b. Gyroscopes, for measuring rotation for a variety of purposes, which feed data into tracking algorithms and can sense the turn of your wrist to activate your display, c. Pulse rate sensors detect the amount of infrared light from the emitter that gets reflected in the detector, d. Magnetometers improve tracking of your movement through a built-in compass, e. Barometer pressure sensor measures changes in altitude and also provides atmospheric pressure in the user’s current location, f. Ambient temperature sensor determines exertion levels through the difference in temperature of skin and surroundings, g. Optical blood flow sensor for heart rate pulse monitoring, h. Skin conductance and temperature sensor to measure galvanic skin response, an indication of the amount of sweat, thereby pointing to exertion levels, and thus calories burnt, i. Smartwatches are enabled with positioning, navigation, and timing services or location, velocity, and time synchronization, j. Apple is working very hard to add a glucometer to the smartwatch, though it is very difficult to measure without puncturing the skin.

3. Integrated devices for a single parameter

453

3. Glucose monitoring system: Diabetes is a condition where the body is unable to process glucose. Nearly 10% of the people in the world are facing it. Glucose has particular electrical and physical properties that can be detected by RF energy. Users insert a tiny sensor wire beneath the skin using an applicator. These sensors can measure the level of glucose in the interstitial fluid (the fluid that surrounds the cells) and change it into electrical signals. The data from the sensor’s blood glucose monitoring is sent via the internet to the cloud for data graining and history on to a display device. It tracks the glucose levels continuously throughout the day and night. The user can track this trend and history graphs to improve the body’s glucose level control, which can be done through a proper diet plan and sufficient body exercises. This is a highly recommended use case for all the patients who are suffering from diabetes. 4. Wireless cardiac monitor: The FDA (Food and Drug Administration) approved a wireless cardiac monitoring device that offers ECG advancement and stethoscope (digital), which is focused mainly on heart disease patients. The wireless cardiac monitor is helpful for continuously monitoring heart patients. It has sensors for ECG, heart rate, and blood pressure. Transmission of data is through wireless transmitters for servers through smartphone gateways. 5. Connected inhalers: Around 400 million people suffer from asthma or COPD all around the world. Inconsistent medication is a major cause of deaths. A connected inhaler has a pulse sensor and a temperature sensor to find a pattern of heavy breathing for asthmatic patients. Smart inhalers are connected with the help of Bluetooth and help the patients in providing a medium for doctors in tracking the usage. This is microcontroller based, and data is passed through a WIFI network for storing it in a health cloud. Asthma-specific details can be visualized in dashboards by both the patients as well as doctors. These inhalers remind patients when they need to take their medication and improve adherence to asthma therapies to keep control of the condition. 6. Connected contact lenses: There are around 253 million people living with one of these conditions: cataracts, retinal detachment, glaucoma, macular degeneration, or diabetic retinopathy. Smart contact lenses could treat these common eye conditions far better than a conventional lens. Connected contact lenses contain tiny chipsets to monitor glucose levels. This is done by finding the volume of tears and thereby the status of diabetics. These connected contact lenses are a boon to diabetic patients, who have to monitor their blood glucose levels many times a day subcutaneously. They have the ability to autofocus within milliseconds and provide a clear vision, and they monitor physiologic parameters in glaucoma patients by monitoring intraocular pressure. 7. Blood clot tester: It is commonly used in blood clot testing, which sends an alarm when the blood starts clotting and prevents brain strokes. On the other side, it sends an alarm for anticoagulated patients, so they do not hurt themselves with the loss of blood. It measures the hemorrhagic and thrombogenic potential of those patients who are having cardiovascular problems. This device is highly applicable for specialists in anesthesia, heart surgeons, cardiology, and hematology. 8. Connected hearing aids: The most important job of a hearing aid is to improve the user’s hearing, especially for people having hearing loss. They joined hearing aids to identify sounds in a noisy environment and to hear several conversations at a time. This hearing aid doubles up as a smoke sensor to manage chain-smoking habits and to switch on and off the lights on voice commands. 9. Connected pacemakers: An implantable cardioverter-defibrillator (ICD) or pacemaker is a device that responds and monitors heart activity. This device works in two modes, a pacemaker

454

Chapter 21 Impact of IoT in biomedical applications: Part II

mode and a defibrillator mode. ICD periodically sends a small electrical stimulus to the heart in pacemaker mode, whereas for defibrillation, the device sends a larger shock to restore normal heart rhythm. The ICD is implanted surgically inside the chest, and the electrical leads are connected to the heart muscles. It is almost four times the size of a rice grain. After implantation, this is used for diagnostics, data collection, and to adjust therapy settings. This data can be shared, and alarms are raised between doctor, hospital, and attendant of the patient.

4. Integrated devices for multiple parameters The monitoring of patients who have hypertension, arrhythmia, asthma, diabetes, dementia, Alzheimer disease, or the monitoring of elderly persons turns out to be a huge task. With the help of IoT devices, these patients can monitor their health, raise an alarm if needed, or the alarm is raised automatically in the hospital followed by ambulance and doctor’s help available even without a call. These connected devices can be used for Parkinson disease patient monitoring, dementia patient monitoring, efficient drug management, hand hygiene management, depression monitoring, and blood glucose monitoring. 1. Rehabilitation management: Rehabilitation is a lifestyle for attaining optimum physical, mental, and social activities. These connected devices can be used for Parkinson patient monitoring, dementia patient monitoring, efficient drug management, hand hygiene management, depression monitoring, etc. It can be a wheelchair or walker with a force sensor, distance sensor, RFID, or ultrasonic sensor. It gathers through sensors, analysis through application and fog layer, and and transmits the data through the cloud communication layer. The patient on the assisted device is said to be a node. The patient sends the signals, which the microcontroller integrates into the remote system through a smartphone gateway using a Wi-Fi connection. The machine learning algorithm is applied to the signal, and feedback is adjusted so the robotic hand can adjust the posture or give the signal for correction in the walking pattern. This activity has to be in real time. The sensitivity of the system has to be enough to identify the change but not so much that the least movement initiates a process. 2. Drug management: Most patients do not adhere to the doctor’s prescription completely. Patients skip a dose or reduce the dose themselves. The reasons are carelessness, priorities, and many times because the drugs are expensive. This causes complications, progressive disease, and premature death. Intelligent pills are “sensor-enabled tablets” to monitor patient medication. In this system, the sensors are embedded in a placebo (made of starch or sugar) to be taken alongside a medicine, or sometimes the sensor is embedded in the pill. Each sensor contains a tiny amount of copper and magnesium. With the active cells in and around the stomach, this creates a voltage and thereby powers a signal. This signal is detected by a bandage-like device attached to the patient’s skin. This device can be empowered by sensors to sense heart rate, respiration, and temperature. They are hence displaying the effect of medication on the patient. Many medicine boxes are created for the patients, so they adhere to drug dosages. Also, a kit was developed that has sensors that measure blood pressure, temperature, insulin levels, and that can provide ECG connected to a “Raspberry Pi 3 controller.” An application was developed to improve the interaction between the users and HMS and transmit to the system in an authenticated form.

5. Challenges of IoT

455

3. Wheelchair management: The wheelchair is a common assistance, used after injuries, surgeries, or in old age by patients. It is their physical and psychological support. Toppling from a wheelchair can hurt them physically and psychologically. Any jerking is assessed by the accelerometer, camera, gyrometer, and accelerometer. This jerk is counted as a fall from a wheelchair, thereby triggering an alarm. Ideally, this jerk is fed to a microcontroller. This microcontroller processes the signals from sensors and uses artificial intelligence algorithms to realize abnormality. This controller sends data to the webserver through a gateway. For persons with brain injury and some genetic disorders, a smart wheelchair is required with an easier navigation system. The IoT-based steering system and a real-time obstacle avoidance method make the salient points of this smart wheelchair. The steering system is trained by recording real-time video. The system is further trained to find out obstacles and unnatural movements through various image processing and artificial intelligence techniques. The wheelchair interacts with various infrared and other sensors from wearable devices through a mobile app. These apps also send the reports and are actionable to the caregivers, who can monitor the patients remotely.

5. Challenges of IoT Many people are largely deploying the concept of IoT in their lives, from wearables to smart home devices, industrial devices, government applications, and so on. As many new products and technologies are increasing, the bulk amount of data collected from those devices creates some challenges. The biggest challenge in the field of IoT is security issues. Handling big data security challenges could bring trust in the users to confidentially use the service. Let us now look at some common challenges faced by IoT. (1) Battery limitations: IoT devices need to have better power capacity. Most of the sensors have high power consumption and low battery life, which would not be the best choice. As these devices are required to function the whole day, there are built-in features, like the device will automatically switch to power-saving mode when the sensor is idle for a long time. (2) Speed of computation: This is the most common requirement for all customers. Most of the IoT computing devices are equipped with low-power processors, which have to perform multiple tasks. (3) Scalability: It is hard to match a suitable algorithm that can adapt to the changes in the environment and meet the changes needed in the future. (4) Memory constraints: As the amount of data is increasing rapidly, data storage becomes a major problem, which in turn affects data protection. (5) Communication channel: There are many security protocols, and it is highly difficult to choose the appropriate standard protocol for data transmission through various wireless communication networks. This will challenge manufacturers in providing a better interface for data communication. (6) Security: Security may not stand at the top when there are rapid innovations along with the rise in competitiveness in the markets. Many smart devices are focusing on the device features, functionalities, and ease of use; this is where hackers could collect a lot of information, analyze it, and could turn it into an nefarious opportunity. Inaccurate strategies implemented in security systems could allow hackers to hack the data with ease. Disrupting the services provided by the cloud leads to massive threats; due to this, all the networks that are internally connected should be in service all the time.

456

Chapter 21 Impact of IoT in biomedical applications: Part II

There are certainly different types of attacks on healthcare IoT systems: -

Message injection attack is where the hackers would attack a network by injecting false messages. Node destruction attack is an attack where hackers would destroy all the possible nodes in the network to cause complete failure. Hello flooding is a type of attack where attackers deceive the target sensor network by flooding the connection with many hello requests, thus crashing the safety and security. Black hole attack is where the attacked node, which is under the hacker’s control, puts a wrong path as the right path to the source, and when the source follows it, the data is transferred to the hacker’s node, affecting the whole network. Gray hole attack is similar to a black hole attack, where only one or two nodes get affected. Sniffing is a type of attack where the attackers make use of networks that are less secure to take on the data that is being sent on a network. It is hardly difficult to find such attacks. Sybil attack is where hackers create numerous fake identities that are known as Sybil nodes, and they use the IDs of different nodes for participation in distributed algorithms like routing tables. Side-channel attack makes use of the data leaked from the deployment of a system. Radio-frequency jamming attackers perform international jamming of a radio signal by sending more signals of the same frequency. Denial of service is a commonly found attack on many devices as it is easy and cheap. Here, hackers send huge requests all at a time to a particular network for creating traffic and prevent the sensors from being in an idle state, which results in running out of battery and turning off quickly.

The Government Accountability Office (GAO), USA, in 2012, conducted a study with the FDA. GAO addressed the vulnerabilities, threats, and safety issues that link with healthcare devices that are actively implantable. It compared the information security issues perceived before the study for the devices with vulnerabilities already known, considering the information security problems identified after the study. Many information security threats exploit various attacks on medical devices. Moreover, many experts consider a few attacks to be of greater concern compared to others. They note low concern to attacks like interference from electromagnetic energy. Researchers explain the power of such situations leading to intentional threats in devices like insulin pumps and implantable cardioverter defibrillators. The FDA declared that there are no such incidents noticeably occurring in those devices. Healthcare devices can have such types of vulnerabilities and make them susceptible to either intentional or unintentional threats. Information security risks from certain attacks could affect the safety and effectiveness of medical devices. One such risk is illegal changes in settings leading to difficulties in choosing the correct access controls. Officials have mentioned that trails to reduce information security risks need to be balanced with the potential adverse effects such efforts could have on device performance, including limiting battery life. During 2001 and 2006 premarket review on two medical devices, the FDA found information security risks from unintentional threats, but not risks from intentional threats, such as areas among verification, software testing, validation, risk assessments, access control, and contingency planning. GAO selected its evaluation threats in four information security control areas. The agency had not considered evidence of its review for risks from four information areas in security, which are patch and vulnerability management, risk management, accountability, and technical audit, and activities related to response for security. Until recent times, the FDA did not take these threats as a real-time possibility

5. Challenges of IoT

457

and a serious issue. The FDA then stated that it would review its procedure in IoT devices in evaluating software that is used in the medical sector. The FDA is having its postmarked efforts like an adverse event reporting system to identify problems with medical devices. The FDA still faces challenges in using them to find out information security problems. For example, the agency’s adverse event reporting system relies upon reports submitted by entities, such as manufacturers, that are more closely related to clinical risks than to information security risks.

5.1 Mechanisms to prevent IoT threats (1) Improving sensor management systems: Different technologies are suitable for various working conditions. Designers face a lot of challenges in making the users access those products and applications that are secure and effective. Mechanisms like sixth sense technologies could build a comprehensive context-aware framework to detect sensor-based threats in IoT devices. If there is any activity from the user side, the sensor would become active and start observing the actions of the users. Using various machine learning-based approaches, the sixth sense would utilize the sensor’s data and predict if it is malicious or not. Implementing such methodologies would improve the security management system and the safety of the customers. (2) Safeguarding sensed data: There are some hacking applications where the data from the sensors are recorded and transferred after a while when the sensor stops its security protection or when the device is turned off. These are inference attacks, and to reduce the success rate of such attacks, there is a location privacy-preserving mechanism. However, this would not be effective if the adversary knew the protection mechanism used in the system. Such threats are called white box attacks. There is a proposed novel method by Petracca et al. to approach such attacks where we make use of targeted maneuvers to combine real sensor data with synthetic data to get uniform distribution of data points. These maneuvers automatically get activated in response to some system events to control the rate of change in systems configurations continuously. This would finally create a robust mechanism to control such attacks. There are many such approaches that are being implemented to protect data from sensors and devices. Apart from aforementioned solutions, there are some more limitations that need to be verified by the users while using IoT products: (a) Use of outdated hardware and software: Users must be unaware of the versions they are using, both in the case of hardware and software. Using older versions that are not updated may have higher chances in the occurrence of threats. (b) Use of weak credentials: Usage of weak passwords and weak PINs is never a safe activity. Attackers may find ease in hacking the system through weak credentials. (c) Difficulty in finding an effective device: Customers are advised to opt for a better choice, comparing all pros and cons while choosing an IoT device. It is better to be aware of the security features in the device before applying them to their daily activities.

5.2 IoT laws and policies in various countries Policies that are followed by different nations all around the world are the key features that drive the enhancement of IoT. China’s administration is adopting various strategies to inculcate IoT in every

458

Chapter 21 Impact of IoT in biomedical applications: Part II

aspect of life. In a decade, China will be called “a manufacturing superhouse” due to its initiatives from a strategy named “Made in China 2025,” which is to highly improvise the techniques that help the manufacturing of IoT and the cloud computing sector. In 2018, eight standards in healthcare for IoT had been released by China’s National Health Commission. These standards promoted the integration of IoT and cloud computing in medical applications. The European Commission launched the Alliance for IoT Innovation in 2015 to encourage the creative and innovative IoT ecosystem. In the same year, they approved the Digital Single Market Strategy to drive European countries a step forward in raising their developments in IoT and cloud computing. Digital India Program in 2015 was introduced to leverage technologies like IoT, artificial intelligence, and Blockchain. They released a policy named “National Policy on Software Products,” which acknowledged that over 14 million employers are working in the software industry, and AI and IoT are leveraging maximum advantages across the economy. India is planning to build around 100 new smart cities. The main goal of the Digital India Program is to transform India into being digitally empowered and build a knowledge economy. Japan uses its I-Japan strategy wherein IoT is highly recommended in particular areas like healthcare, e-government, education, etc. Using this strategy, Japan was able to solve many of its problems integrating IoT into their solutions. Japan’s vision is going toward cost-saving and improved clinical outcomes. In 2016, a document called National Center of Incident Readiness and Strategy for Cybersecurity released by the Japanese states that the country will start providing security also in noncritical circumstances along with product manufacturing and holding a global multistakeholder technique for securing IoT. Russia’s average market growth rate from a report by International Data Corporation was 21.3% in 2019. The government of Russia has implemented new policies that include a separate, exposed standard for a network of connected devices during data exchange. The government in South Korea is planning to abolish all those regulations that are not necessary and aid the expansions in the software sector. Their plan includes the development of smart homes, precision medicine, and dedicated IoT networks. According to their government, improving these measures resulted in creating 260,00 new job vacancies in the free economy. In 2017, the Department of Defense in the United States proposed a “Cloud computing security requirement guide” that talked about attaching cloud computing with other aspects like security controls. In 2018, researchers found that their military forces were being hacked through the fitness trackers used by the army personnel. Later in the same year, one more privacy attack happened on an app named Polar (fitness application). Taking everything into consideration, the US Defense department added a policy to ban every personnel in defense from using any sort of GPS features in digital devices and services when they are on a secret mission.

6. Conclusion and future work Administration, organizations, and research communities are combinedly working to ensure better changes that IoT could bring to the healthcare industry. In short, IoT is a scenario when network connectivity and computing capabilities extend to everyday objects, which are not considered computers. Several models describe IoT architecture. The perception layer, network layer, storage

Further reading

459

layer, and application layer are the building blocks of IoT working models. Medical IoT devices enable the patients and doctors equally to monitor, control, and provide prescription and care in real time. Active and passive sensors are added to microcontrollers embedded into devices to process the data and communication devices are embedded to transfer the information in real time, connecting the patient to the HMS. Thus the doctor is a complete biomedical IoT system. IoT makes healthcare more efficient and low cost in the future. IoT is helping to create highly featured patient-oriented equipment. As any other system with capabilities and limitations, IoT has a few limitations, in which security is one of the biggest challenges when handling a network of some billion devices, which are collecting trillions of gigabytes of data. Along with security comes privacy. Leaking patient information to unsolicited hands is unethical and illegal. Various countries have, and some are forming, policies, acts, and rules to strengthen IoT systems without jeopardizing the safety and privacy of a common man. This enables grassroots, inexpensive medical care and improved medical outcomes, thereby building a knowledge economy. Moreover, IoT will also enable patients to get better monitoring of data and personalized care, leading to fewer visits to the hospital. A report by Frost and Sullivan’s analysis stated that the global IoT market was worth $22.5 billion in 2016 and is expected to reach $72.02 billion by 2021, at a compound annual growth rate of 26.2%. By integrating IoT and cloud computing in the field of healthcare, lots of concepts and use cases are continuously getting updated, and this chapter briefly categorizes and explains them.

Further reading [1] L. Minh Dang, Md Jalil Piran, D. Han, K. Min, H.J. Moon, A survey on internet of things and cloud computing for healthcare, Electronics 8 (2019) 768. [2] A. Grizhnevich, IoT Architecture: Building Blocks and How They Work, 2018. Available at: https://www. scnsoft.com/blog/iot-architecture-in-a-nutshell-and-how-it-works. (Accessed 21 June 2020). [3] S. Vitale, et al. J. Kołodziej, H. Gonza´lez-Ve´lez, Medical data processing and analysis for remote health and activities monitoring. High-performance modelling and simulation for big data applications, Lect. Notes Comput. Sci. 11400 (2019) 186e220. [4] C. Newmarker, Medical IoT and the Security Challenges for Healthcare: What You Need to Know, 2018. Available at: https://www.medicaldesignandoutsourcing.com/medical-iot-security-challenges-healthcare. (Accessed 6 July 2020). [5] M. Zhang, T. Cao, X. Zhao, Applying sensor-based technology to improve construction safety management, Sensors 17 (2017) 1841. [6] S. Ahmad, N. Awasthy, An information theoretic approach for the development of a framework for improving communication reliability in a mobile network, Int. J. Comput. Sci.Eng. 7 (5) (2019) 114e118. [7] H. Tran-Dang, N. Krommenacker, P. Charpentier, D.-S. Kim, Towards the internet of things for physical internet: perspectives and challenges, IEEE Internet Things J. (2020). PP. 1-1. [8] H.L. Truong, S. Dustdar, Principles for engineering IoT cloud systems, IEEE Cloud Comput. 2 (2015) 68e76. [9] V. Scuotto, S. Bresciani, A. Ferraris, Internet of Things: applications and challenges in smart cities: a case study of IBM smart city projects, Bus. Process Manag. J. 22 (2) (2016) 357e367. [10] B. Xu, L. Xu, H. Cai, L. Jiang, Y. Luo, Y. Gu, The design of an m-health monitoring system based on a cloud computing platform, Enter. Inf. Syst. 11 (2017) 17e36. [11] L. Atzori, A. Iera, G. Morabito, The internet of things: a survey, Comput. Netw. 54 (15) (2010) 2787e2805.

460

Chapter 21 Impact of IoT in biomedical applications: Part II

[12] P. Pongle, G. Chavan, Real time intrusion and wormhole attack detection in the internet of things, Int. J. Comput. Appl. 121 (2015) 1e9. [13] S.R. Islam, D. Kwak, M.H. Kabir, M. Hossain, K.S. Kwak, The internet of things for health care: a comprehensive survey, IEEE Access 3 (2015) 678e708. [14] Z.U. Abideen, M.A. Shah, An IoT based robust healthcare model for continuous health monitoring, in: Proceedings of the 2017 23rd International Conference on Automation and Computing (ICAC), Huddersfield, UK, 2017, pp. 1e6. [15] M. Devarajan, V. Subramaniyaswamy, V. Vijayakumar, et al., Fog-assisted personalized healthcare-support system for remote patients with diabetes, J. Ambient Intell. Hum. Comput. 10 (2019) 3747e3760. [16] A. Kulkarni, S. Vijaykumar, Application of internet of things in artificial heart pacemakers and its impact on security, IJCTER 2 (2016) 604e610. [17] Y. Kim, W.S. Lee, V. Raghunathan, N.K. Jha, A. Raghunathan, Vibration-based Secure Side Channel for Medical Devices, 2015, p. 32. [18] E. Al Akeem, C.Y. Yeun, M.J. Zemerly, Security and Privacy Framework for Ubiquitous Healthcare IoT Devices, 2015, pp. 70e75. [19] P. Gope, Hwang, A secure IoT-based modern healthcare system using a body sensor network, IEEE Sens. J. 16 (2016) 1368e1376. [20] J. Kour, N. Awasthy, Non Minutiae Based Fingerprint Matching, International Association of Computer Science and Information Technology - Spring Conference, Singapore, 2009, pp. 199e203. [21] H.B. Salameh, S. Almajali, M. Ayyash, H. Elgala, Securing Delay-Sensitive Cognitive Radio IoT Communications under Reactive Jamming Attacks. Spectrum Assignment Perspective, 2018, pp. 20e24. [22] N. Namvar, W. Saad, N. Bahadori, B. Kelley, Jamming in the Internet of Things: A Game-Theoretic Perspective, IEEE, 2016, pp. 1e6. [23] A. Abdallah, X.S. Shen, Efficient prevention technique for false data injection attack in smart grid, in: 2016 IEEE International Conference on Communications (ICC), 2016, pp. 1e6. [24] T. Amah, et al., The impact of message replication on the performance of opportunistic networks for sensed data collection, Information 8 (4) (2017) 143. [25] S.P. Singh, S. Sharma, Secure clustering protocols in wireless sensor networks, J. Wirel. Sens. Netw. 3 (2016) 1e10. [26] V. Adat, A. Dahiya, B. Gupta, Economic incentive-based solution against distributed denial of service attacks for IoT customers, in: IEEE International Conference on Consumer Electronics (ICCE), 2018, pp. 1e5. [27] Q. Chen, H. Chen, Y. Cai, Y. Zhang, X. Huang, Denial of service attack on IoT system, in: 9th International Conference on Information Technology in Medicine and Education (ITME), 2016, pp. 755e758. [28] R.K. Gill, M. Sachdeva, Detection of Hello Flood Attack on LEACH in Wireless Sensor Networks, 2018, pp. 377e387. [29] T. Bhatia, A. Verma, G. Sharma, S. Bala, A novel defense scheme against flooding attack in mobile adhoc networks, Recent Pat. Eng. 12 (2018) 15e22. [30] S.N. Mohammad, R. Singh, A. Dey, S.J. Ahmad, ESMBCRT: Enhance Security to MANETs Against Black Hole Attack Using MCR Technique, 2019, pp. 319e326. [31] V. Kumar, R. Kumar, An adaptive approach for the detection of black hole attack in mobile ad hoc networks, Proced. Comput. Sci. 48 (2015) 472e479. [32] B. Sharma, N. Awasthy, State Feedback Controller Design via T-S Fuzzy Model, Sixth International Conference on Fuzzy Systems and Knowledge Discovery, Tianjin, 2009, pp. 176e181. [33] N. Schweitzer, A. Stulman, R.D. Margalit, A. Shabtai, Contradiction based gray-hole attack minimization for ad hoc networks, IEEE Trans. Mob. Comput. 16 (2017) 2174e2183. [34] D. Giri, S. Borah, R. Pradhan, Approaches and measures to detect wormhole attack in wireless sensor networks. A survey, in: Advances in Communication, Devices and Networking, 2018, pp. 855e864. [35] Petracca et al., https://arXiv:1802.02041v1.

CHAPTER

Health monitoring system

22

Kanak Kumar1, Soumyadeepa Bhaumik2, Suman Lata Tripathi3 1

Electronics Engineering, IIT(BHU), Varanasi, Uttar Pradesh, India; 2Heritage Institute of Technology, India; 3 Lovely Professional University, Phagwara, Punjab, India

1. Introduction Smart sensors self-diagnose by monitoring internal signals for evidence of defects. These sensors often perform simple probes that detect many potential faults. A specific code indicates each type of possible error. A significant difficulty that often arises in self-diagnosis is in distinguishing between standard measurement deviations and sensor faults. Indeterminate techniques can be applied to measure the impact of a sensor fault on the quality of the measurement. In some circumstances, it is possible to continue using the sensor after an error has developed. The model of self-verification proposed by Henry gives a reasonable approach to construct a validity index [1]. Electronics have become an essential part of biomedicine. Real-time fitness tracking, health monitoring, and the desire to detect an early stage disease have led to the rapid development of the market for sensor sensors [2], a quick decision-making healthcare system based on early diagnosis. Thus, diagnostic methods to improve medical facilities are always in high demand. Improvisation in biosensors has helped in achieving these analyses more accurately. Biosensors investigate the potentials of POC applications for better healthcare management, and efforts are made to make these more efficient. Integrating with MEMS and NEMS technology has enabled biosensors to be automated and more precise, with higher accuracy data sensing systems [3]. The application of biosensors with POC has increased research related to nanotechnology, advanced functional sensing materials, and miniaturized sensing system development. Internet of things (IoT) and artificial intelligence (AI) with biosensors enable real-time monitoring. Breath analysis is one such form for which biosensors are useable. Many diseases, such as diabetes, urinary tract infections, pancreas infection, lung cancer, etc., can be detected by breath analysis (Fig. 22.1). Lung cancer rates (13%) vary worldwide due to differences in tobacco use and air quality. India constitutes 6.9% lung cancer cases and 9.3% fatalities as well as cancer deaths in men. In 2012, there were 1.8 million cases of lung cancer. In 2018, the United States had an estimated 121,680 and 112,350 lung cancer cases for men and women, respectively. Developed countries have more lung cancer cases, prevalent in men due to smoking habits, though exceptions exist. In Europe, female lung cancer cases are increasing. Particularly in Asia, air pollution and occupational exposures are the main reasons. Any infection neglected in the past can also lead to lung cancer. Exposure to chemicals (radon, asbestos, Electronic Devices, Circuits, and Systems for Biomedical Applications. https://doi.org/10.1016/B978-0-323-85172-5.00018-6 Copyright © 2021 Elsevier Inc. All rights reserved.

461

462

Chapter 22 Health monitoring system

FIGURE 22.1 Schematics of the biologic nose and electronic nose.

etc.) can play a significant role. Low-dose computed tomography (CT) used for screening can also be a risk factor [4,5]. Diabetes is a rapidly growing problem. For example, there are colorimetric sensor arrays, single-walled carbon nanotubes (CNTs) for lung cancer detection, and glucose monitoring biosensors for diabetes. These sensors have high sensitivity and many more excellent properties based on their material and structure. Hence these sensors can measure short amounts of biomarkers that are present in the early stage of the disease. It is a group of sensors that provide a better advantage than a single sensor as an array that adds new dimensions to the observation. It supports the estimation of more parameters and improves performance. Volatile organic compound (VOC) patterns for different diseases can be depicted as unique. The breath test is exclusively endogenous for volatile products. Data is collected through these sensors with the help of suitable machine learning (ML) algorithms and predictive logic models. Smart healthcare also involves extensive scale integration, embedded systems, big data, ML, cloud computing, and AI. Wireless technology enables the deployment of sensors, collecting and transferring data over a large area with a limited investment. More innovations, including the sensors and the logistic models used, are required. Diseases like cancer at times remain asymptomatic and show symptoms at the advanced stage. This delay in the detection of the symptomatic presentation may contribute to reduced cancer survival. In 2010, 285 million people were affected by this. This estimate increased to 430 million due to negligence. In recent years, estimation has shown that 50% of diabetes cases are not diagnosed at an early stage. Lack of proper healthcare is one of the reasons for the increase in diabetes mellitus cases and hence increasing the life mortality in humans [6]. Alzheimer disease is a progressive neurodegenerative disorder (ND) that causes dementia and eventual death and accounts for 60%e70% in elderly patients [7,8]. Hence, recent research is going on to develop noninvasive techniques like biomarkers for early diagnosis. The present scenario acknowledges that 50% of the lung adenocarcinomas and about onethird of squamous cell carcinomas can be classified based on mutation profile. This molecular classification has eased therapeutic strategies. Predictive analysis should ideally be parallel with the development of therapeutic compounds and vice-versa. There are many ways of this predictive analysis like immunohistochemistry and conservation of samples to molecular testing, cell-free

2. Nanotechnology for disease diagnosis

463

circulating tumor DNA, and breath analysis using breath sensors [4]. E-noses are the main components of breath analysis and are based on olfactory perception. They contain olfactory receptor cells, which in turn have specific odor receptors [9]. These electronic noses comprise two parts, i.e., the sensing system and pattern recognition (PR). The sensing system may be either single or an array. A collection of sensors would be much more beneficial to detect many compounds. These complex data obtained can be analyzed by artificial neural network (ANN) [10]. ANN has achieved tremendous success in pattern recognition [11].

2. Nanotechnology for disease diagnosis The potential of exploring substances at a molecular level has shown the scope for many novel materials to development in the field of medicine. This material has created a new opportunity for research. This material surface exhibits extraordinary chemical and physical properties that allow them to be utilized in diagnosis, biosensing and bioimaging, drug delivery, and bone substitute implants. Surface chemistry and physics are the basis of these nano-size materials.

2.1 Biomedical applications The main tools of nanobiotechnology are metal oxides, carbon nanotubes, metallic surfaces, metallic particles, and liposomes. Controlling the surface properties is a strategy to achieve better response for a specific application. The ZnO surface with specific biomolecules provides photosensitive biosensors and is used for imaging cancer cells. It has many properties such as increased solubility and biochemically in water, i.e., reducing cellular toxicity, chemical composition, and physical topography of surfaces. The application of gold nanoparticles (GNPs) is dependent on the size and geometry of the particles. Gold nanorods are absorbed in the near-infrared and are also used to monitor blood flow. Gold and sulfur show a high chemical affinity, and therefore the surface can be modified with sulfur. There are also applications of gold nanocages, nanoshells, and nanospheres in biomedical systems. Carbon nanotubes also have excellent chemical and physical properties that make their use suitable in biosensing. Modification to surfaces of these particles makes them compatible with physiologic conditions and enables them for drug delivery systems as their size permits them to move inside the body freely. Carbon nanotubes can be single or multiwalled biocompatible at concentration level up to 102 mg/mL [12].

2.2 Introduction to nanosensors Nanostructured materials are within the range of 1e100 nm. Sensors based on nanoparticles follow nanoscale dimensions that enhance the optical and electronic properties. When the ratio of surface area to volume increases, the number of atoms on the surface also increases. Nanoparticles enable electrons to show quantum effects and a massive amount of optical colors due to their small size. Other properties are superparamagnetism in magnetic materials and surface plasmon resonance in noble metals. Nanoparticles are divided into natural, engineered, and incidental nanoparticles. The engineered nanoparticles include TiO2, SiO2, and FeOx, which are useful to develop metal-based nanoparticle gas sensors. Metal-based nanoparticles have a wide range of applications including sensing.

464

Chapter 22 Health monitoring system

Because they have high chemical activity, specificity for reactions, and product structures, these parameters of metal-based nanoparticles are essential for catalytic activity and increased surface area [13].

2.3 Nanotechnology for Alzheimer disease ND features progressive loss of structure of neurons, which often causes neuronal death. The main obstacle that limits early diagnosis and treatment strategies is the presence of a bloodebrain wall and prevents the spread of drugs and imaging agents with side effects. In vitro and in vivo Alzheimer disease (AD) early diagnosis is possible through nanotechnology. Pathogenic markers such as Alzheimer disease detection level (ADDLs) or tau protein are present in human cerebrospinal fluid (CSF). The sensors have been developed using Au nanoparticle bio-barcodes to measure the concentration of ADDL for in vitro and using two-photon scattering coupled with antibody-coated Au nanoparticles to detect tau proteins. Quantum dots coupled with streptavidin is another technique in vitro that has high sensitivity. In vivo, nanotechnology was investigated to detect deposits of Ab deposits in the AD brain. Ab coupled monocrystalline or superparamagnetic iron oxide nanoparticles were prepared for this. These nanoparticles revealed that they could cross blood-brain barrier (BBB) increasing the brain uptake and retention in AD transgenic mice. Treatment of AD can involve nanoparticles for better results. Nanoliposomes with phosphatidic acid or cardiolipin are used for sink effect. For in vitro, nano-liposomes have a high affinity for Ab and reduce its toxicity. There is a different approach involving treatment by the protection of neuronal cells against oxidative attack. The neurons from the deposition of amyloid hence reduce the toxicity of Ab [13].

2.4 Nanotechnology for Parkinson disease Parkinson disease more affects the age group above 65 years of age. The symptoms include tremor, slowed movement, rigid muscles, impaired posture and balance, loss of automatic trends, speech changes, and writing changes. Treatment includes nano-based approaches for delivery and release of dopamine (DA). According to in vivo experiments, nanoparticle-loaded DA is less cytotoxic. It reaches the brain and increases more than DA in the striatum. Locomotor activity improved by reducing the dopaminergic neuronal loss and enhanced DA levels. They are encapsulating human neurotrophic factor genes. Au-doped TiO2 nanotube arrays for in vitro diagnosis are a high sensitivity photoelectrochemical for detection of a-synuclein. Baron et al. investigated a quantitative assay for neurotransmitters that included plasmon absorbance and Au nanoparticles for in vitro diagnosis [14].

2.5 Nanotechnology for cancer diagnostics Sixty percent of the cancer patients are diagnosed when the disease reaches the advanced stage. Hence, the mortality rate is increasing day by day. Nanotechnology has a high potential to bring advancements in cancer diagnostics. Materials at the nanometer scale can bring several physical and biochemical properties that in turn can make nanodevices suitable for diagnostics and therapy. Novel imaging agents and biosensors were investigated in the production for their unique features. The main advantages of these are more excellent stability, more durability, and high contrast level. Nanotechnology can also provide real-time feedback tools. Real-time in vivo imaging can provide effective

3. Analysis of exhaled breath

465

surgery. In vivo diagnostics include several clinical imaging technologies such as MRI, CT imaging, and ultrasound also. There are also optical imaging agents such as quantum dots, and semiconductor nanocrystals are useable as fluorophore in biologic labeling. The in vitro solutions include biosensors such as nano-arrays and nanoparticle sensors. Cantilever technology consists of micromachined silicon beams. Gold nanoparticles loaded with contrast agents are being used for cancer diagnosis [15].

3. Analysis of exhaled breath Breath odors convey appropriate relations to certain diseases. Exhaled breath (EB) analysis has led to the discovery of biomarkers that contain N2, O2, CO2, water vapor, and inert gases [16]. EB consists of 3500 components, the majority of which are VOCs. Around 200 of the VOCs are present in average breath samples [17] (Fig. 22.2). These VOCs are present in PPM, though exceptions are there such as ketones, aldehydes, and pentanes that are present in PPB to PPT. These VOCs combine to give the odor of the exhaled breath. For example, the smell of urine indicates kidney disease, a sweet smell of diabetic ketoacidosis, liver problems produce a fishy smell, and there is a sewer odor from a lung abscess. VOCs with positive alveolar gradients are endogenous, i.e., not inhaled from surroundings. Many aldehydes are present in EB like formaldehyde, hexanal, and heptanal markers of lung cancer [9,17] (Tables 22.1 and 22.2).

FIGURE 22.2 Block diagram of e-nose.

Table 22.1 VOCs present in the human breath [9,16,17]. Compounds

Concentration level

Compounds

Concentration level

Water vapor

5%e6.3%

0.9%;