Chemistry and Lithography, Second Edition, Vol. 2: Chemistry in Lithography [2 ed.] 1510655573, 9781510655577

201 82 69MB

English Pages 830 [831] Year 2023

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Chemistry and Lithography, Second Edition, Vol. 2: Chemistry in Lithography [2 ed.]
 1510655573, 9781510655577

Citation preview

Chemistry and Lithography, Second Edition, Vol. 2: Chemistry in Lithography

Uzodinma Okoroanyanw

Library of Congress Cataloging-in-Publication Data Names: Okoroanyanwu, Uzodinma, author. | Society of Photo-optical Instrumentation Engineers. Title: Chemistry and lithography / Uzodinma Okoroanyanwu. Description: Second edition. | Bellingham, Washington, USA : SPIE Press, 2020– | Includes bibliographical references and index. | Contents: volume. 2. Chemistry in lithography Identifiers: LCCN 2019037747 | ISBN 9781510655577 (v. 2 ; hardback) | ISBN 9781510655584 (v. 2 ; pdf) Subjects: LCSH: Lithography. | Chemistry, Technical. | Semiconductors–Etching. Classification: LCC TP156.E68 O44 2020 | DDC 686.2/315–dc23 LC record available at https://lccn.loc.gov/2019037747

Published by SPIE P.O. Box 10 Bellingham, Washington 98227-0010 USA Phone: +1 360.676.3290 Fax: +1 360.647.1445 Email: [email protected] Web: http://spie.org Copyright © 2023 Society of Photo-Optical Instrumentation Engineers (SPIE) All rights reserved. No part of this publication may be reproduced or distributed in any form or by any means without written permission of the publisher. The content of this book reflects the work and thought of the author. Every effort has been made to publish reliable and accurate information herein, but the publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon. Printed in the United States of America. First printing. For updates to this book, visit http://spie.org and type “PM353” in the search field.

Dedication This work as a whole is dedicated to the memory of my academic mentor Late Professor WILLIAM C. GARDINER, JR. This volume is dedicated to my mother REBECCA OLUNWO OKOROANYANWU

Contents General Preface to the Second Edition Acronyms and Abbreviations

xxiii xxix

PART I LITHOGRAPHIC PROCESS CHEMISTRY

1

1

Overview of Chemistry in Lithography

3

2

The Semiconductor Lithographic Process Chemistry

11

2.1 2.2 2.3

11 13 17 17 22 23 23 25

Introduction Wafer and Mask Priming Surface Chemistry Resist Coating and Thin Film Instabilities 2.3.1 Resist spin-coating process 2.3.2 Characterizing ultrathin resist processes 2.3.3 Instabilities in UTR films 2.3.4 Spin coating and instabilities in UTR films 2.3.5 Hydrodynamics of UTR films 2.3.5.1 Instabilities and thermophysical properties of UTR films 2.3.6 Ultrathin film defectivity 2.3.6.1 Marangoni-effect-driven ultrathin film defects 2.3.6.1.1 Spin-coating defects and their origins 2.3.6.1.1.1 Chuck marks 2.3.6.1.1.2 Striations 2.3.6.1.1.3 Comets, streaks, or flares 2.3.6.1.1.4 Humidity-variation-caused defects 2.3.6.1.1.5 Wafer edge defects 2.3.6.1.2 The role of evaporation in spin-coating defects 2.3.6.1.2.1 Mechanism of capillarydriven flow instabilities in spin-coating systems 2.3.6.2 Non-Marangoni effect-driven ultrathin film defects 2.3.6.3 Patterning implications of UTR film instabilities

vii

27 32 33 35 35 37 37 37 38 39

40 43 44

viii

Contents

2.4 2.5 2.6

Resist Resist Resist 2.6.1 2.6.2

2.7

2.6.3 2.6.4 Resist 2.7.1 2.7.2

2.7.3

2.7.4

2.7.5

2.7.6

Soft Bake Chemistry Exposure Chemistry Post-exposure Bake Chemistry Deprotection kinetics of representative resist polymer systems Monitoring PAG in thin photoresist films by means of fluorescence spectroscopy PEB sensitivity Consequences of acid diffusion Development Chemistry Resist development methods Types of development processes 2.7.2.1 Resist chemical development 2.7.2.2 Resist physical development General mechanism of resist dissolution 2.7.3.1 Physical development mechanism 2.7.3.2 Chemical development mechanism Solubility switching approaches to realizing contrast between exposed and unexposed regions of the resist during development Development rate characterization 2.7.5.1 Laser interferometry 2.7.5.2 Quartz crystal microbalance Dissolution mechanism of resist polymers 2.7.6.1 Dissolution mechanism of phenolic resists 2.7.6.1.1 Comparison of dissolution characteristics of novolac and PHOST-based resists 2.7.6.1.2 General facts about the dissolution mechanism of DNQ/novolac resists 2.7.6.1.3 Mechanistic models for DNQ/novolac dissolution 2.7.6.1.3.1 The membrane model 2.7.6.1.3.2 The secondary structure model 2.7.6.1.3.3 Critical deprotonation model 2.7.6.1.3.4 Percolation model of resist dissolution 2.7.6.1.3.5 Critical ionization model 2.7.6.1.3.6 Stone wall model of novolac dissolution

45 49 51 53 58 61 63 64 66 67 67 68 69 71 71

73 74 74 77 78 79

80 81 85 85 86 89 92 94 94

Contents

ix

2.7.6.1.3.7

Effects of resin and inhibitor structure on dissolution rate

2.7.7

Resist development issues 2.7.7.1 Pattern collapse 2.8 Post-development Bake and Resist Stabilization Chemistry 2.8.1 Post-development bake 2.8.2 UV radiation curing 2.8.3 Electron beam curing of resists 2.8.3.1 Photochemical effects of electron beam curing of resists 2.8.3.2 Mechanical effects of electron beam curing of resists 2.9 Resist Etching Chemistry 2.9.1 Wet etching 2.9.2 Dry etching 2.9.2.1 Categories of dry chemical etching techniques 2.9.2.1.1 Plasma etching 2.9.2.1.2 Reactive ion etching 2.10 Resist Stripping Chemistry Part II LITHOGRAPHIC MATERIALS CHEMISTRY 3

Chemistry of the Lithographic Exposure Tool and Optical Element Materials 3.1 3.2

3.3 3.4

3.5

Introduction Chemistry of Lens Materials 3.2.1 Fused silica 3.2.2 Fused-silica degradation mechanisms 3.2.3 Calcium fluoride 3.2.4 Optical coatings 3.2.4.1 Aluminum mirrors 3.2.4.2 Dielectric optical coatings 3.2.5 Anti-reflection coatings Chemistry of Mirror Materials 3.3.1 EUV multilayer mirrors Chemistry of Lithographic Masks and Reticle Materials 3.4.1 X-ray masks 3.4.2 EUV masks Lithographic Pellicles 3.5.1 Optical lithographic pellicles 3.5.2 EUV lithographic pellicles

94 98 98 104 104 106 108 110 111 112 112 114 115 115 116 117 119 121 121 121 125 129 131 133 133 134 134 135 135 135 137 137 138 138 140

x

4

Contents

Chemistry of Lithographic Patterning Materials

141

4.1 4.2

141 141 143 144 144 144

4.3 4.4 4.5

Introduction Resists 4.2.1 Photoresist and radiation resist components 4.2.2 Nanoparticle resist components 4.2.3 Sol–gel resist components 4.2.4 Resist classification 4.2.5 Thermophysical, mechanical, and rheological properties of polymeric resist resins 4.2.5.1 Molecular weight properties of polymeric resist resins 4.2.5.2 Thermal properties of polymeric resist resins 4.2.5.3 Mechanical properties of polymeric resist resins 4.2.5.4 Viscoelastic properties of polymeric resist resins 4.2.5.5 Stress relaxation in polymeric resist resins 4.2.6 Resist solvents 4.2.7 Industrial manufacture of resist Resist Developers and Rinses Resist Strippers and Cleaners Stone, Plate, and Offset Lithographic Inks 4.5.1 Stone and plate lithographic inks 4.5.2 Offset lithographic inks 4.5.3 Fountain solutions

148 150 150 152 154 155 155 157 158 161 166 166 166 166

PART III LITHOGRAPHIC PHOTOCHEMISTRY AND RADIATION CHEMISTRY 167 5

Photochemistry and Radiation Chemistry in Lithography

169

5.1 5.2

169

Introduction General Considerations on Photochemistry, Photophysics, and Radiation Chemistry in Lithography 5.2.1 The phenomenon of light absorption in lithography 5.2.1.1 Absorbance, transmittance, molar absorption, and applications of the Beer–Lambert law in lithographic systems 5.2.1.2 Quantification of the strength or probability of absorption in lithographic systems 5.2.1.3 Effects of light absorption/excitation in lithographic systems 5.2.1.4 Deactivation of excited states 5.2.1.4.1 Competition between decay routes and quantum yield 5.2.1.5 Types of electronic transitions in lithographic systems

171 173

176 177 178 181 182 186

Contents

5.3 5.4

5.5

5.6

5.7

5.8

xi

Radiation Chemistry versus Photochemistry in Lithography Photochemistry of Optical Lithographic Exposure Tool Sources 5.4.1 High-pressure arc lamps 5.4.2 Exciplex and excimer laser sources 5.4.2.1 Interaction between electromagnetic radiation exciplex and excimer lasing media: two-state systems and the Einstein coefficients 5.4.2.2 Lasing mechanism of rare-gas halide exciplex and diatomic halogen excimer lasers 5.4.2.3 Excitation schemes 5.4.2.4 Key operational parameters 5.4.3 EUV sources 5.4.3.1 Laser-produced plasma sources 5.4.3.2 Discharge-produced plasma sources 5.4.3.3 Free-electron laser sources 5.4.4 Electron sources 5.4.5 Ion sources Photochemistry of Photon Interactions with Optical Elements, Masks, and Pellicles 5.5.1 Fused-silica degradation 5.5.2 Pellicle materials degradation Photochemistry of Photon Interactions with the Exposure Medium 5.6.1 UV absorption properties of typical gases in lithographic exposure tools 5.6.2 Photodissociation of molecular oxygen 5.6.2.1 ArF laser photon-induced reactions of oxygen Photochemistry of the Photo-oxidative Degradation of Photoresist Polymers 5.7.1 General mechanism of patterned resist polymer photo-oxidative degradation 5.7.2 Atomic oxygen reactions with polymers 5.7.3 Photo-oxygenation of polymers by singlet oxygen Photochemistry of Mask and Exposure Tool Lens Contamination and Cleaning 5.8.1 Inorganic salt formation on DUV exposure tool lenses and reticles 5.8.1.1 Mechanism of ammonium sulfate crystal formation on DUV lithographic exposure lenses and reticles 5.8.1.1.1 Reaction pathways leading to the formation of ammonium sulfate crystals in DUV lithographic exposure tools

186 188 188 190

190 193 196 197 199 201 202 203 205 206 206 207 207 208 210 211 214 216 217 219 220 221 221 222

222

xii

Contents

5.8.1.1.1.1

Direct oxidation of sulfur dioxide by stable atmospheric oxygen 5.8.1.1.1.2 Catalyzed oxidation of sulfur dioxide by metal ions 5.8.1.1.1.3 Photochemical oxidation of sulfur dioxide by ozone and hydroxyl radical 5.8.2 Photoinduced contamination of EUV optics and reticles 5.8.2.1 Carbon deposition 5.8.2.2 Oxidation 5.8.2.3 Impact of contamination 5.9 Contamination Mitigation Strategies in EUV Lithography 5.9.1 Exposure chamber environment control 5.9.2 Use of oxidation-resistant capping layers 5.9.3 Thermal processes used in EUV optics contamination mitigation 5.9.4 Nonthermal processes used in EUV optics contamination mitigation 5.9.5 Reactive ion etching processes for cleaning contaminated optics 5.9.6 Debris mitigation schemes 5.10 Photochemistry and Radiation Chemistry of Defect Repair of Optical Lithographic Masks 5.10.1 Photochemistry of laser repair of lithographic mask defects 5.10.2 Radiation chemistry of focused-ion-beam repair of lithographic mask defects 5.10.3 Radiation chemistry of focused electron beam repair of lithographic mask defects 5.11 Photochemistry and Radiation Chemistry of Resist Systems 5.11.1 Photochemistry of resist exposure 5.11.1.1 Electronically excited-state complexes in resist systems 5.11.1.1.1 Excimers 5.11.1.1.2 Exciplexes 5.11.1.2 Bimolecular interactions, quenching, and energy transfer in lithographic systems 5.11.1.2.1 Quenching processes of excited states in lithographic systems 5.11.1.2.1.1 Energy transfer in quenching processes in lithography 5.11.1.3 Energy migration in resist polymers 5.11.1.4 Spectral sensitization

223 223

223 225 234 238 241 243 244 244 244 246 250 250 250 250 251 251 252 252 254 254 257 257 257 258 267 270

Contents

xiii

5.11.2 5.11.3 5.11.4 5.11.5

5.11.6

5.11.1.4.1 Spectral sensitization modes 5.11.1.4.1.1 Triplet sensitization 5.11.1.4.1.2 Sensitization by electron transfer Aspects of the radiation chemistry of resists Resist sensitivity to electromagnetic radiation Resist exposure mechanisms Radiation chemistry of resist polymers 5.11.5.1 Radiation chemical yield and dosimetry 5.11.5.2 Backbone scission and crosslinking 5.11.5.3 Determination of the scission yield 5.11.5.4 Determination of the crosslinking yield Gx Photochemistry of photoactive compounds 5.11.6.1 Photochemistry of photoacid generators 5.11.6.1.1 Ionic PAGs 5.11.6.1.1.1 Aryldiazonium salts 5.11.6.1.1.2 Diaryliodonium salts 5.11.6.1.1.3 Triarylsulfonium salts 5.11.6.1.2 Nonionic photoacid generators 5.11.6.1.2.1 Nitrobenzyl esters 5.11.6.1.2.2 Sulfones 5.11.6.1.2.3 Phosphates 5.11.6.1.2.4 Arylsulfonate esters 5.11.6.1.2.5 Iminosulfonate and imidosulfonate esters 5.11.6.1.2.6 Diazonaphthoquinones 5.11.6.1.2.7 Natural product-based PAGs 5.11.6.2 Photochemistry of photobase generators 5.11.6.2.1 O-acyloximes 5.11.6.2.2 Benzyloxycarbonyl and o-nitrobenzyloxycarbonyl derivatives 5.11.6.3 Photochemistry of photodecomposable bases 5.11.6.4 Photochemistry of photosensitizers

PART IV CHEMISTRY OF LITHOGRAPHIC IMAGING MECHANISMS 6

Chemistry of Photochemical and Radiochemical Imaging Mechanisms of Negative-Tone Resists 6.1 6.2 6.3

Introduction Resins Types of Negative Resists 6.3.1 Non-radiation-based negative resists 6.3.1.1 Wax–lampblack–soap resists 6.3.2 Radiation-induced negative resists

272 272 274 276 277 278 283 284 284 285 287 287 288 288 289 290 293 296 297 299 302 302 303 306 311 311 311 313 313 317 319 321 321 322 323 323 323 324

xiv

Contents

6.3.2.1

6.3.2.2

6.3.2.3

Negative resists based on radiation-induced crosslinking reactions 6.3.2.1.1 Negative resists based on crosslink formation by direct reactions of excited chromophores 6.3.2.1.1.1 Bitumen resists 6.3.2.1.1.2 Cinnamate resists 6.3.2.1.1.3 Poly(vinyl cinnamylidene acetate) resists 6.3.2.1.1.4 Chalcone and polyester resists 6.3.2.1.1.5 Polyimide resists 6.3.2.1.1.6 Water-processable negative resists incorporating ionizable groups 6.3.2.1.2 Negative resists based on crosslink formation by radiation-generated reactive species 6.3.2.1.2.1 Non-chemically amplified crosslinking negative resists 6.3.2.1.2.2 Negative resists based on crosslinking by radicals Negative resists based on radiation-induced polarity changes 6.3.2.2.1 Non-chemically amplified negative resists based on radiation-induced polarity changes 6.3.2.2.1.1 Metal-chalcogenide resists 6.3.2.2.1.2 Imaging mechanisms of Ag2Se/Ge-Se resists 6.3.2.2.1.3 Ylide resists 6.3.2.2.1.4 Diazo resists Chemically amplified negative resists based on radiation-induced polarity changes 6.3.2.3.1 Chemically amplified negative resists based on an acid-catalyzed pinacol rearrangement 6.3.2.3.2 Chemically amplified negative resists based on acid-catalyzed intramolecular dehydration

324

325 325 326 329 330 331

332

333

333 349 363

364 364 368 370 370 372

372

374

Contents

xv

6.3.2.3.3

6.4 6.5

6.6

6.7 6.8

Chemically amplified, condensation/ intermolecular dehydration negative resists based on acid-catalyzed crosslinking with acid-sensitive electrophile (crosslinking agent) 6.3.2.3.4 Chemically amplified methacrylate negative resists based on acid-catalyzed esterification 6.3.2.3.5 Chemically amplified methacrylate negative resists based on acid-catalyzed deprotection and development in supercritical CO2 6.3.2.3.6 Negative resists based on ligand exchange reactions General Considerations on the Chemistry of Crosslinking Negative Resists Based on the Polymerization of Monomers in the Presence of Polyfunctional Components 6.5.1 Composition of negative resists based on photopolymerizaiton 6.5.2 Binders General Considerations on the Chemistry of Photoinitiated Radical Polymerization Employed in Negative Resist Systems 6.6.1 Photogeneration of radicals 6.6.1.1 Initiators based on photofragmentation 6.6.1.1.1 Peroxides 6.6.1.1.2 Azo compounds 6.6.1.1.2.1 Benzoin derivatives 6.6.1.1.2.2 Acetophenone derivatives 6.6.1.1.2.3 Ketoxime esters of benzoin 6.6.1.1.2.4 Triazines 6.6.2 Radicals generated by hydrogen abstraction 6.6.2.1 Benzophenone and tertiary amines 6.6.2.2 Michler’s ketone 6.6.2.3 Thioxanthones 6.6.2.4 3-Ketocoumarins 6.6.3 Dye-sensitized initiation 6.6.4 The initiation step 6.6.5 Propagation versus termination and the kinetic chain length 6.6.5.1 The steady state approximation General Considerations on Photoinitiated Condensation Polymerization 6.7.1 The thiol-ene system General Considerations on the Photoinitiated Cationic Polymerization Employed in Negative Resist Systems

376

384

387 390 392 395 396 397 397 398 399 399 399 400 401 402 403 403 404 405 406 406 406 410 411 411 413 413 414

xvi

Contents

6.8.1

7

Onium salt-initiated cationic polymerization 6.8.1.1 Initiation 6.8.1.2 Propagation 6.8.2 Epoxy-based negative resists based on a cationic crosslinking reaction mechanism 6.8.2.1 SU-8 resist 6.8.2.2 Fullerene/epoxy resists 6.8.2.3 Multi-trigger negative-tone epoxy-based molecular resists 6.8.2.4 Polystyrene/allyl glycidyl epoxy negative resists 6.8.3 Limitations of negative-tone resists based on cationic polymerization-induced crosslinking 6.9 Vapor-Deposited, Dry-Developed Metal Salts, Organometallic, and Metalorganic Oxide Hard Mask Resists 6.10 Lithographic Applications of Photopolymerization Negative Resists 6.10.1 Lithographic offset plates 6.10.2 Dry resists 6.10.3 Printed circuit boards 6.10.4 Solder masks 6.10.5 IC device fabrication

428 429 430 431 431 432 432

Chemistry of Photochemical and Radiochemical Imaging Mechanisms of Positive-Tone Resists

435

7.1 7.2

Introduction Types of Positive Resists 7.2.1 Non-chemically amplified positive resists 7.2.1.1 Non-chemically amplified positive resists based on functional group polarity switch 7.2.1.1.1 Dissolution-inhibition resists 7.2.1.1.1.1 Diazonaphthoquinone/ novolac resists 7.2.1.1.1.2 Diazo-Meldrum’s acidbased resists 7.2.1.1.1.3 Resists based on orthonitrobenzyl chemistry 7.2.1.1.1.4 Resists based on photoFriess rearrangement 7.2.1.2 Non-chemically amplified positive resists based on main-chain scission 7.2.1.2.1 Resists based on poly(methyl methacrylate) and its derivatives 7.2.1.2.1.1 Poly(methyl isopropenyl ketone) resists 7.2.1.2.1.2 Poly(olefin sulfone) resists

414 415 415 418 418 419 422 425 426

435 437 437 437 437 437 468 469 473 475 475 484 485

Contents

xvii

7.2.1.2.1.3

7.2.2

7.3

8

Resist 7.3.1 7.3.2 7.3.3

Poly(chloroacrylate-co-amethylstyrene) resists Chemically amplified positive resists: the chemical amplification concept 7.2.2.1 Acid generators 7.2.2.2 Chemically amplified positive resists and their imaging mechanisms 7.2.2.2.1 Chemically amplified positive resists based on a functional group polarity switch 7.2.2.2.1.1 Chemically amplified positive resists based on deprotection 7.2.2.2.1.2 Chemically amplified positive resists based on Claisen rearrangement 7.2.2.2.1.3 Chemically amplified positive resists based on depolymerization Materials for Multilayer Resist Systems Hard mask resist material Top surface imaging resists Bilayer resists

Chemistry of the Limiting Issues of Photochemical and Radiochemical Resists and Approaches to Their Solutions 8.1 8.2

488 489 490 498

499

499

542

544 549 550 551 551 555

Introduction 555 Chemical Aspects of Advanced Resist Processing Techniques 559 8.2.1 Single-exposure techniques 560 8.2.1.1 Hyper-NA imaging resist processing techniques 560 8.2.1.2 EUV lithography resist processing technique 560 8.2.2 Post-exposure-based CD shrink techniques 561 8.2.2.1 Reflow CD shrink techniques 562 8.2.2.1.1 Thermal reflow shrink technique 562 8.2.2.1.2 Electron-beam-induced CD shrink techniques 562 8.2.2.2 Chemically induced CD shrink techniques 564 8.2.2.2.1 Chemically induced CD shrink techniques based on sidewall formation 564 8.2.2.2.1.1 Resolution enhancement of lithography assisted by chemical shrink (RELACS™) 565

xviii

Contents

8.2.2.2.1.2

8.3

8.4 8.5 8.6 9

Chemical amplification of resist lines (CARL) process scheme 8.2.2.2.2 Chemically induced CD shrink techniques based on sidewall erosion 8.2.2.2.2.1 Hydrophilic overlayer (HOL) process 8.2.2.2.3 Plasma-assisted CD shrink technique Resolution Limit Issues of Resists 8.3.1 Resolution limits due to chemical amplification in resists 8.3.1.1 Elucidating how photoacid diffusion leads to resist contrast and resolution loss 8.3.1.2 Resolution limits due to line edge roughness 8.3.1.3 Base quenchers 8.3.1.4 Polymer size 8.3.1.5 Shot noise 8.3.2 Resolution limits due to confinement effects in resists 8.3.3 Resolution limits due to resist polymer molecular properties 8.3.4 Resolution–LER–sensitivity trade-off challenges 8.3.5 Complex CAR behavior in EUV lithography 8.3.5.1 Stochastic effects 8.3.5.2 Pitch-dependent blur effects 8.3.5.3 Sensitivity to out-of-band radiation 8.3.6 Approaches to overcoming the chemical amplification drawbacks in resists 8.3.6.1 Polymer-bound photoacid generators 8.3.6.2 Photodecomposable bases 8.3.6.3 Multi-trigger resist concept 8.3.6.4 Photosensitized CAR concept 8.3.6.4.1 Mechanism of version 1 PSCAR materials 8.3.6.4.2 Mechanism of version 2 PSCAR materials Vapor Deposited, Dry Developed Metal Salts, Organometallic, and Metalorganic Oxide Hard Mask Resists Resist Materials Outlook for the Advanced Technology Nodes Resist Processing Outlook for the Advanced Technology Nodes

566 566 567 570 571 571 578 579 581 581 582 584 586 586 589 589 591 592 594 595 596 597 600 601 603 604 606 607

Chemistry of Self-Assembling Lithographic Imaging Mechanisms

609

9.1 9.2

609 611 617

Introduction Block Copolymer Self-Assembly 9.2.1 Block copolymer synthesis

Contents

xix

9.2.1.1

9.2.2

9.2.3

9.2.4 9.2.5

Block copolymers via anionic polymerization 9.2.1.1.1 General mechanism of anionic polymerization 9.2.1.1.1.1 Initiation 9.2.1.1.1.2 Propagation 9.2.1.1.1.3 Termination 9.2.1.1.2 Kinetics of anionic polymerization 9.2.1.1.3 General experimental procedures 9.2.1.1.3.1 Synthesis of AB diblock copolymers 9.2.1.1.3.2 Synthesis of linear triblock copolymers Block copolymer synthesis via controlled radical polymerization 9.2.2.1 Block copolymers synthesis via atom transfer polymerization (ATRP) 9.2.2.2 Block copolymer synthesis via stable free-radical polymerization (SFRP) 9.2.2.2.1 Synthesis of block copolymers containing styrene and styrenic derivative blocks 9.2.2.2.2 Synthesis of block copolymers containing dienes with styrene, acrylate, or methacrylate derivative blocks Block copolymers synthesis via cationic polymerization 9.2.3.1 General mechanism of cationic polymerization 9.2.3.1.1 Initiation 9.2.3.1.2 Propagation 9.2.3.1.3 Chain transfer 9.2.3.1.4 Termination 9.2.3.2 Synthesis of representative diblock copolymers by cationic polymerization 9.2.3.2.1 Synthesis of block copolymers containing styrene and isobutylene blocks 9.2.3.2.2 Synthesis of block copolymers containing vinyl ethers and styrenic blocks Improving chemical and thermal stability of block copolymers Physics of micro- and nanophase separation in block copolymer systems 9.2.5.1 Phase formation and construction in a symmetric AB diblock copolymer melt

622 624 625 627 627 628 632 633 641 648 648 649

651

653 654 656 656 656 657 657 657

658

660 660 662 666

xx

Contents

AB diblock copolymer configurations orientational control and long-range ordering Neutral brushes for perpendicular alignment Lithographically directed block copolymer directed self-assembly 9.2.6.2.1 Chemoepitaxy DSA lithography 9.2.6.2.2 Graphoepitaxy DSA lithography 9.2.6.3 Solvent annealing 9.2.6.4 Other methods used for aligning the orientation of self-assembling block copolymers 9.2.6.4.1 Application of external fields 9.2.6.4.2 Contact line pinning 9.2.6.4.3 Directional crystallization 9.2.6.4.4 Shear alignment 9.2.6.4.5 Soft lithography 9.2.7 Scaling block copolymer domain periodicity 9.2.8 Outlook for directed block copolymer self-assembly lithography Colloidal Particle Self-Assembly Lithography Monomolecular-Layer Self-Assembly Lithography Outlook for Directed Self-Assembly Lithography 9.2.6

9.3 9.4 9.5

9.2.5.2 Domain 9.2.6.1 9.2.6.2

10 Chemistry of Imprint Lithographic Imaging Mechanisms 10.1 Introduction 10.2 Imprint Techniques 10.2.1 Thermal imprint lithography 10.2.2 UV imprint lithography 10.2.3 Solvent-assisted imprint lithography 10.2.4 Electrochemical imprint lithography 10.3 Imprint Tools and Materials 10.3.1 Imprint resist materials 10.3.1.1 Polymeric imprint resist materials 10.3.1.2 Nanoparticle imprint resist materials 10.3.1.3 Sol–gel imprint resist materials 10.3.2 Imprint stamp materials 10.3.3 Imprint stamp release materials 10.4 Imprint Lithographic Imaging Mechanisms 10.4.1 Thermal imprint lithographic imaging mechanism 10.4.2 UV imprint lithographic imaging mechanism 10.4.3 Peculiar issues of UV-IL and T-IL 10.5 Theoretical Models of the Imprinting Process 10.5.1 General considerations on the viscoelastic properties of polymers 10.5.2 Squeezing flow theory of the imprint process

670 672 673 676 676 681 683 684 684 686 686 687 688 688 692 693 696 698 701 701 702 702 702 703 705 706 706 707 710 710 714 715 716 716 718 719 719 719 722

Contents

xxi

PART V LITHOGRAPHIC-PROCESS-INDUCED CHEMISTRY

729

11 Lithographic Electrochemistry

731

11.1 Introduction 11.1.1 Corrosion in lithography 11.1.1.1 Corrosion types 11.1.1.2 Electrostatic discharge 11.1.2 Electrochemical imprint lithography 11.2 Corrosion/Oxidation of Chrome Structures in DUV Lithographic Masks 11.2.1 Mechanism of lithographic mask chrome structure oxidation 11.2.1.1 Crevice corrosion of lithographic masks 11.2.1.2 Pitting corrosion of lithographic masks 11.2.1.3 Kinetics of pitting and crevice corrosion of lithographic masks 11.3 Mechanism of Electrochemical Imprint Lithography 12 Lithographic Colloidal Chemistry 12.1 Introduction 12.2 The Forces Involved in Lithographic Colloidal Stability 12.2.1 Charged lithographic colloids 12.2.1.1 Formation of charged colloids in aqueous lithographic colloidal solutions 12.2.1.2 General electrostatic interaction forces operating in lithographic colloidal solution 12.2.1.3 Theory of the diffuse electrical double layer 12.2.1.4 The Debye length 12.2.1.5 Surface charge density 12.2.1.6 Zeta potential of a colloidal particle 12.2.1.7 The Hückel equation (ka , 0.1) 12.2.1.8 The Smoluchowski equation (ka . 100) 12.2.1.9 Interaction between double layers 12.2.1.10 The Derjaguin approximation 12.2.2 The DLVO theory of colloidal stability Index

731 731 732 733 737 738 739 739 740 741 743 747 747 750 751 751 752 753 757 758 760 760 762 766 769 770 773

General Preface to the Second Edition A second edition of a text has several advantages over the first edition: in addition to representing the accumulated wisdom of the readers who have suggested ideas for improving the previous edition, it also incorporates developments in the field that have taken place since the publication of the first edition. From their familiarity with the previous edition and in keeping with the general aim to make the text accessible, readers will be aware that I have provided not a slight tinkering with the text in this edition, but a wholesale rewriting of some chapters, while introducing many entirely new ones. This current edition is a series of three volumes, namely, The Chemical History of Lithography (Volume 1), Chemistry in Lithography (Volume 2), and The Practice of Lithography (Volume 3). Each volume is a unit and can stand alone, which is fortunate in view of their different subject matters. My motive for splitting the second edition of Chemistry and Lithography into three volumes is that the subject matter of these three books is very broad and lends itself well to multiple angles of analysis and interpretation. Lithographically fabricated structures appear in an increasingly wide range of scientific and technical fields, beyond their traditional niches in fine arts, paper printing, and electronics. In particular, the last 13 years since the publication of the first edition of Chemistry and Lithography have witnessed a phenomenal pace of development in advanced lithography, perhaps the fastest pace of development in any decade over the 224-year history of the field. The transistor count of the leading-edge integrated circuit when the first edition was published was around 2.5 billion, and a short five years later that count rose to 10 billion. By the time the current edition is published, the transistor count of an equivalent device may well be over 20 billion, representing a nearly ten-fold increase within a decade in the ability to process, communicate, and store electronic information, made possible in no small part by advances in lithography. Such is the pace of the development in this field that the analysis I make in these three volumes is a sufficiently different and significantly expanded version of the treatment of the subject I rendered in the

xxiii

xxiv

General Preface to the Second Edition

first edition. As such, there are a considerable number of changes within the text of the current edition. The first edition covered some brief historical material in Part I, and in Volume 1 of the second edition these historical aspects are extended. It seems reasonable to me that with the rapid advances being made in advanced lithography, it is very important to realize that some of the concepts that we now take for granted in chemistry and physics that enervate lithography are by no means self-evident and often were developed only after much struggle and controversy. Improvements are still being made in these two fields and can be more clearly understood by those who appreciate how our present understanding of the fields was attained. I drew considerably from Part I of the first edition to develop Volume 1 of the second edition. Compared to Part I of the first edition, Volume 1 of the second edition comprises five significantly expanded chapters: Chapters 1 and 2 of first edition with slight tinkering; an expanded version of Chapter 4 of the first edition; and two new chapters derived from expanding and splitting Chapter 3 of the first edition. The object of Volume 1 of the second edition is to weave together threads of a narrative on the history of optical and molecular physics, optical technology, chemistry, and lithography, with a view to creating a rich tapestry that gives the reader new insights into an aspect of the relationships between these fields that are often not fully appreciated: how the marriage between chemistry and optics led to the development and evolution of lithography. I show how major developments in the chemistry, physics, and technology of light influenced the invention and development of lithography well beyond what its inventor envisioned. I also show how developments in lithography have not only influenced the development of optics and chemistry, but also played a critical role in the large-scale manufacture of integrated circuits that run the computers and machineries on which our modern electronic and information age depend. Part of the analysis in Volume 1 of the second edition is necessarily skewed toward the underlying science and technologies of advanced lithographic patterning techniques, in terms of materials, processes, and imaging, along with their unique features, strengths and limitations. Volume 1 also provides an analysis of the emerging trends in lithographic patterning as well as the current and potential applications of the resulting patterned structures and surfaces. The second volume explores the chemical basis of lithography, focusing on materials and process chemistry, and photo- and radiation chemistry as well as the chemistry of photochemical, radiochemical, imprint, colloidal, electrochemical and directed self-assembly imaging mechanisms of advanced lithography. The object of Volume 2 is to deconstruct lithography into its essential chemical principles and to situate its various aspects in specific fields of chemistry. This volume comprises 12 chapters developed around a reorganization

General Preface to the Second Edition

xxv

and an expansion of Chapters 5 through 8 of Part II of the first edition and parts of Chapters 13 and 14 of Part III of the first edition. It also includes four entirely new chapters that explore in a fundamental manner the role of chemistry in mediating specific aspects of the lithographic process. Volume 2 is organized into five parts: Part I Lithographic Process Chemistry, Part II Lithographic Materials Chemistry, Part III Lithographic Photochemistry and Radiation Chemistry, Part IV Chemistry of Lithographic Imaging Mechanisms, and Part V Lithographic-Process-Induced Chemistry. Part I comprises two chapters: Overview of Chemistry in Lithography (Chapter 1) and Chemistry of the Semiconductor Lithographic Process (Chapter 2). Part II comprises two chapters: Chemistry of the Lithographic Exposure Tool and Optical Element Materials (Chapter 3) and Chemistry of Lithographic Patterning Materials (Chapter 4). Part III comprises one chapter: Photochemistry and Radiation Chemistry in Lithography (Chapter 5). Part IV comprises five chapters: Chemistry of Photochemical and Radiochemical Imaging Mechanisms of Negative-Tone Resists (Chapter 6), Chemistry of Photochemical and Radiochemical Imaging Mechanisms of Positive-Tone Resists (Chapter 7), Chemistry of Photochemical and Radiochemical Resist Limiting Issues and Approaches to Their Solutions (Chapter 8), Chemistry of Self-Assembling Lithographic Imaging Mechanisms (Chapter 9), and Chemistry of Imprint Lithographic Imaging Mechanisms (Chapter 10). Part V comprises two chapters: Lithographic Electrochemistry (Chapter 11) and Lithographic Colloidal Chemistry (Chapter 12). Chapters 10 through 12 are entirely new chapters, while Chapter 9 is substantially updated. With the successful implementation of EUV lithography in manufacturing at the 10-nm and 7-nm technology nodes, patterning challenges have shifted from resolution to mostly noise and sensitivity. This is a regime where the resist suffers from increased stochastic variation and the attendant effects of shot noise—a consequence of the discrete nature of photons, which, at very low number per exposure pixel, show increased variability in the response of the resist relative to its mean. Noise in this instance is the natural variation in lithographic pattern placement, shape, and size. It causes line edge roughness, line width variation, and stochastic defects. Examples of resist responses that may experience shot noise effects under very low-photon-count-per-pixel conditions within small exposure volumes in this stochastically limited regime include photon absorption by the resist, chemical conversion of radiationsensitive components in the resist, and chemical changes that make a resist molecule soluble or insoluble in the developer. Ultimately, these patterning issues have their origins in the materials used in lithography. Chemistry underpins the essence, functions, and properties of these materials. We therefore examine in the second volume of the current edition the role of stochastics in extreme-ultraviolet (EUV) lithography in far greater detail than we did in the first edition.

xxvi

General Preface to the Second Edition

Volume 2 also develops a chemistry and lithography interaction matrix, which is used as a device to explore how various aspects and practices of advanced lithography derive from established principles and phenomena of chemistry. For instance, lithographic unit operations principally involving the resist fall within the realm of process chemistry. Photochemistry is involved in the generation of photons from the exposure sources of optical, EUV, and x-ray lithographic exposure tools; the interaction of O2, H2O, NH3, SOx, and hydrocarbons with photons within the optical lithographic tool exposure chamber as well as their roles in the oxidation, carbon deposition and growth, and formation of inorganic salt crystals (also called haze crystals) on masks and optical elements; cleaning of contaminated optics and masks with UV photons; clear defect repair of masks via photoinduced decomposition of organometallic precursors and deposition of metals on defective areas of the mask; and UV curing and photo-oxidative degradations of resists during exposure. Photochemistry is also the basis of the exposure action of photoacids and photobases in resists, and of resist poisoning by airborne molecular bases. It is also the basis of laser-produced and discharge-produced plasmas—the radiation sources for EUV lithographic patterning. Finally, photochemistry is the basis of the plasma (dry) stripping of resists and other hydrocarbon contaminants from wafers and masks. Similarly, radiation chemistry is involved in the generation of electrons and ions from the exposure sources of electron- and ion-beam lithographic exposure tools, respectively; cleaning of contaminated optics and masks, and repair of defective masks with electrons and ions; and the electron- and ionmediated exposure process as well as electron-beam and ion-beam curing, and crosslinking of resists. The manufacture of lithographic exposure sources, optical elements, masks, and resist materials involves materials chemistry. Polymer chemistry is the basis of the synthesis of the polymeric resist resins used in optical, charged-particle, and imprint lithography as well as the synthesis of block copolymers used in directed block copolymer self-assembly lithography. Surface chemistry is the basis of the priming of relevant mask and wafer substrates as well as their subsequent coating with resists and associated layers used in the fabrication of masks and semiconductor device wafers. Colloid chemistry is the basis of the cleaning of masks and wafers, and of the development process of exposed resists, and is the basis for determining the stability of colloidal lithographic resist dispersions. It also explains the basis for the stability of resist, developer, and wet cleaning solutions. Electrochemistry is the basis of the corrosion and electromigration processes of Cr and Mo mask or reticle absorber features, and of the electrostatic damage of these objects. It is also the basis of electrochemical imprint lithography. Organometallic chemistry is the basis of the precursor materials used in clear defect repair of masks as well as in EUV metal oxide and metal salt resists.

General Preface to the Second Edition

xxvii

The Practice of Lithography (Volume 3) comprises 12 chapters, made up of Chapters 9 through 17 of the first edition, in addition to three new chapters covering full treatment of EUV lithography, imprint lithography, directed block copolymer self-assembly lithography, and proximal probe lithography. The object of Volume 3 is to present the way advanced lithographic patterning techniques are used to print images on appropriately prepared flat substrate surfaces using radiations as varied as photons, electrons, and ions as well as mechanical force, thermodynamically driven directed self-assembly of block copolymers, and even electron tunneling phenomena. This volume thus covers photolithography (or optical lithography), electron-beam lithography, ionbeam lithography, EUV lithography, imprint lithography, directed block copolymer self-assembly lithography, and proximal probe lithography. Immersion ArF-laser optical lithography is currently used in high-volume manufacture of integrated circuits at the 22-, 15- and 10-nm nodes, using double-patterning techniques to decrease feature pitch, where appropriate. EUV lithography is now in high-volume production at the 7-nm node in some of the leading-edge semiconductor companies. Imprint lithography, especially in its roll-to-roll format, is increasingly being used in the fabrication of flexible and wearable electronic devices as well as in large-area electronics. Directed block copolymer self-assembly is increasingly being used in the fabrication of functional nanostructures used in applications ranging from photonics to biomimetics, and from electrochemical energy storage to patterned electronic media. Now is an auspicious moment to provide an in-depth look into the chemistry that underpins these most advanced lithographies. As in the first edition, I make an attempt throughout the three books of the second edition to provide examples illustrating the diversity of the chemical phenomena in lithography across the breadth of the scientific spectrum, from fundamental research to technological applications. The presentation of material in this series is not necessarily chronological but is arranged such that related aspects of lithography are thematically organized to convey a unified view of the developments in the field over time, spanning many centuries in some instances, from the very first recorded reflections on the nature of matter to the latest developments currently at the frontiers of lithography science and technology. The emphasis is mostly placed on applications that have relevance to the semiconductor industry. A great many of the pioneers of chemistry and lithography are not represented at all in the three volumes of the current edition. I can only record here my immense debt to them and to all who have contributed to the development of the fields to the state in which I have reported it. While an individual may write a manuscript aimed at revealing deep connections between a technical field like lithography and a scientific discipline like chemistry, which may appear on the surface to have little in common, it takes a team of dedicated individuals to transform the manuscript

xxviii

General Preface to the Second Edition

into a text that is accurate. The story is not any different in this edition. I have relied on the good offices of a number of people who have scrutinized the text at several stages of production. The manuscript was read and reviewed in its entirety by Dr. Andreas Erdman of Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie (Fraunhofer Institute for Integrated Systems and Device Technology) and Dr. Manuel Thesen of micro resist technology GmbH, both of Germany, and I have corrected all the errors they identified. I am grateful for their efforts and the suggestions they made for improving the book. SPIE Senior Editor, Ms. Dara Burrows, my long-time editor, read the revised manuscript, corrected errors I missed including infelicities of expression, checked the references, and generated proofs. She and I were very conscious of the need to perfect the text, and we have put a considerable effort to reading and re-reading the proofs to correct errors. To Ms. Burrows I owe a great deal of gratitude for her efforts, dedication, and thoroughness in producing this book. If a book is a monument to anyone, it is a monument to those who wish it well and have done so much to accurately render it to ensure that it is exactly what is needed to highlight the intimate connection between lithography and chemistry. Also, I wish to express my thanks to my publisher SPIE Press for the understanding and assistance it provided at all stages of the complex task of producing a book such as this. I am also grateful to my colleagues in the Department of Polymer Science and Engineering of University of Massachusetts at Amherst, in particular, Prof. Jim Watkins, for lively scientific and technical discussions on polymers and their applications to electronics and photonics. The opportunity to work in this department has not only helped me broaden and deepen my scientific research interests, but also, in a direct way, has made it possible for me to write this book. Lastly, I acknowledge the informal assistance I have received from my wife Anett and daughter Sophie, who created a conducive atmosphere to work on this book at home. Uzodinma Okoroanyanwu Florence Village, Northampton, Massachusetts June 2023

Acronyms and Abbreviations 2D, 3D 4VP ACRAM ADL AEE AES AFM AIBN ALD AMC AMU APM ARC ARS ATRP BARC BCC BCP BEOL BIM BLR BOC BOCST BOP BPEB BPO CaF2 CAR CARL CBN CD CFC

two-, three-dimensional 4-vinyl pyridine N-(9-acridinyl) acetamide acid diffusion length aminoethoxy ethanol Auger electron spectra atomic force microscope azobisiso(butyrolnitrile) atomic layer deposition airborne molecular contaminant atomic mass unit ammonium hydroxide and hydrogen peroxide mixture anti-reflection coating angle-resolved scattering atom transfer polymerization bottom anti-reflection coating body-centered cubic block copolymer back end of line binary intensity mask bilayer resist benzyloxycarbonyl butoxycarbonyloxystyrene benzyloxy-protected poly(p-hydroxystyrene) 1,3-bis(1-phenylethenyl)benzene benzoyl peroxide calcium fluoride chemically amplified resist chemical amplification of resist lines carbo-t-butoxy norbornene critical dimension chlorofluorocarbon

xxix

xxx

CH CM CMN CMOS CMP CNT COG COP CPS CVD DC DEA DEAP DMAc DMAEMA DMF DMI DMMA DMPA DMSDMA DMSO DNQ DP DPD DPE DPP DPPH DRAM DRLS DSA DTBP DTBPIONf DUV EB ECR EDTA EFM EL EOC ESCAP ESD EUV

Acronyms and Abbreviations

cyclohexanone contamination monitoring carbomethoxy norbornene complementary metal oxide semiconductor chemical mechanical polishing carbon nanotube chromium-on-glass crystal originated pit close-packed spheres chemical vapor deposition direct current dissociative electron attachment diethoxyacetophenone dimethylacetamide 2-(dimethylamino)ethyl methacrylate dimethylformamide dimethyl-2-imidazolidinon N,N-dimethlacrylamide dimethoxy phenylacetophenone dimethylsilyldimethylamine dimethylsulfoxide diazaonaphthoquinone degree of polymerization diazopyrazolidine diphenylethylene discharge-produced plasma diphenyl picrylhydrazyl dynamic random-access memory development rate log slope directed self-assembly di-tert-butyl peroxide di(tert-butylphenyl) iodonium perfluorobutanesulfonate (noaflate) deep ultraviolet electron beam electron cyclotron resonance ethylenediamine tetraacetic acid electric-field-induced metal migration ethyl lactate etalon output coupler environmentally stable chemically amplified photoresist electrostatic discharge extreme ultraviolet

Acronyms and Abbreviations

FEL FEOL FIB FRET FRP FTIR FWHM HCl HEMA HEPA HF HMDS HOL HOMO HSQ HVM IAC IC IC IPA IRDS IS ISC ITRS KRS KTFR LBNL LCDU LEE LER LPP L/S LUMO LWR MA Mac MEA MEEF MEMS MET MIBK MIF ML

xxxi

free-electron laser front end of line focused ion beam Förster resonance energy transfer free-radical polymerization Fourier transform infrared full width half maximum hydrochloric acid 2-hydroxyehtyl methacrylate high-efficiency particulate air hydrofluoric acid hexamethyldisilazane hydrophilic overlayer highest-energy occupied molecular orbit hydrogen silsesquioxane high-volume manufacturing integrated absorption coefficient integrated circuit internal conversion isopropanol International Roadmap for Devices and Systems poly(isoprene-b-styrene) intersystem crossing International Technology Roadmap for Semiconductors ketal resist system Kodak Thin Film Resist Lawrence Berkeley National Laboratories local critical-dimension uniformity low-energy electron line edge roughness laser-produced plasma line and space lowest-energy unoccupied molecular orbit line width roughness methacrylic acid methylacetamide monoethanolamine mask error enhancement factor microelectromechanical system microexposure tool methylisobutyl ketone metal-ion-free multilayer

xxxii

MMA MOCVD MOPPPHOST MOTIF™ MTF MTMS MTR MW NA NBHFA NBOC NH4HF NIL NMP ODT OOB OPC OPD PA PAB PAC PAG PBOCST PBS PCB PDB PDI PDMS PDQ PE PEB PEP PFOS PGMA PGMEA PHOST PI PMA PMIPK PMMA PMPS POSS

Acronyms and Abbreviations

methyl methacrylate metal-organic chemical vapor deposition methoxypropyl-protected poly(p-hydroxystyrene) a plasma-assisted post-lithography hole and space shrink technique modulation transfer function methyl-tri-methoxy silane multi-trigger resist molecular weight numerical aperture norbornene hexafluoroisopropanol o-nitrobenzyloxycarbonyl ammonium fluoride nano-imprint lithography N-methylpyrrolidone order–disorder transition out-of band (radiation) optical proximity correction optical path difference photoacid post-apply bake photoactive compound photoacid generator poly(tert-butoxycarbonyloxystyrene) poly(butene sulfone) printed circuit board photodecomposable base polydispersity index poly(dimethyl siloxane) photodecomposable quencher photoelectron post-exposure bake poly(ethylene propylene) perfluorooctyl sulfonate poly(glycidyl methacrylate) propyleneglycol monomethyl ether acetate poly(hydroxystyrene) polyisoprene propylene glycol monomethylether acetate poly(methyl isopropenyl ketone) poly(methyl methacrylate) poly(methylpentene sulfone) polyhedral oligomeric silsesquioxanes

Acronyms and Abbreviations

PP ppb PPDA PPOB PR PS PS PSCAR PSM PTFE PV PWB QD QDL RB RELACS™ RF RIE RLS ROMP SAM SASE SC SCMFT SDS SEM SFRP SHE SLR SNS SODS SPM STM SV TBEST TBMA t-BOC TBTFMA TEM TEMPO TEOS TFE

xxxiii

photosensitizer precursor parts per billion p-phenylenediacrylic acid 1-phenyl-1,2-propanedione-2-o-benzoyloxime photoresist photosensitizer polystyrene photosensitized chemically amplified resist phase-shifting mask poly(tetrafluoroethylene) process variability printed wiring board quencher diffusion quencher diffusion length rose bengal resolution enhancement of lithography assisted by chemical shrink radiofrequency reactive ion etching resolution–sensitivity–line edge roughness (tradeoffs) ring-opening metathesis polymerization self-assembled monolayer self-amplified spontaneous emission supercritical self-consistent mean-field theory sodium dodecyl sulfate scanning electron microscopy stable free-radical polymerization standard hydrogen electrode single-layer resist sulfone/novolac system sodium octadecyl sulfate sulfuric acid and hydrogen peroxide mixture scanning tunneling microscopy stochastic variability tert-butyl ester-protected 4-hydroxystyrene tert-butyl methacrylate tert-butoxycarbonyl tert-butyl-2-trifluoromethylacrylate transmission electron microscopy 2,2,6,6-tetramethylpiperidinoxy tetraethyl ortho silicate tetrafluoroethylene

xxxiv

TGA THF THP T-IL TMAH TMOS TMS TMSDEA TMSDMA TMSHEA TOK TPSH TPSHFA TSI UHV ULPA UTR UV UV-IL VAP VBC VEMA VUV XRR

Acronyms and Abbreviations

thermogravimetric analysis tetrahydrofuran tetrahydropyran thermal imprint lithography tetramethylammonium hydroxide tetramethyl orthosilicate trimethylsilyl trimethylsilyldiethylamine trimethylsilyldimethylamine 2-trimethylsilyloxyethyl acrylate Tokyo Ohka Kogyo triphenylsulfonium hydroxide triphenylsulfonium hexafluoroantimonate top surface imaging ultrahigh-vacuum ultra-low-penetration air ultrathin resist ultraviolet ultraviolet imprint lithography vinyl addition polymerization vinylbenzyl chloride poly(vinyl ether-alt-maleic anhydride) vacuum ultraviolet x-ray reflectivity

Part I Lithographic Process Chemistry

Chapter 1

Overview of Chemistry in Lithography “I do not feel obliged to believe that the same God who has endowed us with sense, reason, and intellect has intended us to forgo their use.” Galileo Galilei, Letter to the Grand Duchess Christina In this volume we explore the chemical basis of pattern formation in advanced lithography, which in all its essential aspects is about chemical transformations that are designed to print a relief image of an object on a flat surface or a surface with topography. The object may be a mask containing patterns of integrated circuit devices; the flat surface may be a silicon wafer coated with photo- or radiation-sensitive resist, which upon exposure and development, or imprinting (as in the case of imprint resists) or directed self-assembly [(DSA) as in the case of block copolymer resists], is transformed into the relief image of the mask. Underlying some of these transformations are distinct chemical reactions that are mediated by electrons. By drawing on fundamental, theoretical, and experimental studies of molecular processes in advanced lithography, we deconstruct lithography into its essential chemical principles and situate its various aspects in specific fields of chemistry. We examine and show how electrons mediate the photo- and radiation chemistry of exposure processes of resists (be they organic, organometallic, polymeric, or inorganic), as well as exposure tool sources (be they mercury arc lamp, laser, electron beam, ion beam, or plasma); colloid chemistry of resist formulation and dissolution (be it for positive-tone or negative-tone development), wafer and mask cleaning processes; electrochemistry of mask absorber corrosion, electrostatic discharge, and electromigration; surface chemistry of wafer and mask priming, along with thin film interfacial effects; materials chemistry of resists, exposure tool optics, and masks; environmental chemistry of the exposure environment (be it water, air, or vacuum) as well as of resist poisoning; process chemistry and modeling of wafer and mask-making lithographic unit operations, including substrate priming, coating, exposure,

3

4

Chapter 1

pre- and post-exposure baking, development, and post-exposure stabilization processes; inorganic and organometallic chemistry of mask defect formation and repair, of mask contamination from inorganic salt (haze) crystal growth, carbon deposition and oxidation; and polymer chemistry of directed block copolymer self-assembly. To elucidate the intimate connection between chemistry and lithography, we develop a chemistry and lithography interaction matrix (see Table 1.1) and use it as a device to illustrate how various aspects and practices of advanced lithography derive from established principles and phenomena of chemistry. For instance, lithographic unit operations involving principally the resist fall within the realm of process chemistry. Photochemistry is involved in the generation of photons from the exposure sources of optical, extreme ultraviolet, and x-ray lithographic exposure tools; the interaction of O2, H2O, NH3, SOx, and hydrocarbons with photons within the optical lithographic tool exposure chamber, as well as their roles in the oxidation, carbon deposition and growth, and formation of inorganic salt crystals (also called haze crystals) on masks and optical elements; cleaning of contaminated optics and masks with UV photons; clear defect repair of masks via photoinduced decomposition of organometallic precursors and deposition of metals on defective areas of the mask; and UV-curing, and photo-oxidative degradations of resists during exposure. Photochemistry is also the basis of the exposure action of photoacids and photobases in resists as well as resist poisoning by airborne molecular bases. It is also the basis of the laserproduced and discharge-produced plasmas—the radiation sources for EUV lithographic patterning. Photochemistry also underpins the plasma (dry) stripping of resists and other hydrocarbon contaminants from wafers and masks. Similarly, radiation chemistry is involved in the generation of electrons and ions from the exposure sources of electron beam and ion beam lithographic exposure tools, respectively; cleaning of contaminated optics and masks, and repair of defective masks with electrons and ions; the electron and ion mediated-exposure process as well as electron beam and ion beamcuring and crosslinking of resists. The manufacture of lithographic exposure sources, optical elements, masks, and resist materials involves materials chemistry. Polymer chemistry is the basis of the synthesis of polymeric resist resins used in optical, charged-particle, and imprint lithography, and of block copolymers (BCPs) used in directed block copolymer self-assembly lithography. Surface chemistry is the basis of the priming of relevant mask and wafer substrates and their subsequent coating with resists and associated layers used in the fabrication of masks and semiconductor device wafers. Colloid chemistry is the basis of the cleaning of masks and wafers, and development process of exposed resists. It also explains the basis for the stability of resist,

Manufacture

Manufacture

Resist poisoning

(continued )

Surfactants and levellers

Photoactive compounds

Solvents and strippers

Nanoparticle resist matrices

Metallorganic resist matrices

Organic resist matrices

Crosslinking

Manufacture

E-beam curing

Radiationinduced oxidation

Exposure

Carbon growth

Radiationinduced degradation

Carbon growth Defect repair

Manufacture

hydrocarbons

Ion beam

Materials Chemistry

O2, H2O, NH3, SOx,

Stripping

Haze formation

UV-curing Photo-oxidative degradation

Defect repair

Photoinduced oxidation

Photoinduced degradation

hydrocarbons

Electron beam

Resist

Radiation Chemistry

Wafer

Lithographic unit operations UV cleaning

O2, H2O, NH3, SOx, UV cleaning

Mask Exposure

Optical elements

Photons

Exposure medium

Photochemistry

Exposure sources

Lithography

Chemistry and lithography interaction matrix.

Process Chemistry

Chemistry

Table 1.1

Overview of Chemistry in Lithography 5

Electrostatic discharge damage

Electrochemical imprint patterning

Absorber corrosion

Cleaning

Electromigration

Priming & coating

Wafer

Electrochemistry

Mask

Cleaning

Optical elements

Colloid Chemistry

Exposure medium

Priming & coating

Exposure sources

Lithography

Chemistry and lithography interaction matrix (Continued ).

Surface Chemistry

Polymer Chemistry

Chemistry

Table 1.1

Development process

Lithographic ink colloidal particle dispersion

Resist solution

Coating

Block copolymers

Polymeric resist resins

Resist

6 Chapter 1

Overview of Chemistry in Lithography

7

developer, and wet cleaning solutions. Electrochemistry is the basis of the corrosion and electromigration processes of Cr and Mo mask or reticle absorber features, and of electrostatic damage of the same objects. It is also the basis of electrochemical imprint lithography. The list of the instances of the role of chemistry in mediating lithography as highlighted above is by no means exhaustive. We will, however, restrict our analysis in the remaining chapters of this volume to the items in the matrix of Table 1.1. Summarized in Fig. 1.1 are the imaging mechanisms of the three main lithographic technologies: photochemical and radiochemical (radiationbased), imprint (mechanical force-based) and block copolymer self-assembly (based on thermodynamically driven phase separation) imaging mechanisms. Figure 1.2 is a diagram of the radiation-based semiconductor lithographic process, showing the unit operations involved: substrate priming, bottom anti-reflection and resist coating and baking, lithographic exposure, and developments, etching, and stripping. Each of these unit operations is mediated by a distinct chemical reaction(s). Figure 1.3 shows the unit operations of block copolymer self-assembly lithographic processes based on graphoepitaxy and chemoepitaxy. Figure 1.4 shows the unit operations of thermal and UV (photo) imprint lithographic processes. We explore in detail in Volume 3 of this book the technological praxis of these lithographies. In the present volume we restrict our focus to only the chemical interactional aspects of these lithographies.

Figure 1.1 Main lithographic imaging mechanisms.

8

Figure 1.2

Chapter 1

The semiconductor lithographic process, showing the unit operations involved.

Figure 1.3 Block copolymer self-assembly lithographic processes: (left) graphoepitaxy and (right) chemoepitaxy.

Overview of Chemistry in Lithography

Figure 1.4

(left) Thermal imprint and (right) UV imprint lithographic processes.

9

Chapter 2

The Semiconductor Lithographic Process Chemistry “That’s how it is,” says Pooh. A.A. Milne, Now We Are Six

2.1 Introduction Within a semiconductor fabrication facility, popularly called a “fab,” the lithography module occupies a very central position, literally in terms of the device fabrication process flow as well as in terms of the importance of the role it plays. Lithography is often considered the most critical step in integrated circuit (IC) fabrication, for it defines the critical dimension—the most difficult dimension to control during fabrication (e.g., polysilicon gate length)—of the device. This explains why the critical dimension (CD) in lithography is often used to define the device technology node or generation.1 It is estimated that lithography accounts for nearly one-third of the total wafer fabrication cost.2 The object of semiconductor lithography is to transfer patterns of ICs drawn on the mask or reticle to the semiconductor wafer substrate. The transfer is carried out by projecting the image of the reticle with the aid of appropriate optical elements of an exposure tool onto a radiation-sensitive resist material coated on the semiconductor wafer, typically made of silicon, and stepping the imaging field across the entire wafer to complete a layer. The shape of the IC pattern transferred to the wafer substrate is entirely dependent 1

M. Quirk and J. Serda, Semiconductor Manufacturing Technology, Prentice-Hall, New Jersey, pp. 336–337 (2001). 2 S. Campbell, The Science and Engineering of Microelectronic Fabrication, Oxford University Press, New York, p. 152 (1996).

11

12

Chapter 2

Adhesion promotion

Resist coating

Alignment

Exposure

Development

Hard bake

Prebake/ Soft bake

Post-exposure bake

Good

Measurement & inspection

To etch

Defective

Rework

Figure 2.1 Steps of the semiconductor lithographic process. The adhesion promotion step is often skipped when a bottom anti-reflection coating (BARC) is applied to the wafer substrate before the resist application step.

on the wafer layer being patterned. Examples of patterns include gates, isolation trenches, contacts, metal interconnects, and vias to interconnect metal layers. An advanced complimentary metal–oxide semiconductor (CMOS) IC can have more than 30 masking layers needed to pattern the multiple layers on a chip.3 Fabrication of an entire layer often entails processing the wafer through lithography before it undergoes subsequent operations in other modules such as etch and implant, etc. The steps in the semiconductor lithographic process are outlined in Fig. 2.1 and illustrated in Fig. 2.2 for a negative and a positive resist. A positive resist is a resist for which, when a film of it is coated on a substrate and is exposed to lithographic radiation, the exposed part becomes more soluble in the developer solution and is easily dissolved and washed away from the wafer during development, while the unexposed part is insoluble in the developer and is left behind on the wafer. The resulting image on the wafer is an identical copy of the mask. A negative resist is a resist for which, when a film of it is coated on a substrate and is exposed to lithographic radiation, the exposed part becomes crosslinked and insoluble in the developer solution, while the unexposed part becomes more soluble in the developer and is easily

P. Castrucci, W. Henley, and W. Liebmann, “Lithography at an inflection point,” Solid State Technol. 40(11), 127 (1997). 3

The Semiconductor Lithographic Process Chemistry

Figure 2.2

13

The semiconductor lithographic process.

dissolved and washed away from the wafer during development. The resulting image on the wafer is an inverse copy of the mask. The chemical and physical principles underlying each step in the semiconductor lithographic process are discussed at length in the following sections. Lithographic modeling comprising most of these steps is provided in Volume 3 in a unified manner, with a view to providing a framework for predicting lithographic outcomes given a defined set of input resist materials and process variables, and exposure conditions.

2.2 Wafer and Mask Priming Surface Chemistry The substrates commonly used in fabricating semiconductor devices are silicon wafers with silicon dioxide (SiO2) dielectric insulators on their surface, while the substrates used in fabricating lithographic masks and reticles are quartz, fused silica, ultralow-expansion glass materials, etc. At their core, the dielectric insulators on wafers and lithographic masks are made of silicon dioxide. Silicon dioxide is typically formed on the surface of the silicon wafer by thermal oxidation of the surface with oxygen or water vapor at a temperature

14

Chapter 2

between 1000 and 1200 °C. It is also possible to deposit the oxide layer onto a substrate that is not necessarily silicon basically by the vapor phase oxidation of silane with oxygen at a temperature between 400 and 500 °C.4 A fresh surface of thermally grown silicon dioxide, it must be pointed out, is hydrophobic. However, it quickly reacts with water vapor in the atmosphere to form silanol (Si–OH) and gradually becomes hydrophilic. In fact, the chemical vapor deposition of silicon dioxide forms only silanolated surfaces. Being hydrophobic, resists do not adhere well to hydrophilic surfaces such as SiO2 on wafers or on lithographic masks. These surfaces contain hydroxyl groups as illustrated in Reaction [2.1]. The adhesion failure of resist films on such surfaces is often observed during development or wet etching. As a result, a surface treatment to promote adhesion is necessary before the resist film is deposited on such surfaces.5 A method for enhancing adhesion of resist materials onto surfaces of silicon dioxide and other semiconducting substrates was invented by Collins and Deverse,6 and it involves subjecting the surface to an atmosphere containing the vapor of hexamethyldisilazane (HMDS)7 for a period at a temperature sufficient for the surface to react with this reagent (see Reaction [2.1]). In this reaction, HMDS acts as a silane-coupling agent that converts hydrophilic silanol groups on the surface into hydrophobic siloxanes.

CH3

OH

2

Si

+

HN

Si

CH3 CH3

2

H3C

CH3

Si

CH3

+ NH3

[2.1]

O

2 Si Hydroxylated silicon wafer surface (hydrophilic )

HMDS (adhesion promoter) HMDS-primed wafer surface (hydrophobic) Priming of silicon dioxide surface with HMDS

Normally, this silyl ether formation reaction is base catalyzed and requires the addition of, for example, an amine to proceed, unless the reagent contains

4

S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices and Fabrication Technology, Marcel Dekker, New York, p. 133 (1998). 5 ibid. 6 R. H. Collins and F. T. Deverse, “Process for improving photoresist adhesion,” U.S. Patent No. 3,549,368 (1970). 7 HMDS is a colorless liquid that boils at 125 °C.

The Semiconductor Lithographic Process Chemistry

15

its own base, as is the case with HMDS. The use of HMDS to chemically passivate the hydrophilic surface is notable in another way in that it yields only a gaseous reaction product, ammonia. Other similar adhesion promoters include trimehylsilyldiethylamine (TMSDEA), which can be more effective but also less stable than HMDS. Wafers with inorganic chemical vapor deposition (CVD) BARCs such as SixOyNz, SixNy, etc., are also typically primed for similar reasons. Substrate priming using either HMDS or TMSDEA or similar adhesion promoters can be carried out in either the liquid or the vapor phase. In either case, priming is done at elevated process temperatures around 100 °C. Substrates are typically cleaned with UV/ozone treatment, hydrofluoric acid (HF), plasma, or other oxidative cleaning methods, followed by dehydration bake at around 150 °C to remove adsorbed moisture, before the application of the adhesion promoter. Priming agents are typically best applied using vapor prime methods, either in-line or in batch vacuum ovens, whereby a stream of gaseous adhesion promoter is introduced into the adhesion oven for a set period, after heating the wafer, followed by a N2 purge and heating. Uniformity and reduced chemical usage make this approach a more attractive option than ambient temperature liquid treatment.8 Dilute HF dip is another commonly used approach in priming silicon wafers. HF dip has been determined not only to remove the surface SiOx layer, but also to generate hydrophobic Si-H and Si-F bonds on the surface.9 The role of priming, according to Moreau,10 is to adjust the surface energy of the wafer in a way that makes it comparable to the surface energy of the resist layer. Deviations occurring at both the high and low ends are consequential: insufficient priming can lead to adhesion failure, while overpriming can lead to dewetting. Priming can also impact the development time in wet processes. In conditions of high-fluence exposure such as the heavy UV exposure in ion implantation steps, over-priming can also lead to a phenomenon known as “popping,” in which nitrogen formed in the DNQ/ novolac-type resists may not escape from the film quickly enough through diffusion but may instead accumulate at the resist–wafer interface, weakening the adhesion between the two surfaces, and resulting in the formation of bubbles there. Under high fluence, these bubbles may explode and deposit resist debris on adjacent substrate areas.11 B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, Marcel Dekker, Inc., pp. 520–521 (1998). 9 M. Grundner, D. Graf, P. O. Hahn, and A. Schnell, “Wet chemical treatments of Si surfaces: chemical composition and morphology,” Solid State Technol., pp. 69–75, Feb. (1991). 10 W. A. Moreau, Semiconductor Lithography: Principles, Practices and Materials, Plenum Press, New York, pp. 289–291; 651–665 (1988). 11 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 101 (1993); B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, Marcel Dekker, Inc., p. 521 (1998). 8

16

Chapter 2

Figure 2.3

A sessile droplet of water on a wafer surface.

Remedies for mitigating over-priming include using shorter priming times, using resist solvents with lower surface tension, pre-rinsing the wafer surface with the resist casting solvent before resist coating, and including oxygen or ozone plasma treatments of the wafer.12 A method for monitoring the adhesion promotion process involves measuring the ability of fluids to wet the primed surface. This is typically accomplished by placing a sessile droplet of water on a primed wafer and measuring the contact angle (see Fig. 2.3). The shape of the sessile water droplet is governed by free surface energies of the interfaces in which it is in contact. Wetting is described using Young’s equation, relating the equilibrium contact angle u of the droplet to the surface tension g of the three interfaces (SV for solid–vapor interface, SL for solid–liquid interface, and LV for liquid– vapor interface) in the following way:13 gLV cos u ¼ gSV  gSL :

(2.1)

It should be pointed out, however, that a completely wetting fluid does not have an equilibrium contact angle. A properly primed wafer surface typically has water contact angle values of 50° , u ,70°. Hydrophobic surfaces are associated with larger contact angles, while hydrophilic surfaces have lower contact angles.14 The work of adhesion of the liquid to the solid has been shown to be15 WA ¼ gLV ð1 þ cos uÞ:

(2.2)

B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, Marcel Dekker, Inc., p. 521 (1998); H. J. Levinson, Principles of Lithography, 2nd ed., SPIE Press, Bellingham, Washington, pp. 57–59 (2005). 13 S. Wu, Polymer Interface and Adhesion, Marcel Dekker, Inc., New York (1982). 14 H. J. Levinson, Principles of Lithography, 2nd ed., SPIE Press, Bellingham, Washington, p. 59 (2005). 15 W. A. Zisman, “Relation of equilibrium contact angle to liquid and solid constitution,” in Contact Angle Wettability and Adhesion, Advances in Chemistry Series 43, American Chemical Society, Washington, D.C. (1964). 12

The Semiconductor Lithographic Process Chemistry

17

2.3 Resist Coating and Thin Film Instabilities After priming, the wafer is coated with a liquid solution of BARC (in the case of spin-on BARCs), baked, and cooled, before it is coated with a liquid solution of resist. Wafers with inorganic CVD BARCs are coated with resists immediately after priming. There are several methods of coating resists on wafers: spin coating, spray coating, and dip coating. The most widely used methods for coating resist in the semiconductor industry are spin-coating methods. 2.3.1 Resist spin-coating process In the spin-coating process, a precise amount of liquid resist is dispensed onto a wafer (either statically, i.e., with the wafer at rest, or dynamically, i.e., with the wafer rotating). The wafer is then spun at high speeds until the film thickness reaches a desired value, oftentimes corresponding to a stationary point on the swing curve of the given resist (Fig. 2.4). The process thus uses the dynamics of centrifugal forces to disperse a fluid of polymeric resist material over the entire wafer surface. The flow and rheological properties of the resist do influence the coating process and need to be considered to achieve optimal results.16 The final thickness and properties of the spin-coated film depend on the nature of the resist solution (viscosity, evaporation rate, percent solids, surface tension) and spin-coating parameters such as final rotation speed, acceleration, and fume exhaust.

(a)

(b)

(c)

Figure 2.4 Schematics of the spin-coating process, showing (a) liquid flow on a rotating wafer, (b) the velocity profile of the flowing liquid, and (c) a cross-section of a wedge-shaped portion of the flowing liquid.

D. E. Bornside, C. W. Macosko, and L. E. Scriven, “Modeling of spin coating,” J. Imag. Tech. 13, pp. 122–130 (1987). 16

18

Chapter 2

The diagrams in Fig. 2.4 are schematics of the spin-coating process, showing (a) liquid flow on a rotating wafer, (b) the velocity profile of the flowing liquid, and (c) a cross-section of a wedge-shaped portion of the flowing liquid. The variables and coordinates used in developing the mathematical equations governing this flow are also indicated in the above diagrams. The two main forces governing the spin-coating process are (1) the viscous forces of the fluid that retard the expulsion of material from the wafer and (2) centrifugal force, which serves to throw the fluid material outward, away from the wafer. The balance of viscous and centrifugal forces at each point during the spin-coating process determines the thickness of the fluid on the wafer substrate.17 Emslie, Bonner, and Peck18 were the first people to recognize this relationship; they reduced the equation of motion to this expression: h

dv ¼ rrv2 y, dy

(2.3)

where h is the viscosity of the liquid, r is the density of the liquid, v is the angular velocity of the liquid in radians/second (rad/s), h is thickness of the liquid at the distance r, v is velocity of the liquid in the plane (r direction), and r is distance in the radial direction. In their analysis, Emslie and co-workers assumed that the resist was a Newtonian fluid (with a linear relationship between shear stress and shear rate), flowing on a rotating infinite plane. In the following section, we examine their analysis to understand why a uniform film thickness is achieved by spin coating. The terms on the right-hand side of Eq. (2.3) represent centrifugal force (mrv2) acting at a distance r from the rotation axis; m is the mass of the liquid under consideration. This force creates a shear stress within the liquid, which is expressed as rrv2y, where y is the depth from the surface of the fluid. The terms on the left-hand side of Eq. (2.3), involving the radial velocity of the liquid at depth y, represent the viscous forces. If the liquid is Newtonian, the radial velocity of the liquid at depth y of Eq. (2.3) must satisfy the following boundary condition: V ¼ 0 at y ¼ h:

(2.4)

Integration of Eq. (2.3) with respect to y under the boundary condition shown in Eq. (2.4) results in 17

Excellent treatment of this subject has been provided elsewhere; see, for example, S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices and Fabrication Technology, Marcel Dekker, Inc., New York, Chapter 5 (1998). Here we emphasize only the key facts. 18 A. G. Emslie, F. T. Bonner, and L. G. Peck, “Flow of a viscous liquid on a rotating disk,” J. Appl. Phys. 29(5), 858–862 (1958).

The Semiconductor Lithographic Process Chemistry

v ¼ rv2

r 2 ðh  y2 Þ: 2h

19

(2.5)

Using the kinematic viscosity, k ¼ hr, Eq. (2.5) becomes v ¼ rv2

1 2 ðh  y2 Þ: 2k

(2.6)

Assuming that the rotational velocity is constant, and that the Coriolis force is negligible, the flow of the liquid is confined within a wedge-shaped portion shown in Fig. 2.4(c). The space velocity V [cm3/s] of the liquid passing through the cross-section of the wedge at the distance r, indicated by the hatching in Fig. 2.4(c), is calculated from Eq. (2.6) as Zh v2 V ¼ ru vdy ¼ r2 u h3 , 3k

(2.7)

0

where u is the wedge angle. The equation of volume balance in the flow is expressed as rudr

∂h ∂V ¼ dr, ∂t ∂r

(2.8)

where t is the time. Using Eqs. (2.7) and (2.8), we obtain the central equation governing spin coating:   ∂h v2 3 2 ∂h ¼ 2h þ 3rh : (2.9) 3k ∂t ∂r Solving Eq. (2.9) under a given initial condition yields the liquid film thickness h at a distance r from the rotation axis at a time t. Consider the case where the initial film thickness is uniform, that is, h ¼ h0 ¼ constant at t ¼ 0:

(2.10)

The last term in the right-hand side of Eq. (2.9) vanishes, and the equation becomes independent of r, yielding an analytical solution of the form 1 1 4v2 t: ¼ þ 3k h2 h20

(2.11)

Equation (2.11) shows that the film thickness decreases uniformly with increasing time.

20

Chapter 2

Next, we consider the general case where the initial film thickness varies along the radial direction. In this case, Eq. (2.9) cannot be solved analytically. However, numerical calculation shows that the solution very rapidly approaches a solution with uniform film thickness. Thus, it can be concluded that a spin-coating process using a Newtonian resist solution produces a uniform film thickness regardless of the initial film thickness profile.19 It should be pointed out, however, that Eq. (2.3) assumes Newtonian behavior, which complex polymeric resist and BARC fluids do not necessarily exhibit. Mass is not lost, neither from the radial flow of material nor from evaporation of solvent. Meyerhofer20 considered the effects of evaporation on the final film thickness. He reported that the final solid film thickness is inversely proportional to the square root of the rotational velocity. He also developed a model like the one considered above but that allows the solvent to evaporate during the spinning process. His central assumption was that the thinning process could be divided into two major stages, one dominated by radial flow outward and the other by evaporation of solvent. With this approach, he derived an expression for the final thickness hf in terms of solution parameters: 

e hf ¼ x 2ð1  xÞK

1∕3 ,

(2.12)

where e and K are the evaporation and flow constants defined below, and x is the solid content of the solution. The evaporation and flow constants are defined, respectively, as pffiffiffiffi e ¼ C v,

(2.13)

rv2 , 3h

(2.14)

K ¼

where v is the rotation rate, r is the solution’s density, h is its viscosity, and C is a proportionality constant that depends on whether airflow above the surface is laminar or turbulent and on the diffusivity of solvent molecules in air. It is assumed that the diffusion of solvent molecules in air is limited by diffusion of the evaporating molecules through the aerodynamic boundary layer above the surface of the wafer during spinning. 19

S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices and Fabrication Technology, Marcel Dekker, Inc., New York, Chapter 5 (1998). 20 D. Meyerhofer, “Characteristics of resist films produced by spinning,” J. Appl. Phys. 49(7), 3393–3997 (1978).

The Semiconductor Lithographic Process Chemistry

21

Meyerhofer’s expression for the rate of change of thickness is given by dh ¼ 2Kh3  e, dt

(2.15)

where h is the time-dependent height of the coating solution during spinning, e is the evaporation constant, and K is a flow constant. A plot of dh/dt versus 2h3 is easily made, and a linear regression of it can be used to extract e and K directly, where the slope is related to K and the intercept is related to e. Meyerhofer also assumed a constant rate of evaporation and expressed the viscosity concentration relationship as h ¼ hsolvent þ hsolid cg ,

(2.16)

where c is the concentration of the solid, and g has a value around 2.5. Taken together, Eqs. (2.12) and (2.15) do show that evaporation plays a significant role in determining the spin-coated film’s final thickness, uniformity, and quality in terms of defects. We discuss spin-coating defects in subsequent sections. Flack and co-workers21 developed a complex model that included the effects of evaporation on the rheological properties of the viscous fluid. Their work established the idea that only fluid viscosity, angular speed, and evaporative effects are important in determining the final film thickness. Dispense volume, dispense rate, and other factors seem not to be particularly critical in determining the final film thickness if the wafer is spun for a sufficiently long time. Yet, despite evaporative effects, the final thickness of the fluid hf can be well predicted with an inverse power law relationship [Eq. (2.17)], where C is a constant depending on the viscosity and contains the effects of viscous forces: hf ¼ CvN ;

(2.17)

where the exponent N indicates how inversely related the thickness is to spin speed. Most common fluids behave in a Newtonian manner, with N ¼ ½. Evaporation may also affect the value of N. Taking the logarithm of both sides of Eq. (2.17) and rearranging yields a linear equation that can be easily plotted to determine C and N: logðhf Þ ¼ N logðvÞ þ logðCÞ:

(2.18)

W. W. Flack, D. S. Soong, A. Bell, and D. W. Hess, “A mathematical model for spin coating of polymer resists,” J. Appl. Phys. 56, pp. 1199–1205 (1984). 21

22

Chapter 2

2.3.2 Characterizing ultrathin resist processes The comprehensive characterization of ultrathin resist (UTR) (≤100 nm) processes in terms of defectivity,22 manufacturability,23 and physical properties (structure, dynamics, stability, thermodynamic behavior, etc.)24 has been a central point of interest in semiconductor microlithography for quite some time. Despite many years of experimental and theoretical efforts along these lines, several basic questions remain to be answered. One of these issues is the fundamental lower physical limit of the resist thickness, below which lithographic patterning is not viable. For resists based on a poly(hydroxystyrene) (PHOST) platform, this lower limit has been determined to be around 60 nm, with the onset of film instability occurring at around 55 nm.25 For a host of other resist platforms, this lower limit is yet to be determined. This lower limit of UTR film thickness is of great importance in microlithography, particularly as the CDs required for manufacturing semiconductor devices continue to shrink toward the length scale of individual macromolecules. Due to the considerable optical absorption of conventional resist materials, particularly at 157 nm and 13.5 nm, UTR processes where imaging is confined to a very thin layer (≤100 nm) of the resist are promising options for implementing these lithographies. Another consideration for using UTR processes is the reduction of feature aspect ratio as a way of mitigating pattern collapse associated with high aspect ratios. Despite the above-mentioned advantages of UTR processes, practical considerations often impose a choice of resist and substrate that are not fully compatible, resulting in films that are unstable or metastable with finite relaxation times. While thick films (.300 nm) may be stable or metastable due to gravity,26 for thin films (≤100 nm), intermolecular and surface forces 22

S. W. J. Kuan, C. W. Frank, Y. H. Yen Lee, T. Eimori, D. R. Allee, R. F. Pease, and R. Browning, “Ultrathin polymer films for microlithography,” J. Vac. Sci. Technol. B 6(6), 2274 (1988); K. P. Muller and H. S. Sachdev, “Defect studies on single and bilayer resist systems,” J. Vac. Sci. Technol. B 10, 2560 (1992); K. E. Early, D. M. Tennant, D. Y. Jeon, P. P. Mulgrew, A. A. MacDowell, O. R. Wood II, G. D. Kubiak, and D. A. Tichenor, “Characterization of AZ PN114 resist for soft-x-ray projection lithography,” Appl. Opt. 32(34), 7044 (1993); U. Okoroanyanwu, J. Cobb, P. Dentinger, P. C. Henderson, V. Rao, and C. Pike, “Defects and metrology of ultrathin resist films,” Proc. SPIE 3998, 515 (2000). 23 K. B. Nguyen, C. Lyons, J. Schefske, S. Bell, H. J. Levinson, and U. Okoroanyanwu, “Characterization of the manufacturability of ultrathin resist,” J. Vac. Sci. Technol. B 17(6), 3039 (1999). 24 U. Okoroanyanwu, “Thin film instabilities and implications for ultra-thin resist process” J. Vac. Sci. Technol. B 18(6), 3381–3387 (2000); U. Okoroanyanwu, “Limits of ultra-thin resist process” Future Fab International 10, p. 157–163 (2001). 25 ibid. 26 P.-G. de Gennes, “Wetting: statics and dynamics,” Rev. Mod. Phys. 57, 827 (1985); F. Brochard, C. Redon, and F. C. R. Rondelez, “Démouillage: régime de gravité,” Acad. Sci. 2(306), 1143 (1988).

The Semiconductor Lithographic Process Chemistry

23

dominate.27 UTR films are susceptible to both spontaneous thin film instabilities due to London–van-der-Waals interactions and defects caused by substrate imperfections (topographical features like pits or mounds).28 Because UTR films have a higher surface/volume ratio than their thicker counterparts for any given feature size, they are highly interfacial. Each macromolecular component of a UTR system is close to an interface, either the solid substrate or the free surface interface. In the most extreme case, each macromolecule is confined within the solid interface and the free surface. Consequently, ultrathin-film material properties may be quite different from those of the bulk. In particular, the glass transition temperature Tg may be depressed or elevated by as much as 40 °C relative to the bulk values, depending on the film thickness and the chemical nature of the solid substrate upon which the film is deposited.29 This may affect the viscoelastic response of the film during subsequent thermal annealing. The interfacial properties of such polymer–inorganic interfaces often determine their lithographic performance and consequently the performance of devices fabricated with them. Establishing the lower thickness limit of UTR films and understanding and controlling the causes of defects associated with such films are major challenges for the near-term development of UTR process technology. It is difficult to produce ultrathin films that are uniform, continuous, defect-free, and stable to changes in shape after aging or thermal processing. These issues together determine the lower thickness limit of a given UTR film below which lithography is not viable. 2.3.3 Instabilities in UTR films Instabilities in UTR polymer films are manifested in two main ways: (1) defects resulting from the coating process, substrate nonuniformities, and conjoining pressure, and (2) discontinuities in the thermophysical properties of the films due to interfacial effects and polymer cooperative and surface dynamics. 2.3.4 Spin coating and instabilities in UTR films Consider the spin-coating process, in which centrifugal forces cause the spreading of fluids against viscous resistance. There are at least three definable 27

J. N. Israelachvili, Intermolecular and Surface Forces, Academic Press, London (1985). T. G. Stange, R. Mathews, D. F. Evans, and W. A. Hendrickson, “Scanning tunneling microscopy and atomic force microscopy characterization of polystyrene spin-coated onto silicon surfaces,” Langmuir 8, 920 (1992). 29 O. Prucker, S. Christian, H. Bock, J. Ruehe, C. W. Frank, and W. Knoll, “On the glass transition in ultrathin polymer films of different molecular architecture,” Macromol. Chem. Phys. 199(7), 1435–1444 (1998); J. N. D’Amour, C. W. Frank, and U. Okoroanyanwu, “Influence of substrate chemistry on the properties of ultra-thin polymer films,” Microelectronic Engineering 73–74, 209–217 (2004). 28

24

Chapter 2

stages in the process of flow and coating of substrates: movement of the contact line across the substrate, thinning of the film in the liquid state, and curing of the final film. Defects and pinholes can form in all three steps.30 During spin coating, topographical features like crystal originated pits (COPs) can give rise to pressure-driven ridges, which lead to film instabilities, which in turn lead to dewetting and the formation of pinholes. Van der Waals forces dominate in determining film stability31 of ultrathin films spin coated on nonwetting substrates (“nonwetting” in the sense that a drop of the solution makes a finite contact angle upon being placed on the substrate32). Such films can become unstable, and dewetting can occur. Experimental and theoretical studies suggest that dewetting begins with a nucleation event, leading to the formation of a dry patch.33 The hole grows by transport of material away from the nucleation site to a retreating rim surrounding the hole. As the hole grows, it eventually impinges on adjacent holes, resulting in the formation of ribbons of material along their contact line. Complete dewetting occurs when all holes have coalesced, forming polygons composed of isolated droplets of materials. For spin-coated ultrathin films, nucleation occurs by spinodal decomposition phenomena34 or by airborne particles falling on the surface of the film.35 Spinodal decomposition proceeds by amplification of surface disturbances on the free surface of the film due to thermal fluctuations or mechanical vibrations. Conjoining forces overwhelm the tendency for surface tension to level the film, thus driving the growth of surface modulation until they reach the substrate to nucleate a hole.

S. Kalliadasis, C. Bielarz, and G. M. Homsy, “Steady free-surface thin film flows over topography,” Physics of Fluids 12(8), 1889–1898 (2000). 31 H. S. Kheshgi and L. E. Scriven, “Dewetting: Nucleation and growth of dry regions,” Chem. Eng. Sci. 46(2), 519–526 (1991). 32 W. Adamson and A. P. Gast, Physical Chemistry of Surfaces, 6th edition, John Wiley & Sons, New York (1997). 33 H. S. Kheshgi and L. E. Scriven, “Dewetting: Nucleation and growth of dry regions,” Chem. Eng. Sci. 46(2), 519–526 (1991); A. Sharma, “Relationship of thin film stability and morphology to macroscopic parameters of wetting in the apolar and polar systems,” Langmuir 9(3), 861–869 (1993); G. Reiter, “Unstable thin polymer films: rupture and dewetting processes,” Langmuir 9(5), 1344–1351 (1993); C. Redon, F. Brochard-Wyart, and F. Rondelez, “Dynamics of dewetting,” Phys. Rev. Lett. 66(6), 715 (1991). 34 F. Brochard-Wyart and J. Daillant, “Drying of solids wetted by thin liquid films,” Can. J. Phys. 68(9), 1084–1088 (1990). 35 A. Sharma and E. Ruckenstein, “Dewetting of solids by the formation of holes in macroscopic liquid films,” J. Colloid Interface Sci. 133(2), 358–368 (1989); G. I. Taylor and D. H. Michael, “On making holes in a sheet of fluid,” J. Fluid Mech. 58(4), 625–639 (1973). 30

The Semiconductor Lithographic Process Chemistry

25

2.3.5 Hydrodynamics of UTR films The dynamic model of thin film instabilities has been described in detail elsewhere;36 we briefly summarize the relevant facts here. For an ultrathin film of mean thickness, h0 ,100 nm, with a gas above and a solid substrate beneath, Eq. (2.19) is the appropriate film profile equation for film thickness h(x, t), assuming a quadratic velocity profile, a no-slip condition at the solid surface, and a no-shear condition at the free surface:    ∂hðx, tÞ ∂ h3 ∂ ∂2 h A ¼ ; (2.19) g 2  ∂t ∂x 3h ∂x ∂x 6ph3 where h is the liquid viscosity, A is the Hamaker constant, g is the surface tension, x is a coordinate parallel to the surface, and t is time. Perturbations of the surface induce a pressure gradient associated with Laplace pressure PL37 [Eq. (2.20)] and disjoining pressure Π38 [Eq. (2.21)]:  2  d hðx, tÞ PL ¼ g ; (2.20) dx2   A : (2.21) Π¼ 6ph3 Such pertubations can be schematically described as modulations of the liquid free surface of the form:39 hðx, tÞ ¼ h0 þ uestþiqx ;

(2.22)

where u is the amplitude, q is the wavenumber q, and s is the frequency of disturbance. Linear stability analysis gives the dispersion relation:   q2 A 2 3 1∕t ¼ s ¼ , (2.23) gq h0 þ h 2ph0 where t is the time constant that describes the time scale for the disturbance to grow or decay. The dispersion relationship yields the critical wavenumber qc G. F. Teletzke, H. T. Davis, and L. E. Scriven, “How liquids spread on solids,” Chem. Eng. Commun. 55(1-6), 41 (1987). 37 A. Sharma and E. Ruckenstein, “Dewetting of solids by the formation of holes in macroscopic liquid films,” J. Colloid Interface Sci. 133(2), 358–368 (1989); G. I. Taylor and D. H. Michael, “On making holes in a sheet of fluid,” J. Fluid Mech. 58(4), 625–639 (1973). 38 B. V. Derjaguin, Kollid. Zh. 17, 191 (1955); B. V. Derjaguin, N. V. Churaev, and V. M. Muller, Surface Forces, Consultants Bureau, New York (1987). 39 A. Sharma and E. Ruckenstein, “Dewetting of solids by the formation of holes in macroscopic liquid films,” J. Colloid Interface Sci. 133(2), 358–368 (1989); G. I. Taylor and D. H. Michael, “On making holes in a sheet of fluid,” J. Fluid Mech. 58(4), 625–639 (1973). 36

26

Chapter 2

[Eq. (2.24)], which defines the stable and unstable regions of spatial frequencies. The critical wavenumber increases by 1/h02 as the film thickness h0 decreases, suggesting that an increasing region of spatial frequencies will be susceptible to film instabilities. The critical wavenumber is given as 1 qc ¼ 2 h0

sffiffiffiffiffiffiffiffiffi A : 2pg

(2.24)

For q , qc, (A/2ph0 . gq2h03), t is positive, and surface disturbances are amplified exponentially. These disturbances can continue to grow, eventually reaching the substrate and nucleating a hole. The minimum time constant tmin for unstable growth is given by Eq. (2.25) and occurs at q ¼ qmax, for which the rate of the disturbance growth is a maximum (see Fig. 2.5): tmin ¼

42 p2 hh50 g : A2

(2.25)

pffiffiffi 2qmax :

(2.26)

qmax is related to qc as follows: qc ¼

This indicates that disturbances in the frequency band below qc will grow more rapidly as h0 shrinks. As long as tmin is much smaller than the time required for resist spinning, drying, and curing, disturbances will grow exponentially and rupture the film before it has a chance to solidify. This also suggests that the number of pinhole-type defects in UTR films may scale with 1/h02.

Figure 2.5 Thin film stability dispersion curve of polystyrene film (A ¼ 810–20 J, g ¼ 4010–3 J/m2).

The Semiconductor Lithographic Process Chemistry

27

2.3.5.1 Instabilities and thermophysical properties of UTR films

Instabilities in UTR films can also be manifested as discontinuities in the thermophysical properties of the films due to interfacial effects and polymer cooperative and surface dynamics. Polymer surfaces are regions of enhanced molecular mobility as compared to the bulk, given the decreased constraints on macromolecules at a free surface. The orientation of the surface groups is affected by the nature of the interfacing environment. This implies that polymeric surfaces can restructure (in terms of orientation of surface functionalities, concentration of surface groups, etc.) in response to a change in the interfacial phase, to adjust their surface properties to the properties of the interfacial medium.40 Synthetic polymers exhibit substantial dependence of their mechanical, thermal, optical, and electrical properties on chemical composition, processing protocol, and morphological structure. Typically, bulk physical properties depend on the macromolecular nature of the material; the characteristic molecular dimension is the radius of gyration Rg, which is proportional to the square root of the molecular weight. The picture of polymers as amorphous solids, first proposed by P.J. Flory in 1953 and subsequently verified by neutron scattering, is well accepted for the bulk state, but is inaccurate for polymer films of constrained geometry, such as those whose film thickness is comparable to a small multiple of Rg.41 These results suggest that material properties of UTR films can differ in significant ways from those of their bulk counterparts. For example, physical properties such as the degree of crystallinity42 can not only be different in the ultrathin film state, but these properties become increasingly sensitive to film thickness, substrate surface energy, and local ordering into non-homogeneous and structured phases. Of particular interest, because of its influence on the viscoelastic behavior of the spin-coated film, is the effect of film thickness on Tg. A variety of experimental methods including spectroscopic ellipsometry,43 x-ray reflectometry,44 positron annihilation

40

F. Garbassi, M. Morra, and E. Ochiello, Polymer Surfaces: From Physics to Technology, 2nd edition, John Wiley & Sons, New York (1998). 41 For a general review, see, for example, I. C. Sanchez, Ed., Physics of Polymer Surfaces and Interfaces, Butterworth-Heinemann (1992). 42 M. M. Despotopoulou, R. D. Miller, J. F. Rabolt, and C. W. Frank, “Polymer chain organization and orientation in ultrathin films: A spectroscopic investigation,” J. Polym. Sci.: Pt. B: Polym. Phys. 34(14), 2335–2349 (1996); C. W. Frank, Stanford University, Private Communication (2001). 43 J. L. Keddie, R. A. L. Jones, and R. A. Coury, “Size-dependent depression of the glass transition temperature in polymer films,” Europhys. Lett. 27(1), 59 (1994). 44 J. H. van Zanten, W. E. Wallace, and W. L. Wu, “Effect of strongly favorable substrate interactions on the thermal properties of ultrathin polymer films,” Phys. Rev. E. 53(3), R2053 (1996).

28

Chapter 2

lifetime spectroscopy,45 and Brillouin scattering46 have shown that Tg depends on film thickness and on the chemical nature of the polymer/substrate interaction. For noninteracting substrates, e.g., a hydrophobic polymer on a hydrophobic substrate, the Tg value can be depressed by as much as 40 °C.47 For moderately strongly interacting substrates, e.g., an oxide surface interacting with a polymer capable of hydrogen bonding, the Tg value can be elevated by a comparable amount.48 Figure 2.6 shows Tg values for polystyrene film on a variety of substrates, including silicon with native oxide, evaporated gold on silicon, and a self-assembled monolayer (SAM) of 1-mercapto hexadecanoic acid. All of the films exhibit a depression in Tg with decreasing film thickness. Films on silicon substrates show the least Tg depression with decreasing film thickness, while polystyrene film on the SAM show the greatest drop in Tg, 12.5° C.49 104

o

Glass Transition Temperature ( C)

102 Bulk Tg by DSC

100 98 96 94 92

Silicon Gold SAM

90 88 86 10

20

30

40

50

60

70

80

90

100

Film Thickness (nm)

Figure 2.6 The glass transition temperature as a function of film thickness of polystyrene films on silicon, gold, and SAM surfaces (reprinted from D’Amour, Frank, and Okoroanyanwu50). 45

G. B. DeMaggio, W. E. Frieze, D. W. Gidley, M. Zhu, H. A. Hristov, and A. F. Yee, “Interface and surface effects on the glass transition in thin polystyrene films,” Phys. Rev. Lett. 78(8), 1524 (1997). 46 D. B. Hall, J. C. Hooker, and J. M. Torkelson, “Ultrathin polymer films near the glass transition: Effect on the distribution of a-relaxation times as measured by second harmonic generation,” Macromolecules 30(3), 667–669 (1997). 47 O. Prucker, S. Christian, H. Bock, J. Ruehe, C. W. Frank, and W. Knoll, “On the glass transition in ultrathin polymer films of different molecular architecture,” Macromol. Chem. Phys. 199(7), 1435–1444 (1998). 48 J. A. Torres, P. F. Nealey, and J. J. de Pablo, “Molecular simulation of ultrathin polymeric films near the glass transition,” Physical Review Letters 85(15), 3221 (2000). 49 J. N. D’Amour, C. W. Frank, and U. Okoroanyanwu, “Influence of substrate chemistry on the properties of ultra-thin polymer films,” Microelectronic Engineering 73–74, 209–217 (2004). 50 ibid.

The Semiconductor Lithographic Process Chemistry

29

Practical consequences of Tg modification include significant changes to dissolution and etching characteristics, mechanical creep behavior, and adhesion. For example, dissolution rate measurements of spin-coated films of poly(3-methyl-4-hydroxystyrene), a common matrix resin in chemically amplified resists used in deep-UV (DUV) lithography, showed a dramatic reduction in the diffusion coefficient of the basic developing solution in the region close to the native silicon oxide surface of the solid substrate.51 This was attributed to enhancement of the hydrogen bonding network due to chain orientation effects. In addition, the interaction of the first few hundred angstroms of the film with the substrate determines its adhesion and can alter its electrical and optical properties as well as its topographical and surface characteristics.52 A three-layer model was found by the author53 to adequately describe Shipley’s phenolic XP-98248 resist (a commercially available environmentally stable chemically amplified photoresist—ESCAP) film, thus suggesting the presence of three distinct layers for each film: film–substrate interface layer, bulk layer, and surface layer. This three-layer model is consistent with the results of molecular dynamics simulation obtained by Torres et al.,54 in which they were able to reveal the existence of low- or high-mobility layers near the substrate or near the air interface by changing the intermolecular potentials acting between the polymer segments and the interface. Also, this experimentally determined three-layer model validates the layer model proposed by Forrest and Mattson55 to describe the behavior of Tg in thin polystyrene films in terms of length scales for cooperative dynamics. They considered the film to have a region near the free surface with enhanced mobility (and lower Tg) compared to the bulk and a region near the substrate with reduced mobility and with a slightly higher Tg than the surface region.

51

C. W. Frank, V. Rao, M. M. Despotopoulou, R. F. W. Pease, W. D. Hinsberg, R. D. Miller, and J. F. Rabolt, “Structure in thin and ultrathin spin-cast polymer films,” Science 273(5277), 912–915 (1996). 52 L. B. Rothman, “Properties of thin polyimide films,” J. Electrochem. Soc. 127(10), 2116 (1980); M. M. Despotopoulou, R. D. Miller, J. F. Rabolt, and C. W. Frank, “Polymer chain organization and orientation in ultrathin films: A spectroscopic investigation,” J. Polym. Sci.: Pt. B: Polym. Phys. 34(14), 2335–2349 (1996). 53 U. Okoroanyanwu, “Thin film instabilities and implications for ultra-thin resist processes,” J. Vac. Sci. Technol. B 18(6), 3381–3387 (2000); U. Okoroanyanwu, “Limits of ultra-thin resist processes,” Future Fab International 10, 157–163 (2001). 54 J. A. Torres, P. F. Nealey, and J. J. de Pablo, “Molecular simulation of ultrathin polymeric films near the glass transition,” Physical Review Letters 85(15), 3221 (2000). 55 J. A. Forrest and J. Mattson, “Reductions of the glass transition temperature in thin polymer films: Probing the length scale of cooperative dynamics,” Physical Review E 61(1), R53 (1999).

30

Chapter 2

Figure 2.7 (left) X-ray reflectivity profile of 100-nm thick film. (right) X-ray reflectivity profile of 12.6-nm thick film. Circles are the experimental data, while the line represents the model fit. The resist used was Shipley XP-98248 resist.

The x-ray reflectivity (XRR) profiles of the films characterized by Okoroanyanwu (see Fig. 2.7)56 can be categorized into two different groups: high- and low-reflectivity oscillation fringe groups. The apparent differences are caused by differences in the density of the film–substrate interface layer and the surface layer. On average, weak reflectance fringes were obtained for the film–substrate interface layer in comparison to the bulk and surface layers, suggesting that the interface layer has lower density than the bulk and surface layers. This may also suggest weak adhesion or partial delamination in the film–substrate interface layer, particularly at a total film thickness of ,53 nm. Variations in the roughness of the surface layer do not appear to change the reflectance fringe amplitude. The “roughness” figures for the film–substrate interface and the bulk layers show rather large values (compared to the surface layer); they represent density gradient rather than interface roughness. Bulk film density shows no significant dependence on thickness.57 Figure 2.8 shows the thickness of each of the three layers observed by Okoroanyanwu58 as a function of total film thickness. The surface layer and substrate–film interfacial layer thickness remain practically constant at roughly ≤2 nm for a total film thickness of ≥30 nm, below which the surface layer thickness increases significantly to 5 nm (nearly 30% of the total film thickness) at a total film thickness of 16 nm. The 2-nm thickness of the surface layer is in excellent agreement with the cooperativity length scale in the 2.5- to 3.5-nm range for polystyrene estimated by Donth59 and the 2.5- to 56 U. Okoroanyanwu, “Thin film instabilities and implications for ultra-thin resist processes,” J. Vac. Sci. Technol. B 18(6), 3381–3387 (2000); U. Okoroanyanwu, “Limits of ultra-thin resist processes,” Future Fab International 10, 157–163 (2001). 57 ibid. 58 ibid. 59 E. Donth, “Characteristic length of the glass transition,” J. Polym. Sci., Part B: Polym. Phys. 34(17), 2881–2892 (1996).

The Semiconductor Lithographic Process Chemistry

31

100 90

Interfacial layer Bulk layer Surface layer

Layer thickness (nm)

80 70 60 50 40 30 20 10 0 10

30

50

70

90

Total film thickness (nm)

Figure 2.8 Film layer thickness as a function of specific layer thickness. The resist used was Shipley XP-98248 resist. The total film thickness is the sum of the thickness of the film– substrate interfacial, bulk, and surface layers. (Reprinted from Okoroanyanwu.60)

5.0-nm range estimated by Forest and Mattson.61 Since this film–substrate interfacial layer is directly affected by a combination of chain packing constraints and short-range attraction between the substrate and the segments of the polymer, its size will be determined by the persistence length of the polymer. The 2-nm thickness of the film–substrate interfacial layer is again in excellent agreement with the 2.7 nm reported by Forest and Mattson62 for polystyrene. Figure 2.9 shows film density as a function of total film thickness of XP98248 resist as determined by fitting the XRR data. In the 53- to 100-nm total film thickness range, the density of the three layers is relatively uniform, with a bulk layer density (1.3 g/cm3) greater than that of the surface layer (0.9– 1.2 g/cm3) and the film–substrate interface layer (0.9 g/cm3). While the density of the bulk layer remains relatively uniform between the 16-nm and 100-nm total film thickness range, that of the surface and film–substrate interface layers show significant fluctuations below 53 nm, suggesting the influence of polymer cooperative and surface dynamics on the surface layer and the influence of substrate–film interaction due perhaps to polymer chain packing constraints and short-range attraction between the substrate and the U. Okoroanyanwu, “Thin film instabilities and implications for ultra-thin resist processes,” J. Vac. Sci. Technol. B 18(6), 3381–3387 (2000); U. Okoroanyanwu, “Limits of ultra-thin resist processes,” Future Fab International 10, 157–163 (2001). 61 J. A. Forrest and J. Mattson, “Reductions of the glass transition temperature in thin polymer films: Probing the length scale of cooperative dynamics,” Physical Review E 61, R53 (1999). 62 ibid. 60

32

Chapter 2

Figure 2.9 Film layer density as a function of total film thickness as determined by x-ray reflectivity. The resist used was Shipley XP-98248 resist. (Reprinted from Okoroanyanwu.63)

monomeric units of the polymer in this region of the film. These also suggest the onset of thin film instabilities in this thickness range.64 Figure 2.10 shows a plot of film layer roughness as a function of total film thickness of XP-98248 resist as determined by fitting the XRR data. While the surface layer is relatively smooth [roughness measured by XRR was 0.4–0.5 nm, compared to the 0.2- to 0.3-nm RMS value obtained with the atomic force microscope (AFM)] through the total film thickness range of 16–100 nm, that of the interface between the bulk and surface layer shows significant fluctuations between 0.4 and 2.7 nm within this thickness range. The film–substrate interface layer, on the other hand, is smooth (roughness 0.5 nm) in the 47- to 100-nm total film thickness range, below which it shows significant roughness of up to 4.0 nm at a thickness of 30 nm. This result indicates the influence of film–substrate interfacial effects and consequently thin film instabilities.65 2.3.6 Ultrathin film defectivity UTR film defects fall into two main categories based on the mechanism of their origin: Marangoni-effect-driven defects and non-Marangoni effectdriven defects. Both defect types are discussed below. 63

ibid. ibid. 65 ibid. 64

The Semiconductor Lithographic Process Chemistry

33

4.5 4

Interfacial layer Bulk layer

Layer roughness (nm)

3.5

Surface layer

3 2.5 2 1.5 1 0.5 0 10

30

50

70

90

Total film thickness (nm)

Figure 2.10 Film layer roughness as a function of total film thickness as determined by x-ray reflectivity. The resist used was Shipley XP-98248 resist. (Reprinted from Okoroanyanwu.66)

2.3.6.1 Marangoni-effect-driven ultrathin film defects

It is well established that a surface tension gradient along a fluid–fluid interface can cause strong convective effects. The motions induced in liquids because of surface tension gradients are collectively called Marangoni effects.67 Such gradients may occur in pure liquids when a temperature inhomogeneity exists in the system; this is the so-called thermal Marangoni effect (thermocapillary flow).68,69 Another Marangoni effect phenomenon is the solutal Marangoni effect (solvatocapillary flow), which is caused by the concentration gradients of surface-active materials at the interface, due, for example, to chemical reactions,70,71 to evaporation,72,73 or to dilatation/compression of the 66

ibid. L. E. Scriven and C. V. Sternling, “The Marangoni effects,” Nature 187, 186 (1960). 68 D. Schwabe, U. Moller, J. Schneider, A. Scharman, “Instabilities of shallow thermocapillary liquid layers,” Phys. Fluids A, 4(11), 2368 (1992). 69 C. Saedeleer, A. Garcimartin, G. Chabepeyer, and J. K. Platten, “The instability of a liquid layer heated from the side when the upper surface is open to air,” Phys. Fluids 8(3), 670 (1996). 70 W. D. Vedove and A. Sanfeld, “Hydrodynamic and chemical stability of fluid-fluid reacting interfaces: II. Two fluctuating species: Analytical study of aperiodic regimes,” J. Colloid Interface Sci. 84(2), 328–336 (1981). 71 Z. D. Koshoukharova and S. G. Slavchev, “Influence of the surface deformability on Marangoni instability in a liquid layer with surface chemical reaction: I. Stationary convection,” J. Colloid Interface Sci. 148(1), 42–55 (1992). 72 X. Fanton and A. M. Cazabat, “Spreading and instabilities induced by a solutal Marangoni effect,” Langmuir 14, 2554–2561 (1998). 73 S. K. Wilson, “The derivation and analyses of a model of the drying process of a paint film,” Surface Coatings Int. 4, 162 (1997). 67

34

Chapter 2

surface.74 In both cases, instabilities can develop at the free interface. These instabilities have been the subject of many theoretical75,76,77 and experimental78,79,80 studies. The Marangoni effects are responsible for a wide range of interesting physical properties in nature, including the “legs’ seen above the meniscus in a wine glass,81 and the rapid spreading of surfactant over a surface, starting from a single droplet.82 Historically, the discovery of the Marangoni effect goes back to Thomson83 and Marangoni.84 Bénard85 did the first systematic study of the resulting pattern in plane liquid layers, exposed to a steady temperature gradient. Pearson86 applied linear stability theory to Bénard’s experiments and showed that gradients in surface tension are the driving force behind these phenomena, and by so doing established the critical conditions for the onset of what is now referred to as surface-tension-driven Bénard convection. Defects arising from Marangoni effects during spin coating are mostly mediated through evaporation. These evaporation-driven defects arising from thin film instabilities are driven by thermal and chemical transport, mediated by surface tension during the film-forming process. The relevance of this phenomenon to spin coating is that transient conditions that drive the surface layer toward higher surface tension will be intrinsically unstable with respect

74

T. S. Sorensen, in Lecture Notes in Physics 105, T. S. Sorensen, Ed., Springer-Verlag, Berlin, p. 1 (1979). 75 L. E. Scriven and C. V. Sternling, “On cellular convection driven by surface-tension gradients: effect of mean surface tension and surface viscosity,” J. Fluid Mech. 19, 321 (1964). 76 M. K. Smith and S. H. Davis, “Instabilities of dynamic thermocapillary liquid layers,” J. Fluid Mech. 132, 119 (1983). 77 J. F. Mercier and C. Normand, “Bouyant-thermocapillary instabilities of differently heated liquid layers,” Phys. Fluids 8(6), 1433 (1996). 78 D. Villers and J. K. Platten, “Coupled buoyancy and Marangoni convection in acetone: Experiments and comparison with numerical simulations,” Fluid Mech. 234, 487–510 (1992). 79 F. Daviaud and J. M. Vince, “Raveling waves in a fluid layer subjected to a horizontal temperature gradient,” Phys. Rev. E. 46(6), 4432 (1993). 80 E. Favre, L. Blumenfeld, and F. Daviaud, “Instabilities of a liquid layer locally heated on its free surface,” Phys. Fluids, 9(5), 1473–1475 (1997). 81 J. Thomson, “On certain curious motion observable at the surfaces of wine and other alcoholic liquors,” Philos. Mag. 10, 330–333 (1855). 82 D. P. Gaver and J. B. Grotberg, “Droplet spreading on a thin viscous film,” J. Fluid Mech. 235, 399–414 (1992). 83 J. Thomson, “On certain curious motion observable at the surfaces of wine and other alcoholic liquors,” London Edinburgh Dublin Phil. Mag. J. Sci. 10, 330–333 (1855). 84 C. Marangoni, “Über die ausbreitung der tropfen einer flüssigkeit auf der oberflache einer anderen,” Ann. Phys. 219, 337–354 (1871). 85 L. Bénard, “Les tourbillons cellulaires dans une nappe liquide: Méthodes optiques d’observation et d’enregistrement,” J. Phys. Theor. Appl. 10(1), 254–266 (1901). 86 J. Pearson, “On convection cells induced by surface tension,” J. Fluid Mech. 4, 489–500 (1958).

The Semiconductor Lithographic Process Chemistry

35

to surface motions and, therefore, would lead to defects, as described in more detail below. 2.3.6.1.1 Spin-coating defects and their origins

As shown in Eq. (2.15), evaporation plays a significant role in determining the final thickness of a spin-coated film. The evaporation rate of the resist solution during spin coating depends on the nature of the fluid in terms of the volatility of the solvent system in it, as well as on the air surrounding the wafer during the spin process. Factors such as temperature and humidity play a significant role in determining the coated film properties. As such, the airflow and associated turbulence above the wafer must be minimized, or at least held constant, during the spin coating process. For this reason, the spin coater is typically housed in an environmentally controlled closed bowl with exhaust ports designed to slow down the solvent evaporation rate of resist during spin coating and minimize the susceptibility of the resist to ambient humidity variations as well as to unwanted air turbulence and eddy current. This slowed rate of drying offers the advantage of improved film thickness uniformity across the substrates. The resist fluid dries out as it moves toward the edge of the substrate during the spin coating, leading to radial thickness variation since the fluid viscosity changes with distance from the center of the substrate. By slowing the evaporation rate of the resist solvent during spin coating, it is possible to maintain a near-constant viscosity across the entire substrate. The evaporation rate and thus the resulting final film thickness is also affected by the ambient humidity. Variations in only a few percent relative humidity can cause substantial changes in film thickness. The housing of spin coaters in closed bowls ensures that the vapors of the resist solvents form an atmosphere over the resist film, thereby helping to mitigate the effects of minor humidity variation. As described above, evaporation impacts coating uniformity and coating quality in several ways that manifest as chuck marks, striations, and environmental sensitivity defects in the spin-coated film. Another spin-coating film defect that, although not directly related to evaporation but caused by surface tension, is edge bead defects. We provide a brief explanation of these defects below. 2.3.6.1.1.1 Chuck marks

Chuck mark defects (see Fig. 2.11) result from thermal differences between the top and backside of the wafer closest to the chuck. This defect occurs when the chuck has a different ambient temperature compared to the wafer. Differences in thermal contact between the wafer and the vacuum chuck (mostly metallic) result in areas of the wafer with good contact counteracting the evaporative cooling process better and allowing heat to return to those actively cooled regions faster than areas of the wafer with poor thermal contact. The chuck

36

Chapter 2

Figure 2.11 Photos of a chuck mark defect on a glass substrate. (top) Spin-coated film on a glass substrate showing thickness nonuniformities and (bottom) Vacuum chuck used for spin coating the film. The cross-shaped trough and the O-ring location from the vacuum chuck are duplicated by thinness variations in the film.87 (Reprinted from Birnie, Zelenski, Marvel, et al.87)

mark looks like a circle of a different color that is the same size as the spin chuck. The origin of chuck marks is related to evaporation of solvent and the localized cooling that is caused by evaporation.88,89 This evaporative cooling mediates a feedback process, which lowers evaporation by reducing the local vapor pressure of the solvent. As such, slightly cooler regions have slightly thinner coatings,90 as indicated in Eq. (2.15). 87

D. P. Birnie III, B. J. J. Zelinski, S. P. Marvel, S. M. Melpolder, and R. Roncone, “Film/substrate/vacuum-chuck interactions during spin-coating,” Opt. Eng. 31, 2012–220 (1992). 88 ibid. 89 D. P. Birnie III, B. J. J. Zelinski, and D. L. Perry, “Infrared observation of evaporative cooling during spin-coating processes,” Opt. Eng. 34, 1782 (1995). 90 D. P. Birnie III, “Rational solvent selection strategies to combat striation formation during spin coating of thin films,” J. Mater. Res. 16(4), 1145–1154 (2001).

The Semiconductor Lithographic Process Chemistry

37

Figure 2.12 Optical micrograph of typical striation defects obtained on film of Pb(ZrTi)O3 sol–gel film spin-coated on silicon wafer. The color variations are due to thickness differences. (Reprinted from Assink and Schwartz91 with permission from American Chemical Society.)

2.3.6.1.1.2 Striations

These defects are radial ridges and thickness undulations that point directly along the flow direction during the spin-coating process. Figure 2.12 shows a representative optical micrograph of striations obtained in sol–gel-derived Pb(ZrTi)O3 spin-coated films.92 The differences in the brightness of the striation patterns are due to interference effects and represent moderate thickness modulations with a nominal periodicity of about 100 mm. We explain the physical origin of these defects in subsequent sections. 2.3.6.1.1.3 Comets, streaks, or flares

Comets, streaks, and flares are different names for the type of defect that occurs when relatively large solid particles impede the normal radial flow patterns of the solution on the spinning wafer. Figure 2.13 shows images of a typical comet defect. 2.3.6.1.1.4 Humidity-variation-caused defects

Because water plays a significant role in the chemistry of some coating solutions, variations in the amount of airborne water (humidity) in the vicinity of a film undergoing spin coating can affect the quality of the obtained film. 91

PZT coatings were made using a technique developed by R. A. Assink and R. W. Schwartz, “Proton and carbon-13 NMR investigations of lead zirconate titanate (Pb(Zr,Ti)O3) thin film precursor solutions,” Chem. Mat. 5, 511–517 (1993). 92 ibid.

38

Chapter 2

Figure 2.13 Images of comets obtained on a film spin-coated onto a silicon wafer: (left)93 and (right).94

The effects can manifest as roughness, microcracking of the coating upon further drying, etc. 2.3.6.1.1.5 Wafer edge defects

The centrifugal force that underpins the spin-coating process is not uniform throughout the entire wafer; rather, it scales linearly with the radius, with the wafer edge experiencing the highest magnitude of the force. Complicating this process is the fact that once the radially outward flowing solution reaches the edge of the wafer, surface tension effects can make it difficult for the solution to detach from the wafer. A “bead” of liquid can stay attached around the entire perimeter of the wafer, resulting in thicker coatings in this rim zone than at inner locations on the wafer (Fig. 2.14). This results in coating nonuniformity. For substrates that are not round (for example, square or rectangular substrates), the air flow over their corners during spin coating are

Figure 2.14 Optical image of edge bead defects on a silicon wafer.95

93

https://www.brewerscience.com/processing-theories/spin-coat/ Image from Daeeon Jung, University of Massachusetts Amherst. 95 ibid. 94

The Semiconductor Lithographic Process Chemistry

39

prone to air turbulence at such places, and this leads to non-uniformity in the coating thickness in such areas. 2.3.6.1.2 The role of evaporation in spin-coating defects

Evaporation from the surface of the spin-coating solution is the driver of two processes that in turn cause unwanted capillary effects in films during spin coating. Evaporation can cause a compositional gradient at the surface of the spin-coating solution as volatile species leave and less-volatile components are left behind. Evaporation can also cause evaporative cooling at the top surface of the spin-coating solution. Both effects can lead to higher surface tension at the top surface of the spin-coating solution during spin coating than the solution had before spin coating. Figure 2.15 is a plot of temperature dependence of surface tension for some common solvents used in resist formulations in comparison to that of water. Given that surface tension tends to be inversely related to temperature, thermocapillary effects will generally result in an evaporation process that

Figure 2.15 Temperature dependence of surface tension for some common solvents (data from CRC Handbook of Chemistry and Physics, 1993–1994 edition96).

CRC Handbook of Chemistry and Physics, “Surface Tension of Common Liquids,” D. R. Lidde, Ed., 74th edition, pp. 6-144 to 6-155, Chemical Rubber Co., Boca Raton (1993–1994). 96

40

Chapter 2

causes the top surface of the film to have a slightly larger surface tension than locations inside the film as the spin-coating process proceeds. The compositional dependence of surface tension is more complicated and nonlinear, depending on whether the constituents in the solution are surface active or attracted to the free surface. It must be noted that the magnitude of the compositional dependence of surface tension can be substantially larger than capillary effects arising from temperature differences. In other words, compositional effects are stronger than thermal effects on surface tension. This is evident in Fig. 2.15, for instance, in the surface tension–temperature curves for the organic solvents (cyclohexanone, butyl acetate, m-, o-, and p-xylene) and water, where the difference in the surface tension between each of the organic solvents and water within the indicated temperature range is significantly larger than the surface tension changes associated with each of the solvents over the same indicated temperature range. Finite difference modeling shows that, in early stages of spin coating, even thermocapillary instability may be driven by evaporative cooling if the solvent is volatile enough.97 2.3.6.1.2.1 Mechanism of capillary-driven flow instabilities in spin-coating systems

The mechanism by which capillary forces at the surface of thin films cause instability and drive fluid motion, resulting in spin coating defects such as striations, discussed above, is initiated once the right conditions are met. The conditions that tend to drive this instability are those where the top surface layer of the spin-coating solution evolves such that its surface tension is larger than the starting solution. These conditions are initiated and thus become unstable when some perturbations away from the ideal surface flatness of the spin-coating solution evolve by the gradual and continual increase in their amplitude. For instance, evaporation during spin coating can deplete the near-surface region of solvents, pushing the surface tension value up slightly. This results in a surface tension gradient between distinct locations on the top surface and adjacent areas with lower surface tension. Random fluctuations in local surface tension cause lateral fluid areas of lower surface tension to flow to areas with higher surface tension, to the extent allowed by the viscosity of the fluid and the applicable boundary conditions of the surroundings. This motion causes the local surface tension difference to be amplified, creating thickness undulations as seen in striations that grow with time.98 Figure 2.16 illustrates schematically the basic capillary instability described above and shows the local fluid motion that results from differences D. P. Birnie III, B. J. J. Zelinski, and D. L. Perry, “Infrared observation of evaporative cooling during spin-coating processes,” Opt. Eng. 34, 1782 (1995). 98 D. P. Birnie III, “Rational solvent selection strategies to combat striation formation during spin coating of thin films,” J. Mater. Res. 16(4), 1145–1154 (2001). 97

The Semiconductor Lithographic Process Chemistry

41

Figure 2.16 Schematic representation of the mechanism by which striations develop during spin coating. Evaporation at the surface depletes the near surface concentration of the solvent, increasing the surface tension ever so slightly. Random fluctuations in the local surface tension cause lateral fluid motion, which in turn, causes the local surface tension differences to be amplified, and resulting in thickness undulations that grow with time. (Adapted from Birnie.99)

in surface capillary forces of the coating. The regions that have local high surface tension pull material actively from neighboring regions with lower surface tension. In this way, the solution morphology develops such that higher surface tension regions have slightly higher thickness (i.e., become small hills) and lower surface areas have slightly lower thickness (i.e., become valleys). Taken together, the hills and valleys form a somewhat sinusoidal morphology for thickness versus lateral position. The solution to the steady state thermocapillary convective flow problem was first solved by Pearson.100 From this solution, stable cellular convection results when the temperature gradient is steep enough. The physical characteristics of the solution are useful in determining whether the thermocapillary driving force is large enough to drive the instability. The dimensionless Marangoni number Mn, used to characterize the phenomenon, is given by   ds HDT dT Mn ¼ , (2.27) ha where ds/dT is the change in surface tension with temperature, H is the fluid thickness, DT is the temperature differential between the top and the bottom of the film, h is the viscosity, and a is the thermal diffusivity. Stable convection occurs when Mn is larger than about 80.101 99

ibid. J. R. A. Pearson, “On convective cells induced by surface tension,” J. Fluid Mech. 4, 489 (1958). 101 D. A. Nield, “Surface tension and buoyancy effects in cellular convection,” J. Fluid Mech. 19, 341 (1964). 100

42

Chapter 2

In transient conditions, as with spin coating, the thermal gradient is not uniform through the entire depth of the fluid; a relatively steep temperature gradient at the surface drives convection.102,103 Relative stability under transient conditions is given by   ds H 2 ∇T dT Mn ¼ , (2.28) ha where ∇T is the temperature gradient at the surface, which implicitly requires a quadratic dependence on the total thickness of the film. Conditions during spin coating that are susceptible to thermocapillary convection have been determined by Birnie.104 Birnie established that solvent volatility plays a significant role in determining the sensitivity to thermocapillary convention. Additionally, he determined that because of the squared dependence on H in Eq. (2.28), once the coating thins down to about 10% of its initial dispense thickness, it is practically not susceptible to thermocapillary striation formation. When the capillary driving force is due to a composition gradient rather than a temperature gradient, Eq. (2.28) can be reformulated to provide insight into composition-gradient-driven convection as   ds H 2 ∇C dT Mn ¼ , (2.29) hD where C is the relevant composition variable, and D is the diffusion rate of the component driving the composition-dependent surface tension change. Here, the composition gradient near the surface provides the driving force for the capillary-driven fluid flow. In situations where steady state convection is not fully established, it is possible to observe long-wavelength instabilities that have a characteristic size much longer than the film thickness.105,106,107 The development of these instabilities has not been fully elucidated for systems where the coating thickness changes rapidly with time, as is obtained in spin coating. 102

ibid. A. Vidal and A. Acrivos, “Effect of nonlinear temperature profiles on the onset of convection driven by surface tension,” Ind. Eng. Chem. Fundam. 7(1), 53 (1968). 104 D. P. Birnie III, “Rational solvent selection strategies to combat striation formation during spin coating of thin films,” J. Mater. Res. 16(4), 1145–1154 (2001). 105 D. J. Benney, “Long waves on liquid films,” J. Math. Phys. 45, 150 (1966). 106 M. K. Smith, “The mechanism for the long-wave instability in thin liquid films,” J. Fluid Mech. 217, 469–485 (1990). 107 A. Oron, S. H. Davis, and S. G. Bankoff, “Long scale evolution of thin films,” Rev. Mod. Phys. 69, 931–980 (1997). 103

The Semiconductor Lithographic Process Chemistry

43

2.3.6.2 Non-Marangoni effect-driven ultrathin film defects

Typical examples of UTR defects that are not Marangoni effects in origin are shown in Fig. 2.17. The defects are categorized into four types: crystal originated pits (COPs) (associated with substrate imperfections), bubbles (associated with trapped gas), particles, and “fall-on” particles (the last two being associated with discrete particles of various sizes and origins). Bursting of bubbles of the kind shown in Fig. 2.17 will result in holes in the film (see Fig. 2.18). Other investigators reported pinhole defect density data that scale roughly as the inverse of the square of the film thickness,108 which can be derived from dynamic arguments,109 as shown in Eq. (2.24). Spinodal decomposition dominates the nucleation process under clean room conditions, while particle nucleation increases in higher-aerosol-concentration environments.110

Figure 2.17 Scanning electron microscopy (SEM) images showing four defect classes identified in UTR film of Shipley UV6 and XP-98248 resists (reprinted from Okoroanyanwu111).

108 S. W. J. Kuan, C. W. Frank, Y. H. Yen Lee, T. Eimori, D. R. Allee, R. F. Pease, and R. Browning, “Ultrathin polymer films for microlithography,” J. Vac. Sci. Technol. B 6(6), 2274 (1988); K. P. Muller and H. S. Sachdev, “Defect studies on single and bilayer resist systems,” J. Vac. Sci. Technol. B 10, 2560 (1992); K. E. Early, D. M. Tennant, D. Y. Jeon, P. P. Mulgrew, A. A. MacDowell, O. R.Wood II, G. D. Kubiak, and D. A. Tichenor, “Characterization of AZ PN114 resist for soft-x-ray projection lithography,” Applied Optics 32(34), 7044 (1993). 109 G. F. Teletzke, H. T. Davis, and L. E. Scriven, “How liquids spread on solids,” Chem. Eng. Commun. 55(1–6), 41–82 (1987); B. V. Derjaguin, Kollid. Zh. 17, 191 (1955); B. V. Derjaguin, N. V. Churaev, and V. M. Muller, Surface Forces, Consultants Bureau, New York (1987); G. Reiter, “Dewetting of thin polymer films,” Phys. Rev. Lett. 68, 75 (1993). 110 T. G. Stange, R. Mathews, D. F. Evans, and W. A. Hendrickson, “Scanning tunneling microscopy and atomic force microscopy characterization of polystyrene spin-coated onto silicon surfaces,” Langmuir 8, 920 (1992). 111 U. Okoroanyanwu, “Limits of ultra-thin resist processes,” Future Fab International 10, 157–163 (2001).

44

Chapter 2

Figure 2.18 Evolution of a bubble defect (a) formed at the resist coating, (b) through development, and (c) patterning with the ASML PAS5500/300 KrF stepper. Unexposed resist loss for the UTR film was 6–10 nm. The poly-Si patterns are 180-nm lines and spaces. Film thickness was 100 nm. (Reprinted from Okoroanyanwu.112)

2.3.6.3 Patterning implications of UTR film instabilities

Given the enhanced surface mobility, which is a consequence of the decreased surface density—hence, the increased free volume of UTR film surfaces relative to the bulk and that of the film/substrate interfacial region—the lithographic properties of UTR films are bound to be dominated by interfacial effects. The surface region of such films is usually saturated with water or other solvents that can act as plasticizers. Thus, the Tg value of the surface chains can be further lowered with respect to the bulk chains.113 The differences between the surface and bulk properties of UTR films can have a significant influence on their dissolution, etching, and diffusional properties.114 Figure 2.19 shows SEM images of line-and-space patterns printed with 60-nm-thick XP-98248 resist on bare silicon and exposed at 157 nm, highlighting the dominant influence of polymer cooperative and surface dynamics near the surface region and interfacial effects related to polymer packing constraints near the substrate region. Poor profiles such as these are also obtained when the same film is processed on anti-reflection coatings (ARCs), implying that the poor lithographic performance of this UTR film cannot be solely due to the reflective swing effects from the silicon substrate. Significant undercutting suggests enhanced dissolution in the film–substrate interfacial region relative to the bulk layer. This is consistent with the XRR results obtained on a similar film of the same resist (see Fig. 2.9), which show the film–substrate interface layer to have a lower density than the bulk layer. This may also be related to polymer chain packing constraints and weak 112

ibid. F. Garbassi, M. Morra, and E. Ochiello, Polymer Surfaces: From Physics to Technology, 2nd edition, John Wiley & Sons, New York (1998). 114 U. Okoroanyanwu, “Thin film instabilities and implications for ultra-thin resist processes,” J. Vac. Sci. Technol. B 18(6), 3381–3387 (2000); U. Okoroanyanwu, “Limits of ultra-thin resist processes,” Future Fab International 10, 157–163 (2001). 113

The Semiconductor Lithographic Process Chemistry

180-nm line-and-space features (pitch = 1:1.5)

45

130-nm line-and-space features (pitch = 1:2)

Figure 2.19 SEM images of line-and-space patterns printed with 60-nm-thick Shipley XP-98248 resist on bare silicon and exposed at 157 nm. Process conditions: post-applied bake ¼ 130 °C/60 s, post-exposure bake ¼ 130 °C/90 s, developer ¼ 0.26N tetramethylammonium hydroxide (without surfactant) for 20 s. Unexposed resist loss ¼ 6 nm, exposure energy ¼ 1.35 mJ/cm2. Note the significant surface inhibition layer, showing poisoning effects. (Reprinted from Okoroanyanwu.115)

adhesion or even partial delamination between the polymer and the substrate. Lithographic patterning of such films presents significant difficulties unless ways to mitigate or eliminate such instabilities, be it by manipulation of substrate surface energies, orientation effects, and/or temperature, are implemented.116 There may also be functional groups in the resist polymer film migrating back and forth on the surface and subsurface region, depending on the environmental conditions. Resist additives such as leveling agents, plasticizers, bases, photoacid generators (PAGs), etc., can segregate and partition themselves at different layers of the film, driven by interfacial effects, which in turn are magnified as the film thickness decreases. The significant edge roughness in the resist patterns of Fig. 2.19 is consistent with uneven distribution of these additives, particularly the PAG. Uneven distribution of the PAG in the film leads to non-uniform propagation of the chemical amplification reactions and related photochemical processes during the exposure and post-exposure bake steps of the film. Eventually this results in uneven dissolution of the film during the solvent development step. Such UTR films will have higher susceptibility to poisoning effects and unexposed film thickness loss than their thicker counterparts.117

2.4 Resist Soft Bake Chemistry Following the spin coating of the resist on the wafer, the next processing step is the soft bake (also called prebake). The purpose of this bake is to densify the film and drive off residual solvent. Other consequences of soft baking include a reduction of free volume and polymer relaxation, both of which have been 115

ibid. ibid. 117 ibid. 116

46

Chapter 2

suggested to be useful in improving resist process performance.118 The soft bake also improves adhesion of the resist to the substrate, promotes resist uniformity on the wafer, and aids in better linewidth control during etching. Figures 2.20(a) through (d) show the mechanisms of different physical processes taking place during the first 10 s of soft baking a typical resist on a vacuum hot plate.119 Figure 2.20(a) shows that the temperature of the wafer increases with an initial rapid rise during the first seconds of baking, before leveling off after 3 s. Figure 2.20(b) shows the solvent loss during this time, which continues to decrease well out to 10 s and beyond. Figure 2.20(c) shows the impact of baking time on diffusivity, which rises during the initial few seconds, reaching a maximum at about 2 s, before decreasing, and reaching a steady state at around 8 s. Figure 2.20(d) shows a typical change in resist thickness during baking, which decreases as the resist density increases. Typical soft bake temperatures are 90–120 °C for 60 s on a hot plate, followed by a cooling step on a chill plate to achieve uniform wafer temperature control. The boiling points of most resist solvents are on the order of 140 °C, far higher than the soft bake temperatures of resists. Temperature control is important for soft-baking processes, the latter becoming increasingly critical as the IC industry shifts the bulk of production to chemical amplification resists.120 To achieve the stringent temperature uniformity specifications necessary for the tight CD control specifications for advanced semiconductor lithography, careful consideration of the vacuum hot plate design, including uniform wafer-to-hot-plate contact, airflow, thermal management, contamination control, are mandated.121 Even with prebaking, a considerable amount of solvent remains in the resist film122 (Fig. 2.21). A small percentage of residual solvent in the film is quite desirable for resists based on DNQ/novolac; this enhances water diffusion within the film, thus making it possible for water to participate in the conversion of ketene (an intermediate in the photolysis of DNQ) to indene carboxylic acid, which enhances the dissolution of the exposed part of the resist. In general, chemical amplification resists [based on a poly(hydroxylstyrene) platform designed for 248-nm lithography] have much lower residual solvent concentrations than DNQ/novolak films. The difference is due to the higher soft bake temperatures and lower film thickness of the 248-nm resists than their DNQ/novolac resist counterparts. Similar results are found for P. J. Paniez, G. Festes, and J. P. Cholett, “Physical description of lithographic processes: correlation between bake conditions and photoresist contrast,” Proc. SPIE 1672, 623 (1992). 119 C. A. Mack, D. P. DeWitt, B. K. Tsai, and G. Yetter, “Modeling of solvent evaporation effects for hot plate baking of photoresist,” Proc. SPIE 2195, 584 (1994). 120 B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, Marcel Dekker, Inc., New York, p. 530 (1998). 121 ibid. 122 H. Ito and M. Sherwood, “NMR analysis of chemically amplified resist films,” Proc. SPIE 3678, 104–115 (1999). 118

The Semiconductor Lithographic Process Chemistry

47

Figure 2.20 Mechanisms of different physical processes taking place during the first 10 s of soft baking of a resist. (a) Rise in wafer temperature, (b) solvent loss, (c) diffusivity of solvent, and (d) thickness change. (Reprinted from Mack et al.119)

48

Chapter 2

Figure 2.21 Solvent content of baked resist films. The resist films include ESCAP (environmentally stable chemically amplified photoresist) based on poly(4-hydroxystyreneco-t-butyl acrylate) resin, PHOST [poly(hydroxystyrene)] resin, PBOCST [poly(tert-butoxycarbonyl oxystyrene)] resin, and PMMA [poly(methyl methacrylate)] resin. The solvents include cyclohexanone (CH), ethyl lactate (EL), and propylene glycol monomethylether acetate (PMA). (Reprinted from Ito and Sherwood.123)

chemical amplification resists designed for 19-nm lithography and their 248nm counterparts.124 The soft bake process can affect the solubility properties of some resists in the developing solvent. For instance, in DNQ/novolac resists, the dissolution rate R of the exposed resist as a function of prebake temperatures shows a maximum at around 120 °C (Fig. 2.22).125 Four zones can be distinguished in this plot: (1) a no-bake zone where residual solvent and dissolution rates are high, (2) a low-temperature zone (up to 80 °C) where the dissolution rate shows an appreciable decrease due to solvent removal, (3) a mid-temperature zone (80–110 °C) where the DNQ is thermally and preferentially converted to indene carboxylic acid, leading to an increase in dissolution rate, and (4) a high-temperature zone (.120 °C) where the film densification takes place, DNQ is thermally decomposed, the film is depleted of water, and the novolac resin is crosslinked, resulting in dramatic dissolution inhibition.126

123

ibid. ibid. 125 A. C. Ouano, Dependence of dissolution rate on processing and molecular parameters of resists,” in Polymers in Microelectronics, T. Davidson, Ed., ACS Symp. Ser. 242, American Chemical Society, Washington, D.C., pp. 79–91 (1984). 126 M. Koshiba, M. Murata, M. Matsui, and Y. Harita, “Thermally induced and base-catalyzed reactions of naphthoquinone diazides,” Proc. SPIE 920, 364 (1988). 124

The Semiconductor Lithographic Process Chemistry

49

log R

6 5 4 3 2

novolak resin

1

DNQ resist

0 40

60 80 100 120 140 160 bake temperature [°C]

Figure 2.22 Effect of soft bake temperature on dissolution rate of novolac resin and exposed DNQ/novolac resist. (Reprinted from Ouano127 with permission from American Chemical Society.)

2.5 Resist Exposure Chemistry Exposure of the resist-coated wafer follows immediately after the alignment of the wafer to the mask (containing the circuit patterns). This exposure involves illuminating the mask with the exposure radiation and projecting the transmitted/reflected image of the mask (called the aerial image) onto the resist film. Being radiation-sensitive, the resist film can be selectively exposed in some areas and not others, depending on the mask pattern, thus effectively transferring the image of the mask patterns onto the resist. At this stage, the image of the mask in the resist film is the latent image, comprising the spatial distribution of the radiation-generated (most often photogenerated) species, typically acids, within the resist film. These acids are either directly responsible for enhancing the dissolution properties of the exposed part of the resist (as in DNQ/novolac resists) or are involved in subsequent chemical reactions that alter the chemical structures of the resins of the resist (as in chemical amplification resists), which in turn alter their dissolution properties in developing solvents. The transformation of the sinusoidal aerial image into the latent image by the resist and subsequently into a straight-edged developed image is a nonlinear process, one that lies at the heart of semiconductor lithographic imaging phenomena. How well a particular resist performs this transformation determines its resolution as well as its process capabilities, both of which depend on many factors, chief among which is its radiation absorption characteristics. Resists whose imaging mechanism is based only on 127 A. C. Ouano, “Dependence of dissolution rate on processing and molecular parameters of resists,” in Polymers in Microelectronics, T. Davidson, Ed., ACS Symp. Ser. 242, American Chemical Society, Washington, D.C., pp. 79–91 (1984).

50

Chapter 2

exponential attenuation of radiation (i.e., with no mechanism for photobleaching or chemical amplification, for example) are inherently limited to a certain maximum of allowable contrast, sidewall angle, and ultimate resolution because of the inherent absorption trade-off required when imaging into the resist film. Because both maximum transmission (to reach to the bottom of the resist) and maximum absorption (to achieve the highest sensitivity) are desired, there is an optimum resist absorbance value for any resist thickness.128 The exposure radiation flux (energy per unit area) decreases exponentially with penetration depth z according to the integral form of Lambert’s law: I ðzÞ ¼ I 0 eaz ,

(2.30)

where I0 is the incident flux (mJ/cm2), and a is the absorption coefficient (cm–1) of the resist, which is the characteristic inverse length that is proportional to the cross-section. The value of a depends on the radiation’s wavelength and the resist material. Equation (2.30) can be re-expressed in terms of the Beer–Lambert law as129 log

I ¼ εcz, I0

(2.31)

where I is the intensity after passage through the resist sample, c is the molar concentration of the absorbing species in the resist film, and ε is the molar absorption coefficient (formerly called the extinction coefficient). The molar absorption coefficient depends on the frequency of the incident radiation. It is greatest where the absorption is most intense, such that the greater the absorption cross-section of the absorbing species in the resist film the greater the attenuation of the intensity of the beam. Its dimensions are 1/(concentrationlength). The dimensionless product A ¼ εcz is called the absorbance (formerly called the optical density) of the resist sample, and the ratio I/I0 is the transmittance T. These two quantities are related as follows: logT ¼ A:

(2.32)

The energy density absorbed in the resist equals the reduction in the energy density of the incident exposure beam as it transits the resist: EðzÞ ¼ aIðzÞ ¼ aI 0 eaz ¼ εcI 0 eecz :

(2.33)

B. W. Smith, “Resist processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcell-Dekker, New York, pp. 515–565 (1998). 129 P. W. Atkins, Physical Chemistry, 5th edition, W.H. Freeman and Co., New York, p. 545 (1994). 128

The Semiconductor Lithographic Process Chemistry

51

Several observations can be made from Eq. (2.33). First, the resist exposure always decreases with increasing depth into the resist (assuming a to be constant). Second, since a varies with wavelength, a beam containing a spread of wavelengths will undergo selective absorption and be filtered as it progresses through the resist. Third, E varies directly with a such that, in lithographies with EUV photons, x-ray photons, or an electron beam, where photoelectrons or Auger electrons mediate the exposure process, these electrons can cause enhanced resist exposures anywhere a material with high a contacts the resist, such as below the mask,130 or at an absorber layer inside the resist,131 or at the resist–substrate interface.132 The basis of the contrast in semiconductor lithographic imaging of any resist occurs in two phases: the light (or radiation) phase and the dark phase. The light phase comprises the chemical and physical transformations taking place during the exposure processes, while the dark phase comprises the changes taking place during the post-exposure and development stages (see discussion below). The kinetics of the light reactions is described by the Dill model, which is discussed in Chapter 5 of Volume 3.

2.6 Resist Post-exposure Bake Chemistry The baking of the exposed but undeveloped resist film is called post-exposure bake (PEB). The most important effect of PEB is the smoothing out of standing waves in the resist through thermally induced diffusion of photoacid in diazonaphthoquinone/novolac resists and chemical amplification in chemically amplified resists.133 As presented in Chapter 3 of Volume 3, the standing waves result from the interference of the incident and reflected waves from a reflective substrate, which creates a non-uniform distribution of the radiation intensity within the resist film. Figure 2.23(a) shows a computer simulation of such a standing-wave pattern that compares well with an example of standing waves in a resist that was not post-exposure baked [Fig. 2.23(b)]. In Fig. 2.23(c), the dramatic effect of PEB at 115 °C for 45 s in reducing the standing waves in an identically processed wafer is shown. A similar dramatic effect of PEB, illustrated by the gradual disappearance of the standing waves with increasing temperature, is observed in the dissolution rate of the resist layer (see Fig. 2.24). J. R. Maldonado, G. A. Coquin, D. Maydan, and S. Somekh, “Spurious effects caused by the continuous radiation and ejected electrons in x-ray lithography,” J. Vac. Sci. Technol. 12(6), 1329 (1975). 131 A. R. Neureuther, “Simulation of x-ray resist line edge profiles,” J. Vac. Sci. Technol. 15(3), 1004 (1978). 132 P. Tischer and E. Hundt, “Profiles of structures in PMMA by X-ray lithography” Proc. 8th Int. Conf. on Electron and Ion Beam Sci. Technol., pp. 444–452 (1978). 133 J. M. Shaw and M. Hatzakis, “Performance characteristics of diazo-type photoresists under e-beam and optical exposure,” IEEE Trans. Electron. Dev. 25(4), p. 425–430 (1978). 130

52

Chapter 2

Figure 2.23 Standing waves in DNQ/novolac resists. (a) Calculated standing-wave pattern after exposure.134 (b) Standing-wave patterns observed in a feature developed without PEB.135 (c) Effect of PEB (115 °C for 45 s).135

Figure 2.24 Effect of PEB on thickness vs. development time curves for AZ 1350J resist on silicon. Resist was exposed at 405 nm with 15 mJ/cm2 and developed in a 1:1 mixture of AZ developer/deionized water solution. (Reprinted from Shaw and Hatzakis.136)

134 Figure 2.23(a) is reproduced From R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 110 (1993). 135 Figures 2.23(b) and (c) are reproduced from P. Trefonal III, B. K. Daniels, M. J. Eller, and A. Zampini, “Examination of the mechanism of the post exposure bake effect,” Proc. SPIE 920, 203 (1988). 136 J. M. Shaw and M. Hatzakis, “Performance characteristics of diazo-type photoresists under e-beam and optical exposure,” IEEE Trans. Electron. Devices 25(4), 425–430 (1978).

The Semiconductor Lithographic Process Chemistry

53

The solubility change in all chemically amplified resists (CARs) occurs only in the dark reaction during the post-exposure bake (PEB). Some of the CARs such as acetal and ketal systems have a low activation energy, so deprotection can occur with low temperature post-exposure bakes or even at room temperature. In the absence of thermally driven diffusion as in acetal and ketal resist systems, BARCs must be used for resists with such low PEB temperatures, which are significantly lower than the soft bake temperature, to control reflectivity issues associated with standing waves. Examples of physical and chemical processes taking place during dark reactions of PEB include deprotection, acid and quencher (base additive) diffusion, etc. The full description of these processes results in a threedimensional (3D) map of the latent image, comprising the distribution of the radiation- or photogenerated species within the resist film. The consequences of these processes manifest as resolution loss on account of uncontrolled diffusion, line edge roughness, heightened propensity to environmental poisoning (as in PEB delay stability issues), and temperature variation (measured in terms of PEB sensitivity). In the following section we examine some of these dark phase processes and reactions that are associated with PEB. 2.6.1 Deprotection kinetics137 of representative resist polymer systems Infrared spectroscopy provides a convenient method for studying the deprotection kinetics of resist polymers. For example, the deprotection kinetics of some alicyclic polymer resist systems comprising (1) poly(methylpropyl bicyclo[2.2.1]hept-5-ene-2-carboxylate-co-maleic anhydride) (trivial name: poly(carbo-t-butoxynorbornene-co-maleic anhydride) [poly(CBN-alt-MAH)] (I) and (2) poly(methylpropyl bicyclo[2.2.1]hept-5ene-2-carboxylate-co-bicyclo[2.2.1]hept-5-ene-2-carboxylic acid) (trivial name: poly(carbo-t-butoxynorbornene-co-norbornene carboxylic acid) [poly(CBNco-NBCA)] (II)138 and containing triphenylsulfonium hexafluoroantimonate

137

The methodologies reported here follow from U. Okoroanyanwu, J. D. Byers, T. Cao, S. E. Webber, and C. G. Willson, “Deprotection Kinetics of Alicyclic Polymer Resist Systems Designed for ArF (193 nm) Lithography,” Chapter 14 in Polymers for Micro- and NanoPatterning Science and Technology, H. Itoh, E. Reichmanis, O. Nalamasu, and T. Ueno, Eds., ACS Books, American Chemical Society, Washington, D.C., pp. 174–190 (1998). 138 For details on the synthesis, characterization, and lithographic evaluation of polymers I and II, see for example, U. Okoroanyanwu, “Alicyclic Polymers: Chemistry and Argon Fluoride Exciplex Laser Lithography,” Ph.D. Thesis, University of Texas at Austin (1997); U. Okoroanyanwu, T. Shimokawa, J. D. Byers, and C. G. Willson, “Alicyclic polymers for 193 nm resist applications: synthesis and characterization,” Chem. Materials 10(11), 3319–3327 (1998); U. Okoroanyanwu, J. D. Byers, T. Shimokawa, and C. G. Willson, “Alicyclic polymers for 193 nm resist applications: lithographic evaluation,” Chem. Materials 10(11), 3328–3333 (1998).

54

Chapter 2

that were exposed to 248-nm and 193-nm radiations, was investigated with FTIR spectroscopy.139

These resists were formulated by dissolving the alicyclic polymer and a PAG such as triphenylsulfonium hexafluoroantimonate in an appropriate solvent such as propyleneglycol monomethyl ether acetate (PGMEA). Upon irradiation, the PAG in the resist film generates a latent image of strong acid, which upon baking catalyzes the deprotection of the t-butyl ester pendant group [as illustrated for structure II in Scheme (2.1)], leading to the formation of isobutylene and a polymer with a norbornene carboxylic acid unit that has a higher solubility in basic developing solvent than its masked precursor. Development of the exposed and baked film in an aqueous base generates a positive-tone image of the mask.140

Scheme 2.1

U. Okoroanyanwu, J. D. Byers, T. Cao, S. E. Webber, and C. G. Willson, “Deprotection Kinetics of Alicyclic Polymer Resist Systems Designed for ArF (193 nm) Lithography,” Chapter 14 in Polymers for Micro- and Nano-Patterning Science and Technology, H. Itoh, E. Reichmanis, O. Nalamasu, and T. Ueno, Eds., ACS Books, American Chemical Society, Washington, D.C., pp. 174–190 (1998). 140 U. Okoroanyanwu, J. D. Byers, T. Shimokawa, and C. G. Willson, “Alicyclic polymers for 193 nm resist applications: lithographic evaluation,” Chem. Materials 10(11), 3328–3333 (1998). 139

The Semiconductor Lithographic Process Chemistry

55

Upon exposure to UV radiation, the PAG decomposes with a rate constant kC to produce the photoacid (designated as Acid), as illustrated in Reaction [2.2]. Here hn represents photon energy, where h is Planck’s constant, and n is the frequency of the radiation: kc

PAG þ hn → Acid

[2.2]

The generally accepted mechanism for the generation of acid from irradiation of the triphenylsulfonium salt was reported by Dektar and Hacker,141 Knapzyck and McEwen,142 and Crivello and Lam.143 The excited state of the sulfonium salt is believed to undergo homolytic cleavage of the carbon–sulfur bond to give an intermediate sulfur-centered radical cation along with a phenyl radical. The photoacid (a Brønsted acid) is believed to arise from hydrogen atom abstraction by the radical cation followed by dissociation.144 Some investigators have observed phenylthiobiphenyl rearrangement products, which suggest that the acid may arise by photorearrangement followed by dissociation.145 Also, evidence has been presented which suggests that a phenyl cation is produced by heterolytic cleavage of the excited state of the sulfonium salt.146 As an example, when the PAG, triphenylsulfonium hexafluoroantimonate, is exposed to radiation, it decomposes to release the super acid, hexafluoroantimonic acid, in the resist film. While this photochemical reaction can occur at room temperature, the acid-catalyzed deprotection of the pendant t-butyl group of the resist polymer occurs at reasonable rates only at elevated temperature. It is therefore necessary to heat the resist film to an appropriate temperature (post-exposure bake) to provide the energy required for the acid-catalyzed deprotection of the t-butyl group of the ester, which in turn affords the base-soluble norbornene carboxylic acid unit; thus, the isobutylene volatilizes. The extent of deprotection at constant temperature is dependent on the dose of applied radiation. By monitoring the carboxylic acid OH stretch 3000–3500 cm–1 and the ester carbonyl (C¼O) stretch around 1735 cm–1, the acid carbonyl (C¼O) stretch around 1705 cm–1, and the ester (C–O–C) stretch around 1150 cm–1, it is possible to determine the extent of 141 J. L. Dektar and N. P. Hacker, “A new mechanism for photodecomposition and acid formation from triphenylsulphonium salts,” J. Chem. Soc. Chem. Commun. 20, 1591–1952 (1987). 142 J. W. Knapzyck and W. E. McEwen, “Photolysis of triarylsulfonium salts in alcohol,” J. Org. Chem. 35, 2539 (1970). 143 J. V. Crivello and H. H. W. Lam, “Photoinitiated cationic polymerization with triarylsulfonium salts,” J. Polym. Sci. Polym. Chem. Ed. 17, 977 (1979). 144 J. L. Dektar and N.P. Hacker, “A new mechanism for photodecomposition and acid formation from triphenylsulphonium salts,” J. Chem. Soc. Chem. Commun. 20, 1591–1952 (1987). 145 ibid. 146 R. S. Davidson and J. W. Goodin, “The polymerization of acrylates using a combination of a carbonyl compound and an amine as a photo-initiator system,” Eur. Polym. J. 18, 487 (1982).

56

Chapter 2

dose-dependent deprotection as well as the influence of baking temperature on the extent of deprotection for each resist system by means of IR spectroscopy. Doses ranging from 0–50 mJ/cm2 were applied to each resist system, after which they were baked at 120 °C, 130 °C, 140 °C, and 150 °C for 60 s and analyzed by FTIR spectroscopy.147 Figure 2.25 shows a typical family of IR spectra of a film of resist formulated with triphenylsulfonium hexafluoroantimonate and poly(CBN-coNBCA), samples of which were exposed to 248-nm radiation doses of 0–50 mJ/cm2 and baked at 130 °C after exposure. Similar spectra were collected at 120 °C, 140 °C, and 150 °C, and under 193-nm exposure for poly(CBN-alt-MAH).148 Figure 2.26 shows the dose-dependent absorbance profiles of the carboxylic OH stretch (3100–3500 cm–1) and the ester C-O-C stretch (around 1150 cm–1) of a poly(CBN-co-NBCA) exposed to 248-nm radiation and baked afterwards at 120 °C, 130 °C, 140 °C, and 150 °C. The carboxylic acid OH stretch and the carboxylic acid carbonyl (C¼O) stretch (1695–1705 cm–1) both increase, while the ester carbonyl (C¼O) stretch (1730–1735 cm–1) decreases with increasing dose of exposure (0 to 50 mJ/cm2), which allows us to follow

0.12 0.11 0.10

A b so rb an ce

0.09 0.08 0.07 0.06 0.05 0.04

0-50m J/cm 2

0.03 0.02 4000

3600

3200

2800

2400

2000

1600

1200

800

W avenum bers (cm -1)

Figure 2.25 Change in FTIR spectra of a typical resist copolymer [poly(CBN-co-NBCA] as a function of dose. Post-exposure bake temperature ¼ 130 °C, time ¼ 60 s. Resist was exposed at 248 nm. (Reprinted from Okoroanyanwu, Byers, Cao, et al.149) 147 U. Okoroanyanwu, J. D. Byers, T. Cao, S. E. Webber, and C. G. Willson, “Deprotection Kinetics of Alicyclic Polymer Resist Systems Designed for ArF (193 nm) Lithography,” Chapter 14 in Polymers for Micro- and Nano-Patterning Science and Technology, H. Itoh, E. Reichmanis, O. Nalamasu, and T. Ueno, Eds., ACS Books, American Chemical Society, Washington, D.C., pp. 174–190 (1998). 148 ibid. 149 ibid.

The Semiconductor Lithographic Process Chemistry

57

the deprotection of the t-butyl ester group and the consequent conversion to a carboxylic acid group. The C–O–C stretch (1150 cm–1) of the ester also decreases with dose of exposure, indicating the loss of the isobutylene group from the resist polymer.150 Figure 2.27 is an Arrhenius-type plot of the deprotection kinetics of resists formulated with poly(CBN-co-NBCA) formulated with triphenyl

Figure 2.26 The carboxylic OH and ester C-O-C stretch profile upon 248-nm irradiation of resist copolymer [poly(CBN-co-NBCA]. (Reprinted from Okoroanyanwu, Byers, Cao, et al.151) -1 Addition copolymer (Ea=6.7 Kcal/mol)

l n ( ka )

-1.5

Free Radical copolymer (Ea=9.4 Kcal/mol)

-2 -2.5 -3 -3.5 2.35

2.4

2.45

2.5

2.55

1000/T

Figure 2.27 Arrhenius plot for resist formulated with poly(CBN-co-NBCA) and 3 wt% TPSHFA PAG and exposed under 248-nm radiation. (Reprinted from Okoroanyanwu, Byers, Cao, et al.152) 150

ibid. ibid. 152 ibid. 151

58

Chapter 2 -1 -1.5 Ea=18.3kcal/mol

ln(ka)

-2 -2.5 -3 -3.5 -4 2.35

2.4

2.45

2.5

2.55

1000/T

Figure 2.28 Arrhenius plot for resist formulated with poly(CBN-co-MAH) and exposed under 193-nm radiation. (Reprinted from Okoroanyanwu Byers, Cao, et al.153)

sulfonium hexafluoroantimonate (TPSHFA) PAG. The activation energy for the deprotection of the pendant-t-butyl group of resists formulated with poly(CBN-co-NBCA) made by Pd(II)-catalyzed addition and free radical polymerization techniques was determined to be 6.7 and 9.4 kcal/mol, respectively, over the temperature range of 120 to 150 °C. Figure 2.28 is an Arrhenius-type plot of the deprotection kinetics of resist formulated with poly(CBN-co-MAH). The activation energy for the deprotection of the pendant-t-butyl group of resist polymer was determined to be 18.3 kcal/mol over the temperature range of 120 to 150 °C. This Arrhenius relationship is not considered valid outside of the region experimentally covered. The thermal decomposition of DNQs in novolac resist film follows pseudo-first-order reaction kinetics (see Fig. 2.29). The 2,1,4-DNQ photoactive compound (PAC) is more thermally stable than the 2,1,5-DNQs, the activation energy difference between the two isomers being 8 kcal/mole.154 2.6.2 Monitoring PAG in thin photoresist films by means of fluorescence spectroscopy Fluorescence spectroscopic techniques are being used increasingly in a wide range of research fields including biochemical, medical, and chemical research, primarily because of their inherent sensitivity and the favorable time scale of the phenomenon of fluorescence.155 Some fluorophores have dramatically different emission characteristics in their protonated and unprotonated forms. Using this phenomenon, the photoacid concentrations generated within chemically amplified photoresist systems can be 153

ibid. W. M. Moreau, “Thermal stability of naphthodiazoquinone sensitizers,” Proc. SPIE 3049, 618–627 (1997). 155 J. R. Lackowicz, Principles of Fluorescence Spectroscopy, Plenum Press, New York, Chapters 1 & 2 (1986). 154

The Semiconductor Lithographic Process Chemistry

Figure 2.29

59

Thermal decomposition of DNQs in novolac resist film (reprinted from Moreau156).

monitored.157 For instance, the photogeneration of acid in some of the abovementioned alicyclic polymer resist films has been monitored and quantified with this technique.158 Many molecules with pH-dependent fluorescence properties are known and used only in aqueous media, which essentially precludes them from use in resist applications. To be of use in resist applications, fluorescent molecules must be soluble in organic solvents of moderate polarity and be compatible with polymer films.159 Aromatic monazines like acridine have been known to be essentially nonfluorescent in non-hydrogen-bonding solvents, whereas their protonated forms are highly fluorescent in aqueous solutions, which make this class of materials interesting candidates for potential acid sensors.160 Commercially available acridine is usually provided as the HCl salt; if this acid is not completely removed, it can mask and even become a source of interference when the acridine salt is titrated against the photogenerated acid. N-(9-acridinyl) acetamide (ACRAM) was used in this study. ACRAM is readily obtained from acridine amine with acetic anhydride in pyridine, as shown in Scheme 2.2. W. M. Moreau, “Thermal stability of naphthodiazoquinone sensitizers,” Proc. SPIE 3049, 618–627 (1997). 157 G. Pohlers, S. Virdee, J. C. Sciano, and R. Sinta, “Aromatic monoazines as fluorescent sensors for photoacid generation in thin polymer films,” Chem. Mater. 8, 2654 (1996); A. Z. Weller, Elektrochem. 61, 956 (1957); K. Kasama, K. Kikuchi, S. Yamamoto, K. Ujiie, Y. Nishida, and H. Kokubun, “Relaxation mechanism of excited acridine in nonreactive solvents,” J. Phys. Chem. 85, 1291 (1981). 158 ibid. 159 D. R. McKean, U. Schaedeli, and S. A. MacDonald, “Acid photogeneration from sulfonium salts in solid polymer matrices,” J. Polym. Sci. Part A: Polym. Chem. 27, 3927 (1989); W. P. Carey and B. S. Jorgensen, “Optical sensors for high acidities based on fluorescent polymers,” Appl. Spectroscopy 45, 834–838 (1991). 160 G. Pohlers, S. Virdee, J. C. Sciano, and R. Sinta, “Aromatic monoazines as fluorescent sensors for photoacid generation in thin polymer films,” Chem. Mater. 8, 2654 (1996). 156

60

Chapter 2

Scheme 2.2

The protonation of ACRAM by the photoacid proceeds via the scheme shown in Scheme 2.3.

Scheme 2.3

Figure 2.30 shows the change of fluorescence intensity (normalized to the pure ACRAM spectrum) with the addition of photoresist solution formulated from poly(CBN-alt-MAH) and TPSHFA (4.4110–7 M). These spectra show

Figure 2.30 Fluorescence spectra of 27.1-nM ACRAM in THF with and without photoresist solution formulated from poly(CBN-alt-MAH) and TPSHFA (4.4110–7 M). (1) þ 0 mL of photoresist/THF; (2) þ 35 mLphotoresist/THF; (3) þ 60 mL of photoresist/THF; (4) þ 120 mL of photoresist/THF. (Reprinted from Okoroanyanwu, Byers, Cao, et al.161) U. Okoroanyanwu, J. D. Byers, T. Cao, S. E. Webber, and C. G. Willson, “Deprotection Kinetics of Alicyclic Polymer Resist Systems Designed for ArF (193 nm) Lithography,” Chapter 14 in Polymers for Micro- and Nano-Patterning Science and Technology, H. Itoh, E. Reichmanis, O. Nalamasu, and T. Ueno, Eds., ACS Books, American Chemical Society, Washington, D.C., pp. 174–190 (1998). 161

The Semiconductor Lithographic Process Chemistry

61

Table 2.1 Photoacid generation at 193 nm from photoresist formulated with poly(CBNalt-MAH) and different TPSHFA concentrations (conc.). The exposure dose ¼ 50 mJ/cm2. (Reprinted from Okoroanyanwu, Byers, Cao, et al.162) PAG TPSHFA TPSHFA

Dose (mJ/cm2) 50 50

Conc. of TPSHFA (M)

Conc. of Photoacid (M)

–7

1.04 10–7

–8

4.13 10–8

4.4110 6.6110

hypsochromic spectral shifts of the peaks around l1: 440 → 430 and l2: 470 → 460 nm, as well as an increase in the intensity of these peaks, indicating the protonation of ACRAM/THF solution (where THF is tetrahydrofuran) by the photogenerated acid.163 Table 2.1 summarizes the amount of photoacid generated at 193 nm from photoresists from different PAGs with 50 mJ/cm2. Using the values in Table 2.1, the quantum yield for acid production was determined from the number of acid molecules produced per photon absorbed by the film. In this way, a quantum yield of 1.110–4 was determined for the PAG (TPSHFA) in a poly(CBN-alt-MAH) resist system that was exposed to 193-nm radiation. Such a low quantum yield is indicative of the fact that most of the 193-nm radiation that is absorbed by the resist is not utilized in the production of photoacid from the PAG (TPSHFA).164 The portion of the radiation absorbed by the resist but not used in the production of photoacid from the PAG could have been dissipated via radiative heating of the resist matrix and the PAG. 2.6.3 PEB sensitivity The CD of features patterned with CARs show higher sensitivities to PEB than to the prebake. A very small variation in PEB temperature can result in a significant change in the feature CD. In the regime of extremely tight CD control requirements, bake plates must have excellent across-plate uniformity, significantly less than 0.5 °C. Figure 2.31 shows typical PEB sensitivity plots for resists based on acrylate and alicyclic/acrylate hybrid resist polymer platforms used in ArF lithography. PEB sensitivity for these resists is in the range of 4–10 nm/°C. Most current 193-nm resists have PEB sensitivities of no better than 4–5 nm/°C near their linear resolution limit. The best-in-class

162

ibid. ibid. 164 ibid. 163

62

Chapter 2 200 180

Hybrid 1

Measured CD

160

Hybrid 2

140

Methacrylate 1

120

Methacrylate 2 Hybrid 1 = 9.57 nm/°C

100

Hybrid 2 = 5.10 nm/°C 80

Methacrylate 1 = 4.18 nm/ 60 125

126

127

128

129

130

131

132

133

134

135

Methacrylate 2 = 5.97 nm/

PEB temperature(C)

Figure 2.31 PEB sensitivity curves for different acrylate and alicyclic/acrylate hybrid resist polymer platforms used in ArF lithography. The target CD was 120-nm line/space (L/S) at 1:2 duty cycle. [Reproduced from R. Dammel, Practical Photoresist Processing, SPIE Short Course (2005).]

Table 2.2 PEB sensitivities of commercially available KrF resists [reproduced from H. J. Levinson, Principles of Lithography, 2nd ed., SPIE Press, Bellingham, Washington, p. 82 (2005)]. Resist

Supplier

Linewidth sensitivity (nm/°C)

Apex E

Dupont (formerly Rohm and Haas Electronic Materials)

16.0

UVIIHS

Dupont (formerly Rohm and Haas Electronic Materials)

7.5

UV6

Dupont (formerly Rohm and Haas Electronic Materials)

2.6

TM-461

JSR Corp.

2.6

DP-024

Tokyo Ohka Kogyo (TOK)

1.8

ARC2

Arch Chemicals

0

resists have PEB sensitivity values around 2 nm/°C.165 Table 2.2 shows the PEB sensitivities for commercially available KrF resists.166 In general, high-activation-energy resist systems tend to have much higher PEB sensitivity than their low-activation-energy counterparts due to the role of temperature in photoacid diffusion, already discussed above.

165

R. Dammel, Practical Photoresist Processing, SPIE Short Course (2005). K. G. Kemp, D. J. Williams, J. W. Clayton, P. Steege, S. Slonaker, and R. Eliot, “Effects of DUV resist sensitivities on lithographic process window,” Proc. SPIE 3049, 955–962 (1997); J. M. Parker and D. A. Miller, “Direct temperature metrology helps minimize CA-resist CD variation,” Solid State Technol. 43(9), 139–146 (2000). 166

The Semiconductor Lithographic Process Chemistry

63

2.6.4 Consequences of acid diffusion The increased sensitivity of CARs is tied to the ability and ease of the generated acid to diffuse through the free volume of the resist polymer resin, aided by PEB, to catalyze chemical transformations of the resin that alter their dissolution properties in the developer solution; however, these same characteristics of chemical amplification can also negatively impact the patterning action of the resist. First, with the minimum device feature size approaching length scales on the same order of magnitude as the diffusion lengths of photoacids generated in CARs, preserving the integrity of the latent image has now become a major concern in microlithography. Diffusion of the photoacid during the time between exposure and development can cause significant contrast loss and ultimately lead to loss of the latent image, especially in chemically amplified photoresists that require a post-exposure baking step, which facilitates the diffusion of the acid due to the high temperature normally used. Next, if neutralization of the acid occurs, the dissolution rate of the exposed part of the resist decreases. If the resist is exposed to airborne molecular bases (such as ammonia and N-methyl pyrrolidone) after exposure and prior to PEB (normally called PEB delay), a thin soluble inhibition layer forms on the top surface of the resist, resulting in the formation of a characteristic “T-top” upon development (see Fig. 2.32). Acid evaporation during PEB can also lead to T-top formation. Early commercial CARs had PEB delay stability of a few minutes; this value is now on the order of hours in current commercial CARs. The improvement in PEB delay stability of current resists is attributed to a few strategies that have been adopted over the years; these include the use of a barrier layer on top of the resist to prevent in-diffusion of airborne bases and out-diffusion of acids, and the use of efficient broad-spectrum filters equipped with activated-charcoal media designed to remove airborne bases and acids. Other strategies that have been employed include (1) annealing the resists at sufficiently high temperatures (near their Tg) and softening them to decrease the free volume in the polymer matrix, thereby limiting the effect and extent of acid and airborne base diffusion; (2) using acid generators that produce bulky, non-volatile acids; and (3) using base additives and quenchers that scavenge and neutralize the excess acids, preventing them from diffusing to the surface and reacting with airborne bases and creating T-tops, or from diffusing into the non-exposed regions, which helps to improve the side wall angle of the feature (see Fig. 2.33). The acid can also diffuse from the exposed area to the interface between the resist in the unexposed area and the substrate and interact with basic moieties in the substrate or BARCs, especially inorganic BARCs such as SiON and TiN, leading to the creation of footing.

64

Chapter 2

Conventional DUV-248 nm resist

ESCAP resist

PEB delay time = 0 minute

PEB delay time = 0 minute

PEB delay time = 1 hour

PEB delay time = 2 hours

Figure 2.32 PEB stability of conventional DUV 248-nm resists based on poly(4hydroxystyrene-co-tert-butylcarbonyloxy styrene) vs. ESCAP based on poly(4-hydroxystryene-co-tert-butyl acrylate). Note that the acid generated in the conventional DUV resist is trifluromethane sulfonic acid (a very strong, small, volatile acid), while that of the ESCAP is camphor sulfonic acid (a very weak, bulky, non-volatile acid). While the conventional DUV resist polymer is non-annealing, the ESCAP is an annealing polymer. [Reproduced from R. Dammel, Practical Photoresist Processing, SPIE Short Course (2005).]

2.7 Resist Development Chemistry Following the PEB for both conventional and chemically amplified resists, the resist film is developed in a liquid chemical developer to dissolve its soluble regions. The soluble region of negative resists is the unexposed part, while for the positive resists, it is the exposed part. The primary goal of resist development is to accurately replicate the latent image generated during the PEB step, which in effect is the transferred aerial image of the mask in the resist. In its essence, the development step completes the imaging process, which effectively results in the transformation of the 2D image of the mask into a 3D relief image of the mask on the resist film. The liquid chemical developers167 that have been in use in the IC industry are basic aqueous solutions. The two main classes are the buffered metal-ion 167

For a general discussion on the constitution of developers, see Chapter 5 of this book.

The Semiconductor Lithographic Process Chemistry

65

Figure 2.33 Effects of base additives on PEB delay stability of an ArF resist. [Reproduced from R. Dammel, Practical Photoresist Processing, SPIE Short Course (2005).]

containing developers (e.g., sodium metasilicate) and the metal-ion-free (MIF) developers [e.g., aqueous solutions of tetramethylammonium hydroxide (TMAH)]. Both classes of developers are typically formulated with additives such as surfactants to improve wetting.168 The buffered systems can be used at a lower pH level for the same normality and thus offer better discrimination, lower dark erosion, and improved contrast.169 Although alkali bases like sodium hydroxide (NaOH) and potassium hydroxide (KOH) were used as developers in earlier times for device fabrication, today they are no longer in use for this application because alkali metals like sodium and potassium have been implicated in gate oxide degradation.170

168

R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 112 (1993). 169 ibid. 170 R. Sze, Physics of Semiconductor Devices, 2nd edition, John Wiley & Sons, New York, Chapter 7 (1981).

66

Chapter 2

Today, the standard developer used in the IC industry is the MIF 0.26N TMAH solution.171 Given the larger cross-section of the TMAH cation, TMAH developers show slower development speed than those of NaOH and KOH solutions at the same normality.172 Remarkably, when TMAH and metal-ion-containing developers are mixed, even at parts-per-million levels, a substantial decrease of the dissolution rate well below that of TMAH solution is observed.173 One other remarkable effect is that metal-ion-containing NaOH and TMAH developers also differ substantially in the influence of temperature on the resist dissolution rate. While the development rate decreases with developer temperature for TMAH developers, the trend is opposite that of metal-ion-containing developers like NaOH and KOH.174 It must be pointed out that in negative-tone development processes, organic developers such as ethyl acetate and cyclohexanone are commonly used to develop exposed areas of conventional positive resists to realize negative-tone images. We examine these processes in more depth in Volume 3 of this series, dealing with the practice of lithography. Environmentally friendly developers based on nonpolar solvents such as supercritical CO2, hydrofluoroethers, and silicone fluids have been demonstrated to develop resists mostly in the negative tone.175 With very unusual properties, they offer unique advantages beyond being environmentally friendly. For instance, supercritical CO2 has no dipole moment, but it does have a quadrupole moment, which makes it appropriate for use as a developer for fluorinated and silicone-containing resists, as well as for resists containing ester and carbonate functional groups. With their low surface energies, silicone fluids offer opportunities for mitigating pattern collapse, especially in very small geometries. 2.7.1 Resist development methods Since the dawn of semiconductor lithography in 1957, resists have been developed by methods ranging from immersion to spray to puddle processes, either in batch mode or in continuous mode. Resist development in the 1970s 171

This standardization has been driven mostly by cost considerations rather than because 0.26N developer provides superior lithographic performance relative to other normality values. For details on these considerations, see, for example, T.-Y. Chu and K.-P. Cheng, “Developer concentration influence on DUV process,” Proc. SPIE 3678, 448–454 (1999); M. Touky, B. Maxwell, and S. Chanthalyma, “The effect of developer normality on the resist dissolution rate and performance,” Proc. SPIE 3678, 721–726 (1999). 172 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 112 (1993). 173 ibid. 174 C. M. Garza, C. R. Szamanda, and R. L. Fischer, “Resist dissolution kinetics and submicron process control,” Proc. SPIE 920, 321–338 (1988). 175 C. K. Ober, C. Ouyang, J.-K. Lee, and M. Krysak, “Solvent development processing of chemically amplified resists: chemistry, physics, and polymer science considerations,” Proc. SPIE 7972, 792905 (2011).

The Semiconductor Lithographic Process Chemistry

67

and early 1980s typically involved immersion development, in which batches of wafers were immersed in tanks of developers for a given length of time. This approach has been largely superseded by puddle development on singlewafer processes because of the superior uniformity and control performance of the single-wafer puddle processes relative to the batch processes. In addition, single-wafer puddle processes are amenable to cluster operation, where the resist processing tracks are interfaced with the exposure tools.176 The single-wafer puddle process, operating in an automatic continuous mode in a track system, is now the method most used for resist development. It involves an initial dispense of the developer on each wafer, forming a puddle that covers the entire wafer surface for a period on the order of 30–75 s, following which the developer is rinsed off with deionized water. Additional puddles may sometimes be applied to the wafer in order to reduce defects.177 The tubes carrying the developer to the wafer are jacketed, and their temperature is actively controlled to within 0.2 °C since resist development involves chemical reactions, often acid–base neutralization reactions, which are temperature dependent.178 The other reason for controlling the temperature of the developer is evaporation of the developer, which is often more pronounced at the wafer periphery than at the center; this can cause variations in the concentration of the developer and temperature of the resist film because of evaporative cooling, leading to variations in development rates and ultimately to poor CD uniformity. 2.7.2 Types of development processes Two main categories of resist development processes are: chemical development and physical development. 2.7.2.1 Resist chemical development

In chemical development, the matrix resin of the resist system dissolves in the developer through a chemical reaction. Examples of resists that use chemical development include positive resists composed of novolac resins and diazonaphthoquinones, as well as positive CARs based on phenolic, acrylate, and alicyclic polymers. These resists are developed with 0.26N aqueous solution of TMAH. The exposed resins with phenolic and acidic functional groups dissolve in the developer via the following chemical reactions: P–OH þ ðCH3 Þ4 N–OH → P–O þ ðCH3 Þ4 Nþ þ H2 O

[2.3]

(for phenolic resins) 176

H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 80 (2005). 177 ibid. 178 J. M. Shaw and M. Hatzakis, “Developer temperature effects on e-beam and optically exposed positive photoresist,” J. Electrochem. Soc. 126(11), 2026–2031 (1979).

68

Chapter 2

P–COOH þ ðCH3 Þ4 N–OH → P–COO þ ðCH3 Þ4 Nþ þ H2 O

[2.4]

(for resins with carboxylic acid groups), where P–OH, P–COOH, P–O– and P–COO– stand for phenolic resin, resin with carboxylic acid group, resin with phenoloate ion functional group, and resin with carboxylate functional group, respectively. The species ðCH3 Þ4 Nþ is the tetramethylammonium ion. The reaction does not occur in the unexposed areas because of the dissolution inhibition of the diazonaphthoquinone, which remains unchanged there, or because of the lack of chemical amplification reaction there. As a result, the unexposed resist film neither dissolves nor swells in the developer. This lack of swelling during development is one reason that positive resists generally have higher resolution capability than their traditional negativeresist counterparts. 2.7.2.2 Resist physical development

In physical development, there is no chemical reaction involved in the dissolution process. Instead, the resin dissolves in a solvent (typically organic) with similar solubility parameters through mixing and solvation. Examples of resists that employ physical development include negative resists based on cyclized polyisoprene and aromatic bisazide, or positive chain scission resists such as poly(methyl methacrylate) (PMMA), which are developed in xylene and similar organic solvents. In the cyclized polyisoprene resists, the resist film in the exposed areas is insolubilized by crosslinking reactions and, therefore, does not dissolve in the developing solvent. In the case of PMMA, the exposed film has a lower molecular weight than its unexposed film and is therefore soluble in the organic solvent, whereas the unexposed film is not. The insolubilized resist film, however, swells in the developing solvent. This swelling decreases the resolution capability of the resist.179 In instances where a CAR is developed in a nonpolar solvent to give a negative pattern, the resist is physically developed.180 This is the case of negative-tone development, as described below, where the exposed areas of positive-tone resist are developed in organic solvents such as ethyl acetate or cyclohexanone to realize negative-tone images. Very little or no swelling is associated with this type of resist development.

179

S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, Inc., New York, Chapter 5 (1998). 180 H. Ito and C. G. Willson, “Chemical amplification in the design of dry developing resist materials,” Polym. Eng. Sci. 23, 1012–1018 (1983).

The Semiconductor Lithographic Process Chemistry

69

2.7.3 General mechanism of resist dissolution The thermodynamics of photoresist or electron-beam resist dissolution is governed by the physical and chemical properties of the exposed or unexposed part of the resist polymers and the developer solvent or solution. These properties also dictate the nature of the interaction between the exposed or unexposed part of the resist and the developer solvent or solution. These interactions in turn determine which resist development mechanism—physical or chemical development mechanism—the resist will undergo in the developer solvent or solution. The developer solvent or solution will preferentially dissolve parts of the resist film with similar solubility parameters to it. When an uncrosslinked, amorphous, glassy unexposed part of a polymeric negative resist film is brought into contact with a thermodynamically compatible nonpolar organic solvent developer, the solvent will preferentially diffuse into the unexposed part of the film. Similarly, when the amorphous, glassy exposed part of polymeric positive resist film is brought into contact with a thermodynamically compatible aqueous developer solution, the solution will diffuse into the exposed part of the resist film. Further, when the amorphous, glassy unexposed part of a polymeric positive resist film is brought into contact with a thermodynamically compatible nonpolar organic solvent developer, the solvent will diffuse into the unexposed part of the resist film. Both the first and third dissolution examples above are commonly called negative-tone development and proceed via a physical development mechanism. The second case is commonly called positive-tone development and proceeds via a chemical development mechanism. Resist resins predominantly comprise fairly high-molecular-weight polymers having long chains with a large number of segments, forming tightly folded coils that are further entangled with each other and held together by cohesive attractive intra- and intermolecular forces, including dispersion, dipole–dipole interaction, induction, and hydrogen bonding [see Fig. 2.34(a)].

Figure 2.34 Schematic representation of the resist polymer molecule dissolution process in nonpolar solvents. (a) Entangled chains of solid-state polymer molecules just after being added to the developer solution; (b) polymer molecules uncoiled within the swollen gel; (c) polymer molecules in spherical conformation within the hydrodynamic volume. [Adapted from U. Okoroanyanwu, Molecular Theory of Lithography, SPIE Press, Bellingham, Washington, p. 104 (2015).]

70

Chapter 2

As such, their dissolution in the developer solvent can be noticeably slower than those of lower-molecular-weight molecules. There appear to be two main stages associated with resist polymer dissolution in nonpolar solvents: first is the swelling step [Fig. 2.34(b)], followed by the actual dissolution step involving complete solvation [Fig. 2.34(c)]. When a resist polymer is introduced to the developer solution or solvent described above, attractive and dispersion forces start to act between the polymer segments, according to their polarity, chemical properties, and solubility parameter (see below). If the polymer–solvent interactions are stronger than the polymer–polymer attractive forces [Fig. 2.35(a)], the chain segments will start to absorb solvent molecules, increasing the volume of the polymer matrix [Fig. 2.34(b)]. The diffusion of the developer solvent or solution into the polymer leads to the plasticization of the polymer. This

Figure 2.35 Schematic of the resist polymer dissolution processes. (a) Interaction between polymer (P) and solvent (S) molecules during dissolution; (b) composition of the surface layer of undergoing dissolution; (c) 1D solvent diffusion and resist polymer dissolution. Without favorable S–P interaction, the resist will not dissolve in the developer. [Part (a) reprinted from U. Okoroanyanwu, Molecular Theory of Lithography, SPIE Press, Bellingham, Washington, p. 104 (2015).]

The Semiconductor Lithographic Process Chemistry

71

plasticization in turn leads to the formation of a gel–like swollen layer, with two separate interfaces [Fig. 2.35(b)]: (1) an interface between the glassy polymer and the gel layer, and (2) an interface between the gel layer and the solvent. From this point on, the physical development mechanism follows a pathway that is distinct from that of the chemical development mechanism. 2.7.3.1 Physical development mechanism

After sufficient time has passed, an induction time, the chains of the polymer molecules disentangle from each other and diffuse from the matrix [Figs. 2.34(c) and 2.35(c)] and are eventually completely solvated by the solvent molecules, bringing about complete dissolution. Effectively, the dissolution of a resist polymer in a developer solvent or solution involves two transport processes [Fig. 2.35(c)]: solvent diffusion into the polymer matrix and polymer chain disentanglement from the matrix. In the following sections, we examine in more detail the various aspects of these processes. It should be pointed out, however, that if a highly crosslinked polymer is involved, where the polymer–polymer interactions are much stronger than the polymer–solvent interactions, the dissolution process stops at this first stage, giving rise to a swollen polymer gel. If, on the other hand, the polymer–solvent interactions are still strong enough relative to the polymer–polymer interactions, the solvation–unfolding–swelling process will continue until all the polymer segments are solvated, following which the fully unfolded and loosened coil of the polymer will diffuse out of the swollen gel layer, dispersing into the developer solution. Now fully solvated, with solvent molecules filling the empty space between the loosened polymer segments, the dispersed polymer coils adopt a spheroidal or ellipsoidal form [Fig. 2.34(c)], occupying a volume referred to as the hydrodynamic volume. The effective size of the resist polymer, measured in terms of the Flory radius RF, can be larger or smaller than the unperturbed radius of gyration, given by RF ¼ aRg, where a is the intermolecular expansion factor, which is unity in ideal solvents, and Rg is the radius of gyration of the polymer. Dissolution is complete at this stage and the disentangled chains exhibit Brownian motion in the solvent. So, in a nutshell, the solubility of the resist polymer in the developer medium depends on the ability of the developer molecules to solvate the polymer molecule and to displace or break down polymer–polymer interactions, as shown in Fig. 2.34. 2.7.3.2 Chemical development mechanism

Following the formation of the swollen gel layer as described above, the chemical development mechanism is initiated via the ionization of weakly acidic sites in the presence of the aqueous base solution. In the specific case of positive-tone aqueous development of a CAR based on polar phenolic polymers, the phenolic functional group of the latter is quickly ionized in the

72

Chapter 2

0.26N TMAH developer into its phenolate anion and proton, creating a polyelectrolyte that easily dissolves in the developer solution. Ionization establishes acid–base equilibrium that converts the dissolving resist film into soluble polyelectrolytes in the developer. The polyelectrolyte charges cause long-range electrostatic repulsive interaction between polymer segments and chains via double-layer forces, which in turn cause the expansion of the polymer coil [Fig. 2.34(b)] and provide an elastic force that aids dissolution. The polyelectrolyte effect underlies the basis of positive-tone development in resist polymeric films.

[2.5] The negative charges present on polyelectrolytes solvate water molecules through hydration interactions, leading to the breakdown of the polymer– polymer interaction. While the exposed and deprotected part of the resist film dissolves in the developer, mediated by the above ionic interactions, the unexposed and nonpolar part of the resist film does not dissolve in the developer in part due to a lack of these ionic interactions. In addition, the strong hydrogen bonding of the developer water molecules with each other leads to effective resistance of this nonpolar part of the resist film to dissolve in the polar aqueous developer. In this way, high contrast between the exposed and unexposed parts of the resist film is maintained in CARs. It should be mentioned that it is possible to develop the above-described chemical amplification resist in a negative tone, simply by developing it in a nonpolar solvent. Here, strong hydrogen bonding interaction and hydrophobic interactions between the molecules of the exposed and charged polymeric acid film, which tend to sequester polar molecules from nonpolar ones, lead to effective resistance to dissolution of this part in the nonpolar developer solvent. The nonpolar and unexposed part of the resist film, having solubility parameters similar to those of the nonpolar solvent, readily dissolves in the latter, thus affording contrast between the exposed and unexposed parts of resist films.

The Semiconductor Lithographic Process Chemistry

73

2.7.4 Solubility switching approaches to realizing contrast between exposed and unexposed regions of the resist during development There are several approaches to realizing contrast between the exposed and unexposed regions of the resist film (based on exposure-induced solubility or polarity switching of the film), all of which are described in detail in Chapter 4. For our purposes in this chapter, we concentrate on these main approaches (Fig. 2.36): (a) crosslink formation, (b) chain scissioning, and (c) functional group transformation, including deprotection, rearrangement, etc. A good example of crosslinking resists are the classical cyclized polyisoprene resists, which are readily crosslinked upon exposure via azide groups, which make them insoluble in most suitable nonpolar solvents. These nonpolar solvents dissolve the unexposed, linear polymer, producing a negative-tone image. However, given that the polarity of the polymer chain undergoes little change upon crosslinking, and the solvent can still strongly solvate the exposed polymer chains, significant swelling of the negative-tone image invariably results. In fact, the idea that a large degree of swelling is associated with this resist is suggestive of a low-level crosslinking. Under normal circumstances, a resist with extensive chemical crosslink formation should have sufficient elastic resistance to inhibit a large dimensional change during development.

Figure 2.36 Approaches to the production of solubility changes associated with chemical development in resists. (a) Exposure-induced crosslink formation renders the polymer less soluble. (b) Exposure-induced chain scission results in a decrease in polymer molecular weight, which leads to increased solubility and a positive-tone image. (c) Exposure-induced functional group transformation alters the polarity without significantly altering the molecular weight, leading to the production of either a positive- or negative-tone image, depending on the nature of the developer. [Reprinted from Ober, Ouyang, Lee, and Krysak.181]

181 C. K. Ober, C. Ouyang, J.-K. Lee, and M. Krysak, “Solvent development processing of chemically amplified resists: chemistry, physics, and polymer science considerations,” Proc. SPIE 7972, 792905 (2011).

74

Chapter 2

Exposure-induced chain scissioning that leads to reduction of resist polymer molecular weight is another approach to effecting polarity switching, and hence improving contrast between the exposed and unexposed regions of the film during solvent development. From Flory–Huggins theory discussed in Chapter 3, it can be appreciated that the enthalpic term must be essentially the same in both the starting polymer and the scissioned polymer, indicating that dissolution occurs in this system largely on the basis of the change in molecular weight. The greater the amount of scission-induced molecular weight reduction the greater the solubility of the exposed resist in developers such as methyl ethylketone. For resists based on exposure-induced functional group transformation such as in CARs, depending on their polarity and the polarity of the developer solvent, different types of interactions mediate the dissolution of the part that is dissolved in the developer. Positive-tone aqueous development of, for example, polar and charged CARs, have ionic interactions driven by highly polar polymer–polymer, hydration, and hydrogen bonding interactions, which decrease and break down the polymer–polymer interactions, making it easier for the water molecules of the developer to displace these interactions and solvate the charged polymeric units, ultimately dissolving them in the developer. In contrast, in negative-tone development in a nonpolar solvent of the above polar and charged CARs, the strong ionic polymer–polymer interactions, driven by polar hydrogen bonds of the exposed part of the film, make it much more difficult for solvent molecules to displace these interactions. Therefore, while the unexposed regions are readily developed away, the exposed polar region excludes solvent penetration, leading to high-contrast unswollen patterns. 2.7.5 Development rate characterization There are several reasons why it is important to characterize the dissolution or development rate of any given resist. The main reasons tend to be for process control purposes, given that image discrimination in a resist is based on differences in dissolution rates between the image and non-image areas. The two main techniques that are used to characterize the dissolution properties of a resist are laser interferometry and quartz crystal microbalance. Each of these techniques is reviewed below. 2.7.5.1 Laser interferometry

The first use of laser interferometry in monitoring the dissolution rate of a resist during development was by Konnerth and Dill.182 The basis of their idea is shown in Fig. 2.37. A laser beam is directed toward the film that is K. L. Konnerth and F. H. Dill, “In-situ measurement of dielectric thickness during etching or developing processes,” IEEE Trans. Electron. Devices 22(7), 452–546 (1975); K. L. Konnerth and F. H. Dill, “IOTA, a new computer controlled thin film thickness measurement tool,” Solid-State Electron. 15, 371 (1972). 182

The Semiconductor Lithographic Process Chemistry

75

Figure 2.37 Schematic of a laser interferometric apparatus for measuring resist development rate. The beam from a small He-Ne laser is used to illuminate the surface of the resist immersed in developer. The beams reflected from the surface of the resist and from the substrate–resist interface interfere constructively or destructively, depending on the thickness of the resist layer, and the solar cell receives in turn higher and lower light intensities. The corresponding signal is amplified and displayed on a chart recorder. (Reprinted from Meyerhofer183 with permission from IEEE.)

immersed in the developer. The beam reflected from the surface of the film and that reflected from the interface between resist and substrate interfere constructively or destructively,184 depending on the angle of incidence and on thickness of the film. These reflected beams are directed to the Si solar cell (a photocell), which in turn generates photoelectrons (photocurrents) that are sent to an amplifier, where they are amplified to make it easier to be measured as a signal. As the film dissolves in the developer, with the film thickness decreasing accordingly, the Si photocell registers maxima and minima of light intensity, corresponding to the remaining thickness of the resist on the substrate. A typical record trace of this signal as a function of time in the developer is shown in Fig. 2.38. The interval of time Dt between two extrema on the recorder trace corresponds to a change Dr in film thickness, which is determined by the Bragg relation: D. Meyerhofer, “Photosolubility of diazoquinone resists,” IEEE Trans. Electron. Devices 27(5), 921–926 (1980). 184 F. Rodriguez, P. D. Krasicky, and R. G. Groele, “Dissolution rates measurements,” Solid State Technol. 28(5), 125 (1985). 183

76

Chapter 2

Figure 2.38 Thickness change versus time of a resist film immersed in a developer and monitored by laser interferometry during development. The film thickness versus time in developer (solid line) can be derived from the recorder trace, as indicated in Eq. (11.27). (Reprinted from Willson185 with permission from American Chemical Society.)

2Dr ¼

ml Dt, n

(2.34)

where n is the index of refraction of the resist, l is the wavelength of the laser radiation, and m is an integer number. The recorder trace is thus easily transformed into a curve of film thickness versus development time,186 as shown in Fig. 2.38. The dampening of the interferograms at long dissolution times is attributed to increasing light loss due to diffuse scattering as the resist surface gets roughened up during the dissolution. In the most extreme case, this can prevent meaningful dissolution rates from being determined with this method.187 Today, more sophisticated versions of the simple Konnerth and Dill188 instrument are sold commercially as dissolution rate monitors by Litho Tech Japan. Equipped with on-board microprocessors, these instruments can simultaneously monitor the dissolution rates of a whole set of locations on a resist-coated wafer, as well as generate the corresponding family of dissolution rate curves in a single run. C. G. Willson, “Organic resist materials,” in Introduction to Microlithography, L. F. Thompson, C. G. Willson, and M. J. Bowden, Eds., ACS Symp. Ser. 219, p. 156 (1984). 186 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, pp. 197–199 (1989). 187 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 50 (1993). 188 K. L. Konnerth and F. H. Dill, “In-situ measurement of dielectric thickness during etching or developing processes,” IEEE Trans. Electron. Devices 22(7), 452–456 (1975); K. L. Konnerth and F. H. Dill, “IOTA, a new computer controlled thin film measurement tool,” Solid-State Electron. 15(4), 371–380 (1972). 185

The Semiconductor Lithographic Process Chemistry

77

2.7.5.2 Quartz crystal microbalance

The use of a quartz crystal microbalance in monitoring resist dissolution rates was first reported in 1985 by W. D. Hinsberg, C. G. Willson, and Kanazawa.189 This technique is based on the equation that G. Sauerbrey developed in 1959 as a method for correlating changes in the oscillation frequency of a piezoelectric crystal with the mass deposited on it.190 In deriving the equation, Sauerbrey treated the mass deposited on the crystal as though it were an extension of the thickness of the underlying quartz. Because of this, the mass-to-frequency correlation (as determined by this equation) is largely independent of the electrode geometry. This has the benefit of allowing mass determination without calibration. The Sauerbrey equation is expressed as 2f 20 Df ¼  pffiffiffiffiffiffiffiffiffiffi Dm, A rq mq

(2.35)

where f0 is the resonant frequency (in hertz) of the quartz resonator before the change, Df is the frequency change (in hertz), Dm is the mass change in grams, A is a piezoelectrically active crystal area (area between the electrodes in meters squared), rq is the density of quartz (¼ 2.648 g/cm3), and mq is the shear modulus of quartz (¼ 2.9471011 g/cm.s2). In addition to deriving the equation that now bears his name, Sauerbrey also developed a method for measuring the characteristic frequency and its changes by using the crystal as the frequency-determining component of an oscillator circuit. It should be mentioned that the Sauerbrey equation was developed for oscillation in air, and it only applies to rigid masses attached to the crystal. However, Kanazawa and Gordon have shown that quartz crystal microbalance measurements can be performed in liquid, in which case a viscosity-related decrease in the resonant frequency is observed:191 rffiffiffiffiffiffiffiffiffiffiffiffiffi hl rl , (2.36) Df ¼ f 3∕2 0 prq mq where Df is the frequency change (in hertz), f0 is the resonant frequency, rl is the density of the liquid, and hl is the viscosity of the liquid. In adapting the Kanazawa and Gordon extension of Sauerbrey’s equation to operations in liquids, Hinsberg, Willson, and Kanazawa192 coated the resist W. D. Hinsberg, C. G. Willson, and K. K. Kanazawa, “Use of a quartz crystal microbalance rate monitor to examine photoproduct effects on resist dissolution,” Proc. SPIE 539, 6 (1985). 190 G. Sauerbrey, “The use of quartz crystal oscillators for weighing thin layers and for microweighing,” Z. Phys. 155, pp. 206–222 (1959). 191 K. K. Kanazawa and J. G. Gordon, “Frequency of a quartz microbalance in contact with liquid,” Analytical Chemistry 57, 1770–1771 (1985). 192 W. D. Hinsberg, C. G. Willson, and K. K. Kanazawa, “Use of a quartz crystal microbalance rate monitor to examine photoproduct effects on resist dissolution,” Proc. SPIE 539, 6 (1985). 189

78

Chapter 2 Quartz crystal

Developer out

Resist film

Oscillator circuit

Frequency counter

Computer

Plotter

Developer in

Flow cell

Figure 2.39 Schematic of a quartz crystal microbalance dissolution monitor. The mass of the quartz crystal balance controls the frequency of the oscillating circuit. The mass change resulting from dissolution of the resist coated on the quartz crystal is linearly related to the change in oscillating frequency of the quartz crystal, which is monitored with the frequency counter. The dissolution rate of the resist is thus monitored by measuring the change in frequency of the oscillator with time as the resist film dissolves. (Reprinted from Hinsberg, Willson, and Kanazawa.192)

film onto the crystal and monitored the characteristic frequency of the crystal while the system was immersed in the developer. Figure 2.39 shows a schematic of the quartz crystal balance described by these authors.193 The characteristic frequency depends on the mass of the oscillating unit, of which the resist film is a part. As the film dissolves, the mass changes, as does the frequency. Because the frequency shift is linearly dependent on the mass of the cast film [as shown in Eq. (2.35)], it can be used to monitor the dissolution process. The technique offers several advantages: It can be used to measure very high dissolution rates; and it can handle thick films as well as opaque films and films with an uneven surface, which are impossible to measure with interference methods.194 2.7.6 Dissolution mechanism of resist polymers Given the fact that the matrix resins of resists mainly comprise polymers, it is expected that the dissolution of resists will generally follow the same patterns as polymers with high molecular weight. The 1960s saw Ueberreiter and Asmussen195 investigate the dissolution of high-molecular-weight polymers. 193

ibid. A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, p. 200 (1989). 195 K. Ueberreiter and F. Asmussen, “Velocity of dissolution of polymers. Part I,” J. Polym. Sci. 57, 187 (1962); F. Asmussen and K. Ueberreiter, “Velocity of dissolution of polymers. Part II,” J. Polym. Sci. 57, 199 (1962). 194

The Semiconductor Lithographic Process Chemistry

79

They found that polymer dissolution occurs in two stages. In the first stage, solvent penetrates the glassy polymer, forming a gel layer that separates the polymer matrix from the pure solvent. In the second stage, the polymer coils disentangle from the gel, eventually diffusing into the liquid. Three distinct phases in the steady state dissolution process are196 Glassy polymer | Swollen gel | Solvent or solution Ueberreiter found that, in the polymer systems he studied, the diffusion of the solvent across the gel layer is the rate-determining step (i.e., it is the slowest of all involved steps),197 and in those cases solvent uptake and the inward movement of the glass–gel interface depend on the square root of time, as is characteristic of Fickian diffusion. In other systems, the processes in the glass– gel interface are rate determining, and solvent uptake and interface movement are linear functions of time. Alfrey, Gurnee, and Lloyd198 termed this case II diffusion or polymer relaxation-controlled mass transfer.199 Figure 2.40 shows a schematic of time–concentration profiles for the two dissolution modes. In the following section, we discuss in some depth the dissolution mechanism of phenolic polymer resists such as novolac and PHOST resins, as well as those of acrylate and alicyclic resist polymer platforms. The development of phenolic polymer resins involves similar dissolution stages. Unlike their counterparts that are solvent developable—such as bis-azide/cispolyisoprene negative resists, which require some degree of swelling for their dissolution—these phenolic polymer resists do not require such adverse swelling for dissolution.200 2.7.6.1 Dissolution mechanism of phenolic resists

The mechanism of phenolic polymer resist dissolution has been a hotly contested and debated concept. Many models have been proposed for how this process plays out. Although each of these models has been successful in explaining different aspects (but not the complete mechanism of novolac 196

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, p. 211 (1989). 197 K. Ueberreiter, in Diffusion in Polymers, J. Crank and S. Park, Eds., Academic Press, New York (1968). 198 T. Alfrey, Jr., E. F. Gurnee, and W. O. Lloyd, “Diffusion in glassy polymers,” J. Polym. Sci., Part C 12, 249 (1960). 199 G. C. Sarti, “Solvent osmotic stresses and the prediction of Case II transport kinetics,” Polymer 20, 827 (1979); N. L. Thomas and A. H. Windle, “A theory of case II diffusion,” Polymer 23, 529 (1982). 200 J. P. Huang, T. K. Kwei, and A. Reiser, “Molecular mechanism of positive novolac resists,” Proc. SPIE 1086, 74 (1989); H. Shih, T. Yeu, and A. Reiser, “Percolation view of novolak dissolution: 3. dissolution inhibition,” Proc. SPIE 2195, 514 (1994); B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 546 (1998).

80

Chapter 2

Figure 2.40 Concentration–time profiles for (top) Fickian diffusion and for (bottom) case II mass transfer.

dissolution behavior), there is now some consensus on some key general facts surrounding this mechanism, all of which are outlined next. 2.7.6.1.1 Comparison of dissolution characteristics of novolac and PHOST-based resists

Although there are some structural similarities between novolac resins and PHOST-based resists, there exists distinct differences in their dissolution characteristics. Figure 2.41 shows the development rate curves for (a) an i-line DNQ/novolac resist, (b) a PHOST/melamine crosslinker resist/photoacid generator (DUV-248-nm chemical amplification negative resist),201 (c) poly(butylcarbonyloxy styrene-co-hydroxystyrene)/photoacid generator (DUV-248-nm chemical amplification positive resist).202 The dissolution rate curve for the DNQ/novolac resist exhibits a nonlinear dissolution rate profile, consisting of three regions. The steep development rate log slope (DRLS ¼ 5.2) of this resist is indicative of its high contrast. The high development contrast (Rmax/Rmin) of this resist is associated with high sensitivity and low J. W. Thackeray, G. W. Orsula, E. K. Pavelchek, et al., “Deep UV ANR photoresists for 248 nm excimer laser photolithography,” Proc. SPIE 1086, 34 (1989). 202 O. Nalamasu, M. Cheng, J. M. Kometani, et al. “Development of a chemically amplified positive resist material for single-layer deep-UV lithography,” Proc. SPIE 1262, 32 (1990). 201

The Semiconductor Lithographic Process Chemistry

81

Figure 2.41 Dissolution rate curves for (a) an i-line DNQ/novolac resist, (b) a PHOST/ melamine crosslinker resist (DUV-248-nm chemical-amplification negative resist), (c) poly(butylcarbonyloxy styrene-co-hydroxystyrene) (DUV-248-nm chemical-amplification positive resist). The slope is the development rate log slope. (Reprinted from Itani, Itoh, and Kasama.203)

erosion properties. In contrast, the negative- and positive-tone chemically amplified DUV-248-nm resists have DRLS values of 4.5 and 9.1, respectively, suggesting that the negative resist performs slightly worse than the i-line resist, while the positive resist performs better than both the i-line and the negative DUV-248-nm resists, in terms of lithography. Figure 2.42(a) shows an Arrhenius plot for the dissolution of i-line resist, with three distinct regions: (Region I) a high dose, low-temperature region where the activation energy Ea is small and positive, (Region II) an intermediate region where Ea is negative and decreasing, and (Region III) a low-dose, high-temperature region where Ea is positive and comparatively large. In contrast, the plots for the DUV-248nm resists [Figs. 2.42(c) and (d)] show a constant Ea, suggesting that only one reaction mechanism governs the development rate of these DUV-248-nm resists, although Ea appears to saturate at high doses. At these high doses, deprotonation of hydroxyl groups at the PHOST becomes rate determining, as in the mechanism for the negative resist.204 2.7.6.1.2 General facts about the dissolution mechanism of DNQ/novolac resists

The key empirical facts surrounding the dissolution of novolac that have been established are outlined below. It was based on these facts that mechanistic models of novolac dissolution were proposed. The dissolution of novolac, except for a brief initial phase, proceeds with a constant rate and follows T. Itani, K. Itoh, and K. Kasama, “Dissolution kinetics of chemically amplified resists,” Proc. SPIE 1925, 388 (1993). 204 B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 447 (1998). 203

82

Chapter 2

Figure 2.42 Dissolution rate Arrhenius plots (dissolution rate R versus 1/temperature of the developer) for the three resists in Fig. 2.41: (a) DNQ/novolac resist, (b) PHOST/ melamine crosslinker resist, and (c) poly(butylcarbonyloxy styrene-co-hydroxystyrene) resist. (Reprinted from Itani, Itoh, and Kasama.205)

case II mass transfer kinetics (also called case II Fickian diffusion). In lowmolecular-weight novolacs, the width of the gel layer is on the order of 10 nm.206 Arcus has demonstrated the existence of this gel layer in a highmolecular-weight phenolic novolac resin,207 as shown in the laser interferogram trace of Fig. 2.43. This interferogram shows three separate sets of reflections, corresponding to the interfaces between (A) the polymer matrix and the silicon wafer, (B) the polymer matrix and the swollen gel, and (C) the gel layer and the developer solution.208 Unlike classic solvent-developed negative resists (such as cyclized rubber/ bisazide resist), which swell during development, positive DNQ/novolac resists do not appreciably swell during their development in aqueous alkaline solutions. It should be emphasized that the dissolution of novolac is not the same as that of the usual case II mass transfer kinetics in that it is not physical relaxation of the polymer molecules that controls the rate. In case II dissolution, the rate-determining events occur at the polymer–gel interface.209 205 T. Itani, K. Itoh, and K. Kasama, “Dissolution kinetics of chemically amplified resists,” Proc. SPIE 1925, 388 (1993). 206 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 211 (1989); see Ref. 116, p. 211. 207 R. A. Arcus, “A membrane model for positive photoresist development,” Proc. SPIE 631, 124 (1986). 208 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 211 (1989); R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 52 (1993). 209 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, pp. 52–53 (1993).

The Semiconductor Lithographic Process Chemistry

83

Figure 2.43 Laser interferogram trace obtained during the dissolution of a phenolic base resin of high molecular weight. The three sets of interferences correspond to reflections from the interfaces between (A) the solution and gel layer, (B) the gel layer and solid polymer, and (C) the polymer and the glass substrate. (Reprinted from Arcus.210)

Empirical evidence suggests that the dissolution phenomenon of novolacs has some unique characteristics (see below), the interpretation of which have provided valuable insights into the dissolution process. A minimum base concentration is required to dissolve the novolac resin at a reasonable rate, and this minimum concentration varies from one resin to another. The existence of this critical base concentration is related to the fact that the deprotonation of phenol to a phenoloate ion must occur at some stage in the dissolution process, as shown in Reaction [2.6].211

[2.6]

Above that critical concentration, the dissolution rate R shows a power law dependence on the base concentration c according to the scaling equation:212

R. A. Arcus, “A membrane model for positive photoresist development,” Proc. SPIE 631, 124 (1986). 211 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 213 (1989). 212 ibid. 210

84

Chapter 2

R ¼ kcn ,

(2.37)

where the constant of proportionality k is the scaling factor, and n is the exponent to which c can be raised. Hinsberg and Guttierez213 reported that the dissolution rate of novolac is increased if a neutral salt of the base cation is added to the developer. In the systems they investigated, the dissolution rate shows a linear dependence on the concentration of the base cation, but a strongly supralinear dependence on the concentration of OH– ions. The observations made by Hinsberg and Guttierez214 have also been confirmed for other positive resists.215 Another clue to the nature of the dissolution process was uncovered when it was determined that in many resist systems there exists a linear correlation between the dissolution rate and the reciprocal diffusion coefficient of the unhydrated cation size216 [see Fig. (2.44)].

Figure 2.44 Influence of unhydrated cation size on dissolution rate, showing a linear correlation between the reciprocal diffusion cross-section 1/(pr2) of the unhydrated cations and the dissolution rate. (Reprinted from Huang, Kwei, and Reiser.217) W. D. Hinsberg and M. L. Guttierez, “Effect of developer composition on photoresist performance,” Proc. SPIE 469, 57 (1984). 214 ibid. 215 M. J. Hanrahan and K. S. Hollis, “Comparison of the dissolution behavior of poly(phydroxystyrene) with novolac,” Proc. SPIE 771, 128 (1987). 216 J.-P. Huang, T. K. Kwei, and A. Reiser, “Molecular mechanism of positive novolac resists,” Proc. SPIE 1086, 74 (1989); “On the dissolution of novolak in aqueous alkali,” Macromolecules 22, 4106 (1989). 217 ibid. 213

The Semiconductor Lithographic Process Chemistry

85

2.7.6.1.3 Mechanistic models for DNQ/novolac dissolution

Several mechanistic models have been proposed with a view to explaining the above empirical results dealing with novolac dissolution. Taken together, the above outlined empirical observations surrounding novolac dissolution, according to Reiser,218 suggest that the novolac dissolution process must contain the following steps: 1. The penetration of water and OH– ions into the glassy matrix, leading to the formation of a narrow penetration zone that is the equivalent of the gel layer observed with high-molecular-weight polymers. 2. Deprotonation of phenol as shown in Reaction [2.7]. 3. Hydration of the phenolate ion, as shown below.

[2.7]

4. Compensation of the negative charge of the pehenolate ion by the base cation. 5. Transfer of the hydrated novolac macromolecule into the developer solution. Examples of models that have been proposed to link the above steps into a coherent mechanism include the membrane model, the secondary structure model, the critical deprotonation model, the percolation model, and the “stone wall” model, to mention but a few. In the following section, we briefly review aspects of these models. 2.7.6.1.3.1 The membrane model

The membrane model, proposed by Arcus,219 treats the interface between the resin and the developer as a partially semipermeable membrane that may “differentiate between the ions of aqueous developers due to variations in size, composition and charge . . . the membrane properties can be modified by chemical treatments, changes in concentration . . . and most importantly by the photochemistry of the included naphthoquinone-diazide.”220 This model appears to account for a great many aspects of the empirical observations surrounding the dissolution of novolac resins. It explains why the membrane 218

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, pp. 215–216 (1989). 219 R. A. Arcus, “A membrane model for positive photoresist development,” Proc. SPIE 631, 124 (1986). 220 ibid.

86

Chapter 2 Table 2.3

Properties of hydrated alkali ions.221 Li+

Na+

K+

Rb+

Cs+

Ionic radii (Å) in crystals

0.68

0.98

1.33

1.48

1.67

Radii of hydrated ions (Å)

3.4

2.76

2.32

2.28

2.28

would allow the passage of OH– ions, while slowing down the diffusion of larger cations. This makes the cation transport through the membrane the rate-determining step and explains the increase in dissolution rate by the addition of neutral salts. Further, it provides a qualitative interpretation of the cation size effect; however, in the presence of highly hydrated cations, the membrane diffusion rates would be expected to correlate with the size of the hydrated cations. Yet, it is the radius of the unhydrated ions derived from crystallographic data that appears to be correlated with the dissolution rates222 (see Table 2.3). While the membrane model implies that the overall rate of the dissolution process is controlled by the rate of diffusion of developer components into the matrix, another model proposed by Garza et al.223 maintains that in some resins, the deprotonation of the phenol groups of the novolac can be the rate determining step (see below). 2.7.6.1.3.2 The secondary structure model

The secondary structure model, proposed by Templeton, Szamanda, and Zampini224 relates the secondary structure of novolacs [see Figs. 2.45(a) and (b)] to their dissolution behavior. The authors distinguish between structures where intermolecular bonds between novolac molecules predominate and those with predominantly intramolecular hydrogen bonds; and they correlated these to dissolution behavior. They found that, for example, for novolacs made from p-cresol, the secondary structure of the resin brings the OH– groups of the phenols together to such an extent that they are linked by a series of intramolecular hydrogen bonds. Upon deprotonaton of the first phenol group of the ensemble, the resulting negative charge is delocalized via the mobile hydrogen ions over the whole “nest” of participating phenols (Fig. 2.46).225

221

R. S. Berry, S. A. Rice, and J. Ross, Physical Chemistry, Wiley, New York, p. 422 (1980). A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 216 (1989). 223 C. M. Garza, C. R. Szmanda, and R. L. Fischer, Jr., “Resist dissolution kinetics and submicron process control,” Proc. SPIE 920, 41 (1988). 224 M. K. Templeton, C. R. Szamanda, and A. Zampini, “Dissolution kinetics of positive photoresists: the secondary structure model,” Proc. SPIE 771, 136 (1987). 225 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 217 (1989). 222

The Semiconductor Lithographic Process Chemistry

87

Figure 2.45 (top) Secondary structure of an ortho-para-coupled m-cresol novolac as calculated by molecular mechanics. (Reprinted from Templeton, Szamanda, and Zampini.226) (bottom) Secondary structure of p-cresol novolac tetramer as determined from x-ray crystallography. Hydrogen bonds are indicated with dotted lines. (Reprined from Templeton, Szamanda, and Zampini.227)

Arriving at this phenolate site, a small cation will form a tight ion pair with the phenolate ion, binding the charge to a single center. On the other hand, if a large cation arrives at the phenolate site, it will form a loose ion pair, and part of the negative charge of phenolate will remain delocalized over the other phenols of the ensemble, making the second deprotonation step within the group much more difficult. This will effectively slow down the 226 M. K. Templeton, C. R. Szamanda, and A. Zampini, “Dissolution kinetics of positive photoresists: the secondary structure model,” Proc. SPIE 771, 136 (1987). 227 ibid.

88

Chapter 2 H

H

H

O

O

O

O

CH 3

CH 3

CH 3

CH 3

Figure 2.46 Delocalization of negative charges (via mobile hydrogen ions) over different phenol groups of p-cresol tetramers of novolac following deprotonation of one of the phenolic groups.

deprotonation of the resin and make the chemical reaction the ratedetermining step in the dissolution process.228 The secondary structure model, it must be pointed out, has been remarkably successful in interpreting the large differences in dissolution rate between resins based on different cresol isomers; it also provides a natural explanation for the cation size effect in p-cresol-based novolacs. It does, however, run into difficulties in explaining the known dependence of the dissolution process on the thermal history of the resin and its free volume status. This is not entirely unexpected for a system controlled by chemical reaction.229 In explaining the results of the secondary structure model, Garza, Szmanda, and Fischer230 suggested that for some resins the deprotonation of the phenol groups of novolacs can be the rate-determining step. They suggested that the dissolution proceeds in two distinct steps, comprising an initial single deprotonation of a hydrogen-bonded cluster, followed by the removal of the remaining proton, presumably in several smaller steps. Reiser231 rejected this argument on the grounds that, if the deprotonation reaction is indeed the rate-limiting step, the observed high exponent of [OH–] in the rate equation being a true kinetic order must imply that the reaction involves the simultaneous extraction of many protons from the resist, as Garza proposed;232 this is an event that Reiser considers highly unlikely. 228

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 217 (1989). 229 ibid., p. 218. 230 C. M. Garza, C. R. Szmanda, and R. L. Fischer, Jr., “Resist dissolution kinetics and submicron process control,” Proc. SPIE 920, 41 (1988). 231 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 218 (1989). 232 C. M. Garza, C. R. Szmanda, and R. L. Fischer, Jr., “Resist dissolution kinetics and submicron process control,” Proc. SPIE 920, 41 (1988).

The Semiconductor Lithographic Process Chemistry

89

Instead, Reiser points out that the very high reaction order found by Garza et al. for the rate-determining step seems to indicate that the dissolution rate is limited by the kinetics of the last step, in which an n – 1 times deprotonated novolac reacts to form an n times deprotonated species, which detaches from the polymer matrix and enters dissolution in the developer.233 2.7.6.1.3.3 Critical deprotonation model

Huang, Kwei, and Reiser234 postulated the critical deprotonation model to resolve the difficulties of the Garza model, if the assumption is made that the rate determining event in novolacs dissolution is the last step, namely the detachment of the hydrated novolac molecule from the matrix. According to this model, during development in aqueous base developer, water and OH– ions of the base simultaneously enter the novolac film matrix, deprotonating some of the phenolic groups of the resin, and forming phenolates. As the water and OH– ion penetrate the film, with the cation of the base following the OH– ion, a thin penetration zone is formed by these species; this zone is equivalent to the gel layer observed in conventional polymer dissolution. A diffusion path is thus defined by a succession of hydrophilic sites (phenolate sites), and the developer penetrates deeper into the film, as through a set of diffusion channels. The phenolate ions in the diffusion channels bind the water molecules via coordination; the charge of the polymer-bound phenolates is balanced by those of the cations of the developer base. During the early stages of these processes, the deprotonated novolac segments remain attached to the polymer matrix. It is only when enough novolac segments have been converted to phenolate ions that the ionomeric molecule can detach itself from the polymer matrix. It is the last critical deprotonation step that makes possible the transfer of polymer into the solvent, resulting in dissolution.235 It must be emphasized that a critical deprotonation must be reached before the detachment of the hydrated novolac molecule from the matrix, suggesting that the deprotonation equilibrium between phenol and the developer base controls the concentration of the deprotonated species Pn–1, which is just one deprotonated step away from dissolution. The equilibrium constant K for the specific case of the deprotonation reaction on NaOH developer is given by236 233

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 218 (1989). 234 J.-P. Huang, T. K. Kwei, and A. Reiser, “Molecular mechanism of positive novolac resists,” Proc. SPIE 1086, 74 (1989); “The dissolution of novolak in aqueous alkali,” Macromolecules, 22(10), 4106–4112 (1989). 235 ibid. 236 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 218 (1989).

90

Chapter 2



½Pn1  : ½NaOHn1

(2.38)

Huang, Kwei, and Reiser234 report that the dissolution rate is determined by the kinetics of the last step: R ¼ k d ½Pn1 ½NaOH ¼ k d K½NaOHn ,

(2.39)

where kd is the rate constant of the dissolution rate. Further, the state of critical deprotonation involves a multistage acid–base equilibrium, comprising very fast processes that are diffusion limited even in fluid solution. The rate of phenolate formation in the penetration zone in this case is determined by the rate of supply of the reactants. Consequently, the rate of dissolution of the resin, which is dependent on the deprotonation process, is controlled by the diffusion of the developer into the polymer matrix.237 Huang, Reiser, and Kwei238 have estimated the diffusion coefficient of some cations from the dissolution rate and the width of the penetration zone. Using the observed dissolution rate R (200 Å/s) and an estimated penetration width d of 20–100 Å, the authors have estimated the diffusion coefficient of the cations in novolac resins to be on the order of D ¼ Rd ¼ 4  1013 to 2  1012 cm2 =s:

(2.40)

These authors239 have also constructed a model for the diffusion coefficient in terms of the base concentration in the penetration zone D(c) as DðcÞ ¼ aðc  c0 Þn ,

(2.41)

where c is the concentration of the developer, c0 is the critical concentration of the developer below which no dissolution occurs, and n is a numerical exponent. When expressed in terms of the diffusion flux, these authors arrive at an equation for the diffusion coefficient as a function of fractional depth x0 into the penetration zone that is expressed in this form:240

J.-P. Huang, T. K. Kwei, and A. Reiser, “Molecular mechanism of positive novolac resists,” Proc. SPIE 1086, 74 (1989); “The dissolution of novolak in aqueous alkali,” Macromolecules 22(10), 4106–4122 (1989). 238 ibid. 239 ibid. 240 ibid. 237

The Semiconductor Lithographic Process Chemistry

91

Figure 2.47 Evolution of the diffusion coefficient along the penetration zone, showing collapse of the diffusion coefficient at the polymer–gel interface. (Reprinted from Huang, Kwei, and Reiser241 with permission from American Chemical Society.)

D ¼ D0 ð1  x0 Þ



n nþ1

:

(2.42)

Figure 2.47 shows the collapse of the diffusion coefficient at the polymer–gel interface (at x0 ¼ 1). A similar treatment yields an improved equation for the experimentally observed supralinear dependence of dissolution on the base concentration (see Fig. 2.48). All of the above results, which show the cation transport to be the ratedetermining step, are also corroborated by results of the investigations on the effect of added salts (such as NaCl and NaOH) to the developer solution, as reported by Hinsberg and Guttierez.242 Above a certain threshold, the rate of increase of the dissolution speed is greatly lowered, indicating the saturation of cation transport, a regime in which the dissolution rate is limited by the deprotonation step.243 J.-P. Huang, T. K. Kwei, and A. Reiser, “The dissolution of novolak in aqueous alkali,” Macromolecules 22(10), 4106–4122 (1989). 242 W. D. Hinsberg and M. L. Guttierez, “Effect of developer composition on photoresist performance,” Proc. SPIE 469, 57 (1984). 243 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 58 (1993). 241

92

Chapter 2

Figure 2.48 Dependence of novolac dissolution rate on the developer base concentration. (left) Standard power law model and (right) Huang–Reiser–Kwei model. c is the critical concentration below which no development occurs. (Reprinted from Huang, Kwei, and Reiser244 with permission from American Chemical Society.)

2.7.6.1.3.4 Percolation model of resist dissolution

The idea of novolac as an amphiphilic polymer into which the developer penetrates through a chain of hydrophilic sites has led to a mathematical treatment of resist dissolution as a percolative diffusion process.245 The basic ideas of the percolation theory of dissolution include these key facts: (1) dissolution rate is determined by transport of cations through the percolation zone, (2) dissolution occurs only if the percolation field exceeds a critical value, (3) molecular weight effects are caused by energy conduction in the chains, (4) all aqueous dissolution of polymers follows one dimensionless master equation (i.e., the dissolution properties of all polymers scale the same way), (5) inhibitors work by clustering the percolation sites, and (6) neutral salts enhance the dissolution by increasing cation flux, and inhibit it by competing with anion movement. Percolation theory246 predicts for the dissolution rate R an equation of the form R ¼ kðp  pc Þ2 ,

(2.43)

244 J.-P. Huang, T. K. Kwei, and A. Reiser, “The dissolution of novolak in aqueous alkali,” Macromolecules 22(10), 4106–4122 (1989). 245 T. F. Yeh, H. Y. Shi, and A. Reiser, “A percolation view of novolak dissolution and inhibition,” Proc. SPIE 1672, 204 (1992); “Percolation view of novolak dissolution and dissolution inhibition,” Macromolecules 25(20), pp. 5345–5352 (1992). 246 According to percolation theory, a branch of mathematics dealing with phenomena such as the formation of connected channels (called percolating clusters) from randomly distributed sites on a grid, such a system would be expected to have a strongly nonlinear response if the number of sites per volume (called degree of space filling) is close to a threshold value called the percolation threshold. For more details, see, for example, D. Staufer, Introduction to Percolation Theory, Taylor & Francis, London (1985).

The Semiconductor Lithographic Process Chemistry

93

where k is a constant, p is the percolation parameter (i.e., the degree of filling of the percolation field), and pc is the percolation threshold (i.e., the critical value of p above which the cluster size tends to infinity). The percolation parameter is readily determined from the density of hydrophilic sites x from the relation p ¼ ax þ b, where the constants a and b are determined by setting p ¼ 1 for x ¼ 1, corresponding to the maximum density of free hydroxyl groups in the group of resins studied, and by setting pc ¼ axc þ (1 – a), where xc is the critical density of percolation sites for which dissolution no longer occurs. The value of xc is determined for each resin from a plot of log R versus x, where log R goes to –` for xc.247 Typically, the values of xc determined experimentally are consistent with pc ¼ 0.2, a value that is reasonable for many 3D percolation processes.248 Figure 2.49 shows a plot of log R vs. log (p – pc) for seven structurally different resins as well as a dimensionless master curve obtained by taking the ratio of the dissolution rates of the seven structurally different resins relative to R1, the dissolution rate of polyhydroxystyrene, i.e., a fully occupied percolation field (x ¼ p ¼ 1) versus p, according to the following equation:249

Figure 2.49 The dimensionless master curve for seven structurally different resins. (Adapted from Yeh, Reiser, Dammel, et al.250) T. F. Yeh, A. Reiser, R. R. Dammel, G. Pawlowski, and H. Roschert, “Scaling law for the dissolution of phenolic resins in aqueous base,” Proc. SPIE 1925 (1993). 248 ibid. 249 ibid. 250 ibid. 247

94

Chapter 2



R log R1

 ¼ 2 logð1  pc Þ þ 2 logðp  pc Þ:

(2.44)

It is remarkable that these seven structurally different resins all yielded a slope of 2 on log R vs. log (p – pc) plots for a value of pc ¼ 0.2; all of them mapping perfectly onto the same master curve.251 A major problem with the percolation model is that it predicts a resist contrast that is far lower than what is observed experimentally. 2.7.6.1.3.5 Critical ionization model

The critical ionization model proposed by Tsiartas et al.252 states that a resist polymer below its entanglement molecular weight dissolves in a developer whenever a critical fraction of its blocked groups become deblocked. In the specific case of phenolic resins, dissolution proceeds via acid–base reaction between the aqueous developer base and the phenolic group of the resins, leading to deprotonation of the latter and formation of phenolate ions. Dissolution as a result occurs in the phenolic resins only when a critical fraction of the phenol groups in the polymer are ionized (deprotonated). Below this critical ionization fraction, no dissolution of the resin occurs in the developer. This implies that lower-molecular-weight polymers will require fewer deblocking (deprotonation or ionization) events to become soluble compared to their higher-molecular-weight counterparts. 2.7.6.1.3.6 Stone wall model of novolac dissolution

Proposed by Hanabata, Uetani, and Furuta253 in 1990, the stone wall model states that during development, the low-molecular-weight novolacs and sensitizer (referred to as the mortar of the wall) in the exposed part of DNQ/ novolac resist dissolve quickly; this has the effect of increasing the surface areas of the high-molecular-weight novolac (referred to as the “stones” of the wall) that is in contact with the developer, eventually leading to a breakup of the “stone wall,” and resulting in dissolution (see Fig. 2.50). 2.7.6.1.3.7 Effects of resin and inhibitor structure on dissolution rate

There is ample evidence that the structural properties of phenolic resins such as novolac and PHOST, as well as the inhibitors associated with them, do 251

ibid. P. C. Tsiartas, L. W. Flanagin, C. L. Henderson, W. D. Hinsberg, I. C. Sanchez, R. T. Bonnecaze, and C. G. Willson, “The mechanism of phenolic polymer dissolution: A new perspective,” Macromolecules 30(16), 4656–4664 (1996). 253 M. Hanabata, Y. Uetani, and A. Furuta, “Novolak design for high resolution positive photoresists. II. Stone wall model for positive photoresist development,” Proc. SPIE 920, 349– 482 (1990). 252

The Semiconductor Lithographic Process Chemistry

95

Figure 2.50 Stone wall model of DNQ/novolac resist development. (Reprinted from Hanabata, Uetani, and Furuta.254)

exert considerable influence on the dissolution properties of the DNQ/novolac resist.255 As discussed earlier in the secondary structure model, Templeton, Szamanda, and Zampini256 have shown that the steric arrangement of the methylene links in the novolac resin can have a profound effect on its dissolution rate and on lithographic performance. Using molecular mechanics, these authors have calculated the equilibrium secondary structures of cresol-formaldehyde oligomers.257 They found that the secondary structure of these molecules determines the relative positions of the hydroxyl groups in the novolac matrix, and hence the possibility of intramolecular hydrogen bonding.258 Figure 2.51 shows the secondary structure of (a) ortho-coupled novolac, (b) ortho-para-coupled novolac, and (c) PHOST. In the model of the ortho-ortho-coupled p-cresol novolac, all four hydroxyl groups are within 254

ibid. C. G. Willson, R. Miller, D. McKean, N. Clecak, T. Tompkins, D. Hofer, J. Michl, and J. Downing, “Design of a positive resist for projection lithography in the mid-UV,” Polym. Sci. Eng. 23, 1004 (1983); M. K. Templeton, C. R. Szamanda, and A. Zampini, “Dissolution kinetics of positive photoresists: the secondary structure model,” Proc. SPIE 771, 136 (1987). 256 M. K. Templeton, C. R. Szamanda, and A. Zampini, “Dissolution kinetics of positive photoresists: the secondary structure model,” Proc. SPIE 771, 136 (1987). 257 E. Paulus and V. Bohmer,” Die kristallstruktur von oligo [(2-hydroxy-1,3-phenylen) methylen] Makromol. Chem. 185, 1921 (1984). 258 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 218 (1989). 255

96

Chapter 2

Figure 2.51 Secondary structure of (a) ortho-coupled novolac, (b) ortho-para-coupled novolac, and (c) PHOST. The ortho-coupled novolac has a high degree of hydrogen bonding, possesses low Tg, and has a low dissolution rate and good inhibition properties. The ortho-para-coupled novolac has a low degree of intramolecular hydrogen bonding, high Tg, high dissolution rate, and poor dissolution inhibition properties. The PHOST has no intramolecular hydrogen bonding, very high Tg, very fast dissolution, and poor dissolution inhibition properties. (Reprinted from Templeton, Szmanda, and Zampini.259)

reach of each other, and intramolecular hydrogen bonding is strongly favored, meaning that this novolac has low Tg, low dissolution rate, and good inhibition properties. In contrast, in the secondary structure of the ortho-paracoupled m-cresol novolac, the hydroxyl groups are widely separated and located on the periphery of the molecule, a configuration that favors intermolecular hydrogen bonding over intramolecular bonding. The same also applies to PHOST. As a result, both the ortho-para-coupled m-cresol novolac and PHOST have high Tg, high dissolution rate, and poor dissolution inhibition properties. The balance between intra- and intermolecular hydrogen bonding also affects the interaction of the resin with the inhibitor, in other words, the solubility of the DNQ in the novolac.260 The effect of the structure of the inhibitor on the dissolution rate of the resist and on its lithographic performance has also been reported by Trefonas and Daniels.261 These investigators studied the effects of the number of diazoquinone units attached to a polyfunctional inhibitor III. For example, with benzophenone as the central component (ballast), they prepared six derivatives by gradually substituting all six hydroxyl groups of M. K. Templeton, C. R. Szamanda, and A. Zampini, “Dissolution kinetics of positive photo-resists: the secondary structure model,” Proc. SPIE 771, 136 (1987). 260 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 218 (1989). 261 P. Trefonas III and B. K. Daniels, “New principle for image enhancement in single-layer positive photoresists,” Proc. SPIE 771, 194 (1987). 259

The Semiconductor Lithographic Process Chemistry

97

hexahydroxybenzphenone by diazoquinone moieties, which yielded six different photoactive species. The ratio (q) of active groups to ballast thus had values between 1 and 6. They used trisubstituted benzophenone (shown below) as the photoactive species (inhibitor):262

where DQ is the moiety (IV).

The DQ groups in the resist absorb light independently and decompose independently, so that in a partially exposed resist film there will be a mixture of photoproducts. If the dissolution rate R is the sum of the dissolution rates ri associated with each photoproduct, and weighted by the content mi of the product i in the partially exposed resist, Trefonas and Daniels obtained X R¼ mi ri : (2.45) i

The remarkable, rather counterintuitive result of this analysis is the finding that, in certain particularly selective novolac resists with a trifunctional inhibitor (q ¼ 3), the contribution to the dissolution rate by the fully (i.e., triply) converted inhibitor is much larger than the contributions of the other components.263 For instance, in the cited case of Trefonas and 262

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 211 (1989). 263 ibid., p. 223.

98

Chapter 2

Daniels,264 the rate of contribution r0 of the fully converted inhibitor (q ¼ 0) and those of the others, (r1, r2, r3) are given in the following:265 r0 ¼ 1690 (Å.s–1); r1 ¼ 24; r2 , 1; r3 ¼ 0. The implication of these results suggests that the dissolution of the resist is controlled almost exclusively by the fully exposed (triply converted) component, the concentration of which becomes important in the later stages of irradiation.266 Dissolution of the resist is initially slow as the dissolution proceeds but increases quite dramatically when the third component starts to dominate the composition of the photoproduct. As a result, the resist has a sharp exposure threshold and high development contrast, as observed by Trefonas and Daniels.267 Figure 2.52 shows the effect of inhibitor functionality on the dimensions and the wall slope of 1.25-mm features in a series of proprietary resist compositions of increasing inhibitor functionality. The improvement in image quality, dimensional accuracy, and sidewall slope in this series is remarkable.268 2.7.7 Resist development issues 2.7.7.1 Pattern collapse

The collapse of patterns is a phenomenon that occurs during the rinse step of the development process of resists. As developer and rinse deionized water are removed from the developed wafers, surface tension forces pull closely spaced adjacent lines together (see Fig. 2.53). The outside features are more susceptible to collapse than those on the inside. Factors that influence pattern collapse include the aspect ratio (height/width), pitch, and mechanical properties of the resist.269 The origin of this phenomenon can be traced to the drying step of the liquid development process. During the development step, after the resistpatterned wafer has been contacted with the developer solution for a given length of time and subsequently rinsed with deionized water, the level of the rinse liquid at some point attains a condition like that shown in Fig. 2.54 (left), where the space between adjacent resist lines is partially filled with fluid. The P. Trefonas III and B. K. Daniels, “New principle for image enhancement in single-layer positive photoresists,” Proc. SPIE 771, 194 (1987). 265 These are also cited in A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 223 (1989). 266 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 223 (1989). 267 P. Trefonas III and B. K. Daniels, “New principle for image enhancement in single-layer positive photoresists,” Proc. SPIE 771, 194 (1987). 268 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, p. 223 (1989). 269 W. D. Domke, V. L. Graffenberg, S. Patel, G. K. Rich, H. B. Cao, and P. F. Nealey, “Pattern collapse in high aspect ratio DUV and 193-nm resists,” Proc. SPIE 3999, 313–321 (2000). 264

The Semiconductor Lithographic Process Chemistry

99

Figure 2.52 Effect of inhibitor functionality on the dimensions and wall slope of 1.25-mm features in a series of proprietary resist compositions of increasing inhibitor functionality. (Reprinted from Trefonas and Daniels.270)

fluid meniscus exhibits a curvature due to the differences in pressure across the fluid interface that result from surface tension in the confined space between the resist lines. Tanaka, Morgami, and Atoda271 developed a cantilever beam mechanical model for describing pattern collapse. The Laplace equation relates the pressure differential across the meniscus to the capillary pressure as follows:272 DP ¼

g , r

(2.46)

P. Trefonas III and B. K. Daniels, “New principle for image enhancement in single-layer positive photoresists,” Proc. SPIE 771, 194 (1987). 271 T. Tanaka, M. Morgami, and N. Atoda, “Mechanism of resist pattern collapse during development process,” Japan. J. Appl. Phys. 32, 6059–6064 (1993). 272 P. W. Atkins, Physical Chemistry, 5th edition, W.H. Freeman & Co., New York, pp. 963–966 (1994). 270

100

Chapter 2

Figure 2.53 Features that have collapsed after liquid development [reprinted from U. Okoroanyanwu, Chemistry and Lithography, SPIE Press, Bellingham, Washington p. 532 (2010)].

Figure 2.54 Schematic of (left) resist patterns undergoing rinsing during development and (right) the forces acting on the resist patterns due to developer rinse liquid [reprinted from U. Okoroanyanwu, Chemistry and Lithography, SPIE Press, Bellingham, Washington p. 533 (2010)].

where g is the surface tension, r is the radius of curvature of the fluid’s meniscus, and r is related to the space d between the two resist lines (before any bending occurs) as

The Semiconductor Lithographic Process Chemistry

101

d : 2 cos u

(2.47)



The pressure differential across the meniscus exerts capillary forces that act perpendicularly and inward from the resist sidewall. Thus, the capillary force on the resist line is given by273 F ¼ DPA ¼

2g cos u hl, d

(2.48)

where A is the area of the resist line covered by the rinse liquid [height of the rinse liquid (h)length of resist line (l)]. From the above equation, pattern collapse depends on the spacing distance between the resist lines (this is related to the pitch) as well as the surface tension of the rinse liquid (for water, it is 0.072 N/m or 72 dyne/cm at room temperature) and the aspect ratio of the line (h/d). The capillary force increases as the resist line starts to bend. The radius of curvature of the meniscus decreases as the two resist lines approach each other, causing an increase in the capillary force.274 The bending of the resist line can be modeled as an elastic cantilever beam.275 Young’s modulus E, a measure of the stiffness of the resist, is the important property that can be used to describe the bending action of the resist. In general, resists have Young’s modulus in the range of 2–6 GPa (with novolac resists occupying the high end of the range and acrylate resists occupying the low end of the range).276 The glass transition temperature of a resist correlates positively with the resist’s Young’s modulus value: resists with high Tg tend to have high Young’s modulus, and those with low Tg tend to have low Young’s modulus. Applying a capillary force F to a resist line causes that line to move (or sway) into the space by an amount d given by   3 3 F h : d¼ 2 E d

(2.49)

T. Tanaka, M. Morgami, and N. Atoda, “Mechanism of resist pattern collapse during development process,” Japan. J. Appl. Phys. 32, 6059–6064 (1993). 274 C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, Hoboken, New Jersey, p. 364 (2007). 275 T. Tanaka, M. Morgami, and N. Atoda, “Mechanism of resist pattern collapse during development process,” Japan. J. Appl. Phys. 32, 6059–6064 (1993). 276 J. Simons, D. Goldfarb, M. Angeloppoulos, S. Messick, W. Moreau, C. Robinson, J. de Pablo, and P. Nealey, “Image collapse issues in photoresist,” Proc. SPIE 4345, 19–29 (2001). 273

102

Chapter 2

The fact that the amount of bending is proportional to the cube of the aspect ratio of the resist line implies that bending is very sensitive to the aspect ratio.277 As the resist line bends, the pulling of the line by the capillary force is opposed by a restoring force caused by the stiffness of the resist. Eventually, a critical point is reached where the capillary force exceeds the restoring force of the resist, resulting in the collapse of the resist pattern.278 Tanaka, Morgami, and Atoda279 calculated this critical point to occur when  qffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi E 4 3 ≤ A 3As cos u þ sin u þ 9A2s cos2 u þ 6As cos u sin u , (2.50) g d l where As is the aspect ratio of the space, and Al is the aspect ratio of the line (h/d). In a situation where the contact angle is less than about 80 deg and the aspect ratio of the space is high, the square root term in Eq.(2.50) can be approximated with the first terms of the Taylor series to give280 E 4 3 ≤ A ½3As cos u þ sin u: g d l

(2.51)

Yoshimoto, Stoykovich, Cao, et al.281 proposed an elastoplastic model for pattern collapse, in which the maximum feature displacement dmax from a mean position arising from pattern bending deformation is described by dmax

s2YW 1 pffiffiffiffiffiffiffiffiffiffiffiffi  1a , ¼ EaDP 2

(2.52)

where s2Y is the yield stress, W is the width of each of the two parallel features, E is Young’s modulus, DP is the pressure difference acting on the features, and a is a parameter (2/3 , a ,1). Elastic bending results in a smaller radius of curvature of the meniscus, leading to higher capillary pressure DP: DP ¼ 277

2g cosðu  fÞ , d  2dmax

(2.53)

C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, Hoboken, New Jersey, p. 364 (2007). 278 ibid. 279 T. Tanaka, M. Morgami, and N. Atoda, “Mechanism of resist pattern collapse during development process,” Japan. J. Appl. Phys. 32, 6059–6064 (1993). 280 C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, Hoboken, New Jersey, p. 365 (2007). 281 K. Yoshimoto, M. P. Stoykovich, H. B. Cao, et al., “A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties,” J. Appl. Phys. 96(4), 1857 (2004).

The Semiconductor Lithographic Process Chemistry

103

where f is the tangential angle made by the feature at the point of maximum displacement, and d is the distance between the two resist line patterns. This effect is more pronounced for symmetric structures than asymmetric ones. The value of any model lies not only in its predictive ability, but also in how it can be used to mitigate the problems it describes. The same is true for the pattern collapse models presented above. Equation (2.51) is a very important equation for it shows the factors that affect pattern collapse and therefore what can be done to reduce it. The factors that affect pattern collapse include surface tension of the rinse fluid, Young’s modulus of the resist line, contact angle of water to the resist, aspect ratios of the line and space, and the width of the space.282 Approaches that are effective in reducing pattern collapse are briefly described below. They include making the resist stiffer by increasing its Young’s modulus though increasing its glass transition temperature.283 Reducing the air–water surface tension by adding surfactant to the rinse liquid will also reduce pattern collapse.284 (Surfactants may pose contamination problems and can also soften the resist, reducing its Young’s modulus). The use of supercritical carbon dioxide (which has no surface tension) to develop the resist will also reduce pattern collapse. Heating the resist during the rinse step has been reported to reduce pattern collapse.285 Given that the worst case for pattern collapse [maximum value of 3As ðcos u þ sin uÞ] occurs at an angle of tan1 ½1∕ð3AÞs , which is generally less than 10 deg and is associated with hydrophilic resists, making the resist more hydrophobic will reduce pattern collapse.286 Although the aspect ratio of the space can impact pattern collapse, this is less so for hydrophobic resists (with small cosu). Because the space width has a direct impact on pattern collapse, with smaller spaces increasing the capillary force and thus the propensity to collapse, decreasing the space width will reduce pattern collapse. By far, the most critical factor in pattern collapse is the aspect ratio of the line; given that the tendency to collapse increases as the cube of the line aspect 282 C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, Hoboken, New Jersey, p. 365 (2007). 283 ibid. 284 S. Hien, G. Rich, G. Molina, H. B. Cao, and P. F. Nealey, “Collapse behavior of single layer 193 nm and 157 nm resists: Use of surfactants to realize the sub 130-nm nodes,” Proc. SPIE 4690, 254–261 (2002); K. Tanaka, R. Naito, T. Kitada, Y. Kiba, Y. Yamada, M. Kobayashi, and H. Ichikawa, “Improvement of pattern collapse issue by additive-added D.I. water rinse process,” Proc. SPIE 5039, 1366–1381 (2003); P. Zhang, M. Jaramillo, D. King, B. Ross, D. Witko, T. Paxton, and T. Davis, “The impact of surfactant in developer and rinse solutions on 193 nm lithography performance,” Proc. SPIE 5039, 1409–1415 (2003). 285 T. Tanaka, M. Morigami, H. Oizumi, T. Soga, T. Ogawa, and F. Murai, “Prevention of pattern collapse by resist heating during rinse,” J. Electrochem. Soc. 141(12), L169–L171 (1994). 286 C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication, John Wiley & Sons, Hoboken, New Jersey, p. 365 (2007).

104

Chapter 2

ratio, decreasing the aspect ratio of the line will significantly reduce pattern collapse.287

2.8 Post-development Bake and Resist Stabilization Chemistry 2.8.1 Post-development bake In the post-development bake, also called postbake or hardbake, the patterned resist features are subjected to a thermal treatment in a forced-air oven or a hot plate. The function of the post-development bake step is to remove residual casting solvent, developer, and water within the resist film,288 as well as to harden the resist film so that it can withstand the rigors of plasma etching and other harsh processes.289 Baking the resist above its glass transition temperature also improves adhesion of the resist to the substrate.290 Because the photosensitivity of the resist is no longer required for any of the subsequent process operations, the baking temperature can be elevated to as high as possible, sometime approaching the solvent boiling point, so as to remove residual solvents from the resist and also to effect maximal densification. For DNQ/novolac resist, unreacted DNQ compounds can lead to problems in subsequent process steps, such as in implantation, which may cause the rapid release of nitrogen from the radiolysis of DNQ. In densified resist films, the nitrogen may not easily diffuse out of the film and may thus cause localized explosive resist popping, dispersing resist particles on the wafer surface. Baking the DNQ/novolac resist above the decomposition temperature of DNQ (110 °C) has been reported to destroy the PAC and prevent it from participating in any unwanted reactions.291 As a rule, novolac resins are not as thermally stable as their PHOST-based counterparts. PHOST-based DUV resists have Tg values on the order of 140–180 °C, while novolacs have Tg values in the range of 80–120 °C.292 Baking DNQ/novolacs at temperatures above 110 °C decomposes the DNQ molecule; in the absence of water, multifunctional DNQs react with novolac hydroxyl groups to cause crosslinking, thus further increasing the thermal stability of the resist patterns. Pure novolac resins also do crosslink at elevated

287

ibid. B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 561 (1998). 289 W. A. Moreau, Semiconductor Lithography: Principles, Practices, and Materials, Plenum Press, New York, p. 5 (1988). 290 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 118 (1993). 291 B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 562 (1998). 292 ibid, p. 562. 288

The Semiconductor Lithographic Process Chemistry

105

temperatures via the oxidation of the methylene bridges.293 The temperature required to induce this crosslinking, however, is above the Tg of novolac, which implies that features patterned in novolacs will flow and become distorted before even reaching their crosslinking temperature. This makes thermal crosslinking of novolacs not a viable option for conventional novolac resins.294 Novolacs with Tg values above 130 °C have been reported.295 At temperatures higher than 120–130 °C, hardening and flow of the resist patterns are two competing processes in DNQ/novolac resists. Figure 2.55 shows novolac resist patterns before and after postbake; the features postbaked at very elevated temperatures (130 °C) have deformed to a rounded shape due to surface tension. The temperature at which the resist feature starts to deform is termed the flow temperature of the resist. Large features are more susceptible to thermally induced deformation than small ones.296

Figure 2.55 Effect of postbake on different feature sizes (0.5 mm and a large pad) patterned on a 1.29-mm-thick DNQ/novolac resist (AZ7512 from Merck, formerly AZ Corporation). Processing conditions: prebake ¼ 110 °C, exposure dose ¼ 200 mJ/cm2, PEB ¼ 110 °C, development ¼ TMAH puddle for 51 s. (Reprinted from Dammel.297)

293

W. A. Moreau, Semiconductor Lithography: Principles, Practices, and Materials, Plenum Press, New York, pp. 289–291, 651–665 (1988). 294 B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 562 (1998). 295 M. A. Toukhy, T. R. Sarubbi, and D. J. Brzozowy, “Technology and chemistry of hightemperature positive resist,” Proc. SPIE 1466, 497 (1991). 296 R. Dammel, Diazonphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 118 (1993). 297 ibid, pp. 119–120.

106

Chapter 2

2.8.2 UV radiation curing To improve the thermal properties of resists and prevent thermal deformation of resist pattern profiles during the dry etching process, where the temperature of the wafer often exceeds the deformation temperature of untreated resist, UV radiation curing that results in crosslinking is sometimes used.298 DNQ/ novolac, PHOST, acrylate, alicyclic, and a whole host of other resist platforms described in Volume 2 are amenable to UV curing. UV radiation curing of resists has been commonly used to harden the outer shell of the resist, thereby allowing higher postbake temperatures to be attained without destroying the patterned image. The high optical absorbance of resins such as novolac at wavelengths below 300 nm (absorbance ≫1 mm–1) prevents crosslinking to substantial depths.299 Although the efficiency is quite low, novolac resins can be made to crosslink at DUV wavelengths (240–260 nm). Carrying out this process at high temperatures facilitates the curing.300 Subjecting patterned resist features to DUV exposure at temperatures above 150 °C results in a thermally stabilized surface crust. Elevating the temperature of the DUV cure process leads to oxidation of the bulk of the resist feature and results in resist features that can withstand thermal processes up to 210 °C without significant resist flow. The process is outlined in Scheme 2.4.301 In particular, the scheme shows that DUV irradiation induces radical chain reactions in novolac, which crosslink the outer resist layer only, given the high absorbance of novolac at 250 nm.302 Multifunctional DNQs can also undergo DUV curing by crosslinking via novolac ester formation, involving mostly radical reactions and oxidation induced in the novolac.303 A low-pressure mercury arc lamp is normally used as a source of shortwavelength UV radiation for curing phenolic resin-based resists. Although the novolac DUV curing chemistry readily takes place in the presence of oxygen, it can also take place in a nitrogen atmosphere, in which case the novolac acts as a self-oxidizer.304 Resist systems based on acrylate-, alicyclic, and hybrid acrylate/acryclic polymers used in DUV-193-nm lithography and 157-nm lithography (which are generally very transparent at DUV wavelengths), can be cured at the vacuum-UV (VUV) wavelength of 172 nm. H. Hiraoka and J. Pakansky, “UV hardening of photo- and electron beam resist patterns,” J. Vac. Sci. Technol. 19, 1132–1135 (1981). 299 B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 562 (1998). 300 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 120 (1993). 301 ibid., p. 121; B. W. Smith, “Resist Processing,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel Dekker, Inc., p. 562 (1998). 302 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 120 (1993). 303 ibid., p. 121. 304 ibid. 298

The Semiconductor Lithographic Process Chemistry

107

Scheme 2.4 UV curing of novolac resin, showing radical chain reactions and crosslinking via ester formation305

A technique involving the combination of UV radiation curing with hot plate (ramped) baking of the patterned resist, which allows for a more efficient process, has been reported.306 In this technique, a fast cure is obtained by encapsulating the bulk of the resist (which is being cured by the hot plate) with the UV-cured surface film. The bulk resist temperature is ramped from a lower temperature and is kept below the glass transition temperature of the surface-cured film. The process requires a careful balance between curing the skin of the pattern (to ensure that it is uniform) and ensuring that the bulk of the resist is prevented from flowing when the hot plate is ramped close to the Tg of the resist. The surface of the resist pattern is given a harder cure (it receives UV þ bake) than the bulk (which receives only thermal cure). Although the harder-cured surface layer may hold together patterned features, it may also encapsulate some of the volatiles and solvents. When these volatiles and solvents are later subjected to temperatures higher than the postbake temperature (for example, during plasma etching or ion implantation), trapped solvent evolution can result, which can wrinkle or crack the

305

ibid. J. C. Mathews and J. Wilmott, “Stabilization of single layer and multilayer resist patterns to aluminum etching environments,” Proc. SPIE 470, 194 (1984); “Hardening of photoresist,” U.S. Patent 4,548,688 (1985) (assigned to Fusion Semiconductor). 306

108

Chapter 2

brittle surface layer of the resist in a process called reticulation. This problem highlights one of the main drawbacks of UV radiation curing.307 2.8.3 Electron beam curing of resists Electron beam curing of post-developed resists aims to improve the thermal and etch stability of the patterned resist feature.308 An electron beam can interact with a resist polymer primarily in two ways: nuclear and electronic interaction. While nuclear interactions or collisions (also called Rutherford scattering) are elastic and merely change the direction of the electron within the resist, they play no significant role in electron beam curing of resists. In contrast, it is the electronic interaction between the electron beam and the resist molecules that is utilized in the electron beam curing of resists. Electronic collisions are inelastic and slow down the primary electrons, causing them to transfer their energy into excitation energy and kinetic energy of secondary electrons within the resist. These inelastic collisions of the electron beam with the resist molecules lead to the generation of secondary electrons within the resist. The inelastic collisions also slow down the primary electrons (electron beam) as they travel through the resist film, resulting in the primary electrons imparting their energies to the resist molecules and ionizing them in the process, before they are finally absorbed. The secondary electrons in turn travel away from their point of creation at relatively slower speeds than the primary electrons, while also producing ions along the trajectory of the primary electrons.309 Within an order of a picosecond after ionization, molecular rearrangement takes place in the ions and excited molecules, accompanied by thermal deactivation or the dissociation of valence bonds within the molecules in the resist.310 The radicals created by these broken bonds may recombine with each other, or form new bonds and reattach themselves to neighboring polymer chains that also have broken bonds in their side groups, effectively resulting in crosslinking of the polymer. The crosslinking process persists with continued electron beam irradiation and stops only when the entire bulk of the film is crosslinked. The effect of the crosslinking of resist polymer films is to make the film stable to heat such that it cannot be made to flow or melt. A fully crosslinked W. R. Livesay, A. L. Rubiales, M. Ross, S. Woods, and S. Campbell, “Electron beam hardening of photoresists,” Proc. SPIE 1925, 426–436 (1993). 308 U. Okoroanyanwu, J. Shields, and C. Y. Yang, “Process for preventing deformation of patterned photoresist features,” U.S. Patent No. 6,589,709 (2003); C. Gabriel, H. J. Levinson, and U. Okoroanyanwu, “Selective photoresist hardening to facilitate lateral trimming,” U.S. Patent No. 6,716,571 (2004); W. R. Livesay, A. L. Rubiales, M. Ross, S. Woods, and S. Campbell, “Electron beam hardening of photoresists,” Proc. SPIE 1925, 426–436 (1993). 309 A. Chapiro, Radiation Chemistry of Polymeric Systems (High Polymers), John Wiley & Sons, New York, p. 42 (1962). 310 F. W. Billmeyer, Jr., Textbook of Polymer Science, John Wiley & Sons, p. 372 (1971). 307

The Semiconductor Lithographic Process Chemistry

109

resist polymer film is practically insoluble in most solvents, although it can be stripped with oxygen plasma etching. Its plasma etch resistance is superior to that of a similar film that is not crosslinked. Operationally, electron beam processing is controlled by the following parameters: beam energy, dose, current, processing gas, and substrate temperature. The penetration depth of the electrons into the target material is determined by the energy of the electron beam according to Grun’s formula311 as follows: RG ¼

0.046V 1.75 a , d

(2.54)

where RG is the Grun range (penetration depth) in microns, Va is the accelerating voltage or energy in kiloelectron volts (KeV), and d is the density of the target material in g/cm3. Figure 2.56 shows the three possible electron beam (e-beam) processing schemes: (1) full electron beam curing, (2) variable-energy electron beam curing, and (3) depth-controlled electron beam curing. In full electron beam curing, the entire film can be modified by selecting an electron beam energy such that the penetration depth of the electrons equals or is greater than the film thickness, thus producing a homogenous film with properties significantly different from the starting material. In the variable-energy electron beam curing scheme, by varying the electron beam energy with time, it is possible to vary the film properties very gradually as a function of thickness. In the depthcontrolled electron beam exposure, the penetration depth is restricted to a

Film properties

Full e-beam cure

Variable energy e-beam cure Depth-controlled e-beam cure Thermally cured film

Depth into film

Figure 2.56 E-beam curing schemes and properties of e-beam-cured film as a function of depth into film.

A. E. Grun, “Lumineszenz-photometrische Messungen der energieabsorption im strahlungsfeld von elektronequellen eindimensionaler fall in luft,” Z. Naturforsch. 12a, 89–95 (1957). 311

110

Chapter 2

certain fraction of the thickness of the top surface of film. This ensures that the irradiated top layer of the film is cured, leaving the underlying film unaffected.312 2.8.3.1 Photochemical effects of electron beam curing of resists

Figure 2.57 shows FTIR spectra highlighting the different chemical transformations of different functional groups12 in the PAR700 resist [formulated from poly(adamantyl methacrylate-co-gamma-butyro lactone)] on account of electron beam curing. This figure shows a significant decrease in the intensity of the C–H stretching vibration absorption peaks around 2960– 2850 cm–1 with increasing electron beam dose, suggesting possible electron beam-induced polymer crosslinking. A possible mechanism for this crosslinking reaction could involve the initial abstraction of a hydrogen atom from any of the methylene groups in the polymer backbone, leading to the formation of reactive radical species, which quickly react with similar radicals from different polymer chains, thereby effectively transforming the ordinarily linear polymer chain of the resist resin to 3D, crosslinked network structures.

Figure 2.57 FTIR spectra showing electron beam-induced photochemistry in PAR700 between 3100 and 2750 cm–1 [reprinted from U. Okoroanyanwu, Chemistry and Lithography, SPIE Press, Bellingham, Washington p. 542 (2010)].

312

Mathew Ross, Electron Vision Corporation, Personal Communication (2000).

The Semiconductor Lithographic Process Chemistry

111

100

 Methacrylate resist  Hybrid resist

90

Etch Rate (nm/min)

80 70 60 50 40 30 20 10 0 Control

Std. Process

ESC Process

LT Process

Process Condition

Figure 2.58 Effect of electron beam curing on the etch stability of resists based on a PMMA platform and a hybrid methacrylate/alicyclic polymer platform. Electron beam curing improves etch resistance by up to 50% relative to the control (uncured) sample. Processing was done in a nitrogen environment of the ElectronCure™ Electron Beam Process Chamber, using the following electron beam parameters: 3.75 keV, 6 mA, 2000 mC/cm2. The wafer temperature of the standard (Std.) process was not controlled, that for the electron beam standard cure (ESC) process was kept at a medium temperature, that for the lowtemperature (LT) process was maintained at a low temperature, and that for the control was at room temperature. [Reprinted from R. Dammel, Practical Resist Processing, SPIE Short Course Notes, SC616 (2005).]

2.8.3.2 Mechanical effects of electron beam curing of resists

Electron beam-induced crosslinking of the resist polymer increases the bulk modulus and bulk toughness of the feature pattern as well as the interfacial toughness of the substrate–resist polymer bond, thereby ensuring that patterned resist features will be better able to withstand bending, breaking, collapse, and mechanical deformation of the features during etch processing.313 In addition, crosslinking and decomposing the functional groups in the resist polymer make the patterned features more impervious to etchant species, thereby improving the etch stability of the cured resist (see Fig. 2.58). Other observed effects include film thickness loss (see Fig. 2.59).

U. Okoroanyanwu, J. Shields, and C. Y. Yang, “Process for preventing deformation of patterned photoresist features,” U.S. Patent No. 6,589,709 (2003); C. Gabriel, H. J. Levinson, and U. Okoroanyanwu, “Selective photoresist hardening to facilitate lateral trimming,” U.S. Patent No. 6,716,571 (2004); W. R. Livesay, A. L. Rubiales, M. Ross, S. Woods, and S. Campbell, “Electron beam hardening of photoresists,” Proc. SPIE 1925, 426–436 (1993). 313

112

Chapter 2

25

% Film Shrink a ge

20

15

10

5

0 500

1000

2000

4000

E-beam Dose (uC/cm2)

Figure 2.59 Film shrinkage of PAR-700/A4 resist as a function of electron beam dose. The nominal resist thickness was 400 nm [reprinted from U. Okoroanyanwu, Chemistry and Lithography, SPIE Press, Bellingham, Washington p. 544 (2010)].

2.9 Resist Etching Chemistry Following the resist pattern stabilization steps, an etching process is used to transfer the resist pattern into the underlying semiconductor substrate. There are two main forms of etching processes: wet and dry etching. Before the dry etching techniques were developed, all etching processes in semiconductor device fabrication were carried out with wet etching. 2.9.1 Wet etching In wet etching, the substrate is etched with an etchant solution in a process that involves dipping the patterned resist wafer in the etchant solution and subsequently cleaning and rinsing it with pure de-ionized water. The wet etch rate is mainly dependent on the composition of the etchant solution and the temperature. Given that these two factors and the etching time are easily controllable, the reproducibility of the wet etch result is quite good.314 The choice of etchants depends on the nature of the substrate to be etched. Table 2.4 is a list of solutions used in the wet etching of common substrates. Silicon dioxide is etched with a mixture of aqueous solution of 314

S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, Inc., New York, Chapter 5 (1998).

The Semiconductor Lithographic Process Chemistry Table 2.4

113

Common wet etchants (v/v is volume per volume).

Substrate

Wet etchant solution mixture

Al2O3

NH4OH (30%) / H2O2 (30%) / H2O ¼ 1:1:3 (v/v)

aluminum

H3PO4 (85%) / CH3COOH/HNO3 (70%) / H2O ¼ 16:1:1:2 (v/v)

chromium

[(Ce(NH4)2(NO3)6] / HNO3 (70%) / CH3COOH

copper

FeCl3 (30%)

gallium arsenide

NH4OH (30%) / H2O2 (30%) ¼ 1:1 (v/v)

gold

HCl (38%) / HNO3 (70%) ¼ 3:1 (v/v)

organic photoresist polymers

NH4OH (30%) / H2O2 (30%) (v/v) at 120 °C

polysilicon

HNO3 (70%) / H2O / HF (49%) ¼ 50:20:1 (v/v)

silicon

HF (49%) / HNO3 (70%) / CH3COOH ¼ 3:5:3:1 (v/v)

silicon dioxide

HF (49%) / NH4F (40%) / H2O ¼ 1:5:5 (v/v) (buffered oxide etch)

silicon nitride

H3PO4 (85%)

titanium

HF (49%) / H2SO4 (96%) / H2O ¼ 1:30:69 (v/v)

tungsten

HF (49%) / HNO3 (70%) ¼ 1:2 (v/v)

silver

NH4OH (30%) / H2O2 (30%) ¼ 1:1 (v/v)

hydrogen fluoride (HF) and ammonium fluoride (NH4F). Parisi, Haszko, and Rozgonyi315 reported the following functional expression for the etch rate gd (in angstroms per minute) of silicon dioxide:   gd ¼ 4.5  109 ½HFe

4980 T

,

(2.55)

where [HF] is the concentration of hydrogen fluoride in moles per liter, and T is the temperature of the etchant in Kelvin. Silicon wafer substrate is etched with a mixture of aqueous solution of HF and nitric acid (HNO3).316 The electron concentration, crystal defects, and catalysis by lower oxides of nitrogen all influence the etch rate of silicon by HF/HNO3 etchant.317 Aluminum, used as interconnecting wire, is typically etched with a mixture of phosphoric acid, nitric acid, acetic acid, and water, in a volume ratio of 16:1:1:2.318 It should be mentioned that aluminum can be easily etched with an aqueous mixture of a host of the common inorganic acids, but often with poor reproducibility because these acid solutions tend to generate G. I. Parisi, S. E. Haszko, and G. A. Rozgonyi, “Tapered windows in SiO2: The effect of NH4F:HF dilution and etching temperature,” J. Electrochem. Soc. 124, 917–921 (1977). 316 S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, Inc. New York, Chapter 5 (1998). 317 B. Schwartz and H. Robbins, “Chemical etching of silicon IV. Etching technology,” J. Electrochem. Soc. 123, 1903–1909 (1976). 318 S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, Inc. New York, Chapter 5 (1998). 315

114

Chapter 2

hydrogen bubbles on reaction with aluminum. These hydrogen bubbles tend to interfere and inhibit diffusion of the etchant species to etching sites on the aluminum substrate. In contrast, in phosphoric acid, nitric acid, acetic acid, and water-based etchant, hydrogen evolution is eliminated by the oxidative reaction of nitric acid.319 Etching of patterned chromium absorber features of optical masks was for many years done with wet etchants based on a mixture of ceric ammonium nitrate [(Ce(NH4)2(NO3)6] and nitric acid, perchloric acid, or acetic acid.320 Chrome masks are now being fabricated with this type of etchant solution.321 Resist patterns subjected to wet etching are susceptible to undercut, which invariably contributes to linewidth variations on the mask. For this reason, wafers and masks for critical applications are dry etched. 2.9.2 Dry etching Dry etching is the primary etching method currently used to transfer the resist pattern into underlying semiconducting substrates in an IC device. The pattern transfer is accomplished by removing underlying substrate materials that are not protected by the resist. Dry etching techniques fall into three main categories, depending on the mechanism of their etching action: physical etching (also called sputtering), chemical etching, and a combination of physical and chemical etching. Physical etching or physical sputtering is a process whereby atoms are ejected from a solid target material due to bombardment of the target by highenergy particles. The basis of physical sputtering is the momentum exchange resulting from collisions between the incident bombarding ions and atoms in the target material. When the target is bombarded, the incident ions set off collision cascades in the target material. When such cascades recoil and reach the target surface with energy that is higher than the binding energy, an atom can be ejected. The primary particles for the sputtering process can be supplied by a variety of methods, including, for example, a plasma source, an ion source, an accelerator, etc. Sputter etching is chosen in situations where a high degree of etching anisotropy is needed, and selectivity is not a concern. It produces a high etch rate. A major drawback of this technique is wafer damage. Another issue with it is the fact that the species removed by the sputtering are not volatile and may redeposit back on the wafer, causing particulate and chemical contamination. 319

ibid. See, for example, “Chromium Etchants Applications Notes,” Cyanetek Corporation, Fremont, California [cited in H. J. Levinson, Principles of Lithography, SPIE Press, Bellingham, Washington, p. 261 (2005)]. 321 S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, Inc. New York, Chapter 5 (1998). 320

The Semiconductor Lithographic Process Chemistry

115

In the dry chemical etching technique, plasma-generated reactive species (such as free radicals, ions, and atoms) chemically react with the underlying materials on the wafer surface that are not protected by the resist. The precursor gases introduced into the etch chamber are selected to attain high selectivity (i.e., to minimize the chemical reaction between the resist and the underlying wafer layers). Volatile by-products of the reaction are removed by a low-pressure pumping system. Dry chemical etching is very isotropic and therefore produces poor CD control. It is possible to use a combined physical and chemical mechanism, where ion bombardment improves the chemical etching action. The etch profile is changed from isotropic to anisotropic by adjusting the plasma conditions and gas conditions. Combined physical and chemical etch produces good CD control with reasonable selectivity and is often preferred for most dry etch processing. 2.9.2.1 Categories of dry chemical etching techniques

Dry chemical etching techniques are categorized according to the nature of their etchant: plasma etching or reactive ion etching. 2.9.2.1.1 Plasma etching

As the name implies, the species that do the etching in this technique are gaseous plasmas generated within an evacuated chamber. Etchant precursor gas(es) is(are) introduced into the evacuated chamber and is(are) subjected to high-frequency electric power via external electrodes. These plasmas comprise ionic and neutral fragments. For a precursor etchant gas such as carbon tetrafluoride (CF4), species including Cþ, CFþ, CF3þ, C, F, CF, CF2, and CF3 have been detected in the generated plasma.322 In plasma etchers, specific radicals are selected from the mix of the species generated within the chamber to effect the etching action. As an example, for the specific case of species generated from CF4 gas within a plasma chamber, a fluorine radical (F) is selected by means of an appropriately configured perforated aluminum shield or other contraption that blocks the other species from reaching the wafer. In this way, etching of the wafer proceeds only by the reaction of the fluorine radical. Substrates such as silicon, silicon dioxide, and silicon nitride are readily etched by this technique.323 This was the first dry etching technique introduced into the semiconductor industry. The fact that etching in this technique proceeds isotropically

T. M. Mayer and R. A. Baker, “Reactive ion beam etching with CF4: Characterization of a Kaufman ion source and details of SiO2 etching,” J. Electrochem. Soc. 129, 585–591 (1982). 323 S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, Inc., New York, Chapter 5 (1998). 322

116

Chapter 2

precludes its use in precise pattern transfer applications. As a result, it has been replaced with an anisotropic type of etching technique called reactive ion etching. 2.9.2.1.2 Reactive ion etching

Reactive ion etching (RIE) is the most frequently used dry etching technique in the fabrication of semiconductor devices. The etching species are plasma reactive ions generated between two electrodes in an evacuated chamber by radiofrequency gas discharge. The wafer to be etched is electrically contacted with one of the internal electrodes, ensuring that the ambient condition of the surface of the wafer is the same as that of the electrode.324 Given the significant difference in electron and ion mobilities, an ion-rich layer termed an ion sheath is formed on both sides of the surfaces. When the surfaces of the wafer and the electrode are negatively charged, a large electric potential difference is created across the ion sheath, resulting in the acceleration of the ions in the ion sheath perpendicularly to the surface of the wafer. In situations where the etchant gas pressure within the chamber is relatively low, the mean free path of the ions may be comparable to the thickness of the ion sheath, and the accelerated ions will be nearly perpendicularly incident on the wafer. Because the rate of the etching reaction is significantly enhanced by the impact of the incident ions, the reactive ion etching proceeds anisotropically.325 Table 2.5 is a list of commonly used gases in reactive ion etching of typical substrates used in semiconductor device fabrication.

Table 2.5

Common gases used in reactive ion etching.326

Substrate aluminum

324

ibid. ibid. 326 ibid. 325

Gases CCl4, BCl3, Cl2

chromium

Cl2, O2

indium phosphide

CH4 þ H2 þ Ar, Cl2 þ Ar þ He/N2

refractory metals & refractory silicides

CF4, SF6, CCl4, Cl2, Cl2 þ O2

silicon

CF4, SF6, CF4 þ O2, CCl4

silicon dioxide

CF4 þ H2, C2F6, C3F8, C4F5, CHF3

silicon nitride

CF4 þ H2, CH2F2, CH3F

titanium

Cl2/Ar

The Semiconductor Lithographic Process Chemistry

117

In the specific case of reactive ion etching of optical mask chromium absorber structures, typical chromium etches involve mixtures of Cl2 and O2 because CrOxCly species are volatile;327 additional gases are sometimes added to reduce etch loading effects that can negatively impact isolated/dense bias in the CD of patterned features.328 Further, because chromium proved difficult to dry etch, considerable efforts were expended in finding alternative opaque mask absorber materials. Molybdenum silicide (MoSi) is now the standard absorber material for DUV and EUV lithographic masks.329 Ohnishi and co-workers330 established this empirical relationship between the oxygen RIE rate and the effective amount of carbon in the polymer as E:R ∝

N , Nc  No

(2.56)

where E.R is the etch rate, N is the total number of atoms in the repeating units of the polymer, Nc, is the number of carbon atoms in the repeating unit of the polymer, and No is the number of oxygen atoms in the repeating unit of the polymer. This relation implies that the etch rates of resist materials in Ar and O2 RIE processes scale linearly with the effective amount of carbon atom fraction N∕ðN c  N o Þ in the polymer repeat unit. A similar correlation was found for silicon-containing polymers. These results are generally interpreted to mean that RIE speed is controlled by sputtering phenomena, in contrast to plasma etch rates, which seem to be correlated with chain scission parameter Gs.331

2.10 Resist Stripping Chemistry Following the etching step, the resist and organic ARCs are stripped from the wafer by either a wet or dry process. Under certain circumstances, in the event of misprocessing or general process drifts due to equipment problems, the processed wafer may be sent to rework for stripping, instead of proceeding to the next processing step.

B. J. Curtis, H. R. Brunner, and M. Ebnoether, “Plasma processing of thin chromium films for photomasks,” J. Electrochem. Soc. 130(11), 2242–2249 (1983). 328 S. Aoyama, S. Sakamoto, T. Koike, N. Yoshioka, N. Harashima, A. Hayashi, and T. Sasaki, “Advanced Cr dry etching process,” Proc. SPIE 3748, 137–146 (1999). 329 H. J. Levinson, Principles of Lithography, SPIE Press, Bellingham, Washington, p. 262 (2005). 330 H. Gokan, S. Esho, and Y. Ohnishi, “Kinetics of the cubic → hexagonal transformation of cadmium sulfide,” J. Electrochem. Soc. 130(2), 423 (1983). 331 R. Dammel, Diazonaphthoquinone-based Resists, SPIE Press, Bellingham, Washington, p. 124 (1993). 327

118

Chapter 2

In the wet process, the resist and organic ARCs are stripped with commercially available resist strippers, which often are mixtures of strong solvents, containing wetting agents and basic additives such as amines. If the resist did not undergo extreme postbake, it may simply be stripped with undiluted developers, often accompanied by flood exposure. Crosslinked resists may be stripped with solvents such as acetone, dimethylformamide, N-methylpyrrolidone, or dimethylsulfoxide.332 Stripping is carried out by dipping the wafer with the resist patterns into the stripping solvent maintained at about 100 °C for about 20 minutes, washing with a solvent such as methanol, and washing again with pure water, before being finally dried. There exist special stripper solutions for removing the silicon-containing “sidewall polymer,” which results from redeposition during dry etching. Oxygen plasma etching is most frequently used in the dry process for stripping resists and organic ARCs. Because all the practical resist and ARC materials are made of organic compounds, they are stripped from the wafer substrate by the oxidative reaction of oxygen plasma.333 Moreau334 has provided an extensive review of stripping processes.

332

ibid. ibid.; S. Nonogaki, T. Ueno, and T. Ito, Microlithography Fundamentals in Semiconductor Devices & Fabrication Technology, Marcel Dekker, New York, Chapter 5 (1998). 334 W. A. Moreau, Semiconductor Lithography: Principles, Practices, and Materials, Plenum Press, New York, pp. 289–291; 779–809 (1988). 333

Part II Lithographic Materials Chemistry

Chapter 3

Chemistry of the Lithographic Exposure Tool and Optical Element Materials “And the atoms that compose this radiance do not travel as isolated individuals but linked and massed together.” Lucretius, De Rerum Naturae [On the Nature of Things]

3.1 Introduction The optical elements of lithographic exposure tools comprise lenses, mirrors, reticles, and pellicles. The materials used in the fabrication of these optical elements are chosen because of their unique physical and chemical properties relative to their exposure wavelength of use.

3.2 Chemistry of Lens Materials The main optical materials used in UV and visible light lithographic exposure tools fall into two categories: reflective optical materials (mirrors) and refractive optical materials (lenses). Reflective optical materials are advantageous because they can be used with broadband illumination. Also, it is very difficult to design and build high-numerical-aperture (NA) all-reflective optics because of physical interference: some mirrors will block the light path of others. For this reason, lithographic exposure tools utilizing all reflective optics such as production-worthy EUV exposure tools have low NA (0.33), with 0.55-NA systems currently under development. These tools achieve very high resolution because of their short exposure wavelength (13.5 nm). DeepUV (DUV) lithographic tools have mostly lens-based optics and a few

121

122

Chapter 3 Table 3.1 Bulk optical properties of amorphous SiO2 and crystalline CaF2 at 193-nm wavelength. Property at 193 nm

Fused Silica, SiO2

CaF2

1.56

1.5

Refractive index dn dT

(ppm/K)

Homogeneity index (ppm) Stress birefringence (nm/cm)

22

–5.9

,0.5

,1.0

,1

1–4

mirrors. Such systems containing both lenses and mirrors are called catadioptric.1 The optical materials requirements for lithographic applications include high transmission, low birefringence, good index homogeneity to minimize wavefront distortion, and durability (where the projected lifetime is up to 10 years while delivering 40–100 billion pulses at 0.1- to 5-mJ/cm2/pulse for state-of-the-art 193-nm exposure tools). Amorphous SiO2 (also called fused silica) and crystalline CaF2 are the only practical candidates (see Table 3.1 for their bulk optical properties). Table 3.2 shows a list of potential optical materials for use in UV lithography, along with their bandgaps, physical properties, areas of applications, and specific issues. The optical elements in conventional g-line, i-line, KrF laser, ArF laser, and F2 laser lithographic exposure tools are made of refractive lenses, which have been traditionally made of glasses that are transmissive of the wavelength of the exposure tool. These refractive lenses generally provide good imaging over a narrow band of wavelengths. Typical bandwidths for Table 3.2 Optical materials used in UV lithography. Material

Bandgap (eV)

fused silica, SiO2

9.0

calcium fluoride, CaF2

10.3

barium fluoride, BaF2

9.2

Comments

stepper optics, mask blanks laser optics, stepper optics crystal growth issues

lithium fluoride, LiF

12.0

hygroscopic

sodium fluoride, NaF

10.5

soft & hygroscopic

magnesium fluoride, MgF2

11

birefringent

sapphire, Al2O3

8.3

birefringent; absorbs at 193 nm due to impurities

1

Applications

laser optics, antireflection coatings

Catadioptric lenses were used almost exclusively at Ultratech, Inc. and Silicon Valley Group Inc. [(SVG), now part of ASML)] in lithographic exposure tools. Today, all immersion lithographic exposure tool lenses with NA . 1, except for the S609 from Nikon, are catadioptric.

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

123

g-line and i-line lenses are 4–6 nm, full width half maximum (FWHM). Fused silica was for many years the only available material with sufficient transparency and quality for making 248-nm lenses, and such lenses required very narrow bandwidth, typically ,1 pm (FWHM). Lenses in 193-nm lithographic exposure tools typically use both fused silica and a small amount of CaF2. Despite their shorter wavelengths and higher resolution, lenses for 193-nm lithography have bandwidth requirements comparable to the singlematerial 248-nm lenses of comparable NAs.2 It is practical to operate lenses used in 248-nm and 193-nm lithographic exposure tools over very narrow ranges of wavelength (,1 pm) because adequate intensity from exciplex and excimer lasers can be obtained over such narrow bandwidths. This contrasts with i-line lenses, which operate over much wider bandwidths of between 4 and 6 nm. At shorter wavelengths, impurities in lithographic glass materials absorb light. This absorption is small in stepper lenses and does not have a major impact on lens transmission. Lenses containing absorbing impurities may heat up3 and expand during exposure, causing defocus,4 changes in magnification, and other aberrations. This type of heating is common in i-line lenses. A similar problem occurs in 193-nm lenses due to the fused silica, which becomes slightly absorbing at that wavelength (in contrast to what happens at 248-nm, where fused silica is extremely transparent)5 (see Fig. 3.1). Birefringence (a property in which the index of refraction varies along various crystal axes) is another problem that degrades the performance of lithographic lenses. Many crystalline materials exhibit birefringence and as such are not suitable for use in lithographic exposure tools designed for highresolution imaging. For instance, SiO2 in its purely crystalline form, quartz, is extremely difficult to incorporate into lenses because it is birefringent; while in an amorphous state (such as amorphous fused silica), it is not birefringent and is thus used extensively in lenses. Other lens materials such as MgF2 and LiF have adequate transparency at 193-nm and 157-nm wavelengths but are too birefringent for use in high-resolution lenses (except perhaps as anti-reflection coatings). CaF2 has negligible intrinsic birefringence at long wavelengths, but

2

H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 162 (2005). 3 Software is often used to compensate for the effects of lens heating; see, for example, P. van Oorshot, B. Kock, J. van der Spek, E. Stuiver, H. Franken, H. Bother, and R. Garreis, “Performance of an i-line step-and-scan system for sub-0.25 mm mix and match application,” Proc. SPIE 3334, 423–436 (1998). 4 T. A. Brunner, S. Cheng, and A. E. Norton, “A stepper image monitor for precise setup characterization,” Proc. SPIE 4691, 687–695 (2002). 5 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Belligham, Washington, p. 163 (2005).

124

Chapter 3

Figure 3.1 Lens heating of various optical materials [as measured by optical path difference (OPD)] at i-line (365-nm), 248-nm, and 193-nm exposure wavelengths.6

at 193-nm and 157-nm, it has appreciable birefringence.7 Remarkably, the birefringence of CaF2 can be easily corrected by using different [111]-oriented CaF2 lens elements with their crystallographic axes rotated by 60 deg relative to each other, and also by including pairs of elements of [100]-oriented material with the two elements in the pair rotated 45 deg with respect to each other.8 BaF2 has also been reported to have higher intrinsic birefringence than CaF2.9 It should be noted that low levels of birefringence can be induced by mechanical stresses in materials that are not birefringent in their perfect crystalline state.10 Such stresses are typically created if there are large thermal inhomogeneities in the furnaces in which the crystal of fused silica are grown.11

6

Plot generated from data presented by Jan Mulkens of ASML at the 3rd Symposium on 193-nm Lithography in Onuma, Japan in 1997. 7 J. H. Burnett, Z. H. Levine, and E. L. Shirley, “Intrinsic birefringence in calcium fluoride and barium fluoride,” Phys. Rev. B 64, 241102(R) (2001). 8 J. H. Burnett, Z. H. Levine, and E. L. Shirley, “Hidden in plain sight: calcium fluoride’s intrinsic birefringence,” Photonics Spectra 35(12), 88–92 (2001). 9 J. H. Burnett, Z. H. Levine, E. L. Shirley, and J. H. Brunning, “Symmetry of spatialdispersion-induced birefringence and its implications for CaF2 ultraviolet optics,” J. Microlith. Microfab. Microsyst. 1(3), 213–224 (2002). 10 B. Wang, “Birefringence in fused silica and CaF2 for lithography,” Solid State Technol. 43(2), 77–82 (2000); B. Wang, “Measurement of excimer-laser-induced birefringence in fused silica and calcium fluoride,” Proc. SPIE 3998 (2000). 11 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 163 (2005).

Chemistry of the Lithographic Exposure Tool and Optical Element Materials Table 3.3

125

Thermal properties of fused silica at 298 K.

Property

Value 1.30a

Thermal conductivity (W/m/deg) –6

Average coefficient of thermal expansion (10 /K) or (ppm/K)

0.52a

Specific heat (J/kgK)

740

a

®

®

Excerpted from Corning Corporation’s HPFS Fused Silica ArF Grade Product Catalog (2003).

3.2.1 Fused silica Fused silica is by far the primary optical material for lens manufacturing. Its mechanical and thermal properties are well known, and its grinding and polishing infrastructure are well established. It is relatively inexpensive and has good index homogeneity over large areas. Lens-grade fused silica, however, is an expensive material. The temperature-dependent properties of fused silica are summarized in Table 3.3. Figure 3.2 shows the wavelength dependence of the refractive index and the thermal coefficient of the refractive index in the mid-UV spectral region for amorphous (fused) silica for a mean temperature of 25 °C.12 Figure 3.3 shows the molecular structure of fused silica and its defect centers, along with their central wavelength of absorption. These structural defects have been reported to be associated with light absorption and transmission losses in fused silica through attenuation mechanisms such as scattering,13 defects,14 hydroxyl ion impurity,15 exciton absorption, color center,16 etc. Figure 3.3(b) shows the molecular vibrational and rotational excitations of Si-OH bonds in fused silica containing low and high Si-OH bonds, along with key laser lines. Upon laser radiation, fused silica with a high content of Si-O bonds (1000 ppm) experience larger vibrational and rotational excitement and consequently increased attenuation than their counterparts with a low content of Si-OH bonds (,1 ppm). The magnitude of this attenuation is strongly dependent on the laser wavelength and can differ by several orders of magnitude. 12

For a comparison of refractive index data of fused silica from different suppliers, see, for example, J. Malitson, “Interspecimen comparision of the refractive index of fused silica,” J. Opt. Soc. Amer. 35, 1205–1209 (1965). 13 S. Sakaguchi, S. Todoroki, and S. Shibata, “Rayleigh scattering in silica glasses,” J. Amer. Ceramic Soc. 79, 2821–2824 (1996), 14 Defects in glasses can include vacancies, interstitials, dangling bonds, over-coordinated atoms, uncoordinated atoms, etc.; see, for example, D. L. Griscom, “Defect structure of glasses: some outstanding questions in regard to vitreous silica,” J. Non-Crystall. Solids 73, 51–77 (1985). 15 S. Sakaguchi, S. Todoroki, and S. Shibata, “Rayleigh scattering in silica glasses,” J. Amer. Ceramic Soc. 79, 2821–2824 (1996); D. L. Griscom, “Defect structure of glasses: some outstanding questions in regard to vitreous silica,” J. Non-Crystall. Solids 73, 51–77 (1985). 16 M. Rothschild, D. H. Ehrlich, and D.C. Shaver, “Effects of excimer laser irradiation on the transmission, index of refraction, and density of ultraviolet grade fused silica,” Appl. Phys. Lett. 55(13), 1276–1278 (1989).

126

Chapter 3

Expt Sellmeier Fit

= 1.10 g = 93.5

1.53

1.5

1.47 190

215

240

265

Expt Ghosh Fit

19

dn/dT (ppm/deg)

Refractive Index

1.56

16

13

10 190

290

g = 118 G = 1.5 H = 23

215

Wavelength (nm)

(a)

240 265 Wavelength (nm)

290

(b)

Figure 3.2 (left) Refractive index and (right) thermal coefficient of the refractive index in the mid-UV spectral region for amorphous (fused) silica for a mean temperature of 25 °C. The fitting curve plot on the left is based on the Sellmeier dispersion equation, given by 3l 1l 2l n2  1 ¼ lB2 C þ lB2 C þ lB2 C , with l given in microns. The coefficients of the Sellmeier 2

2

1

2

2

3

dispersion constants are B1 ¼ 0.68374049400, B2 ¼ 0.42032361300, B3 ¼ 0.58502748000, C1 ¼ 0.00460352869, C2 ¼ 0.01339688560, and C3 ¼ 64.4932732000. The curve for the plot on the right is based on Ghosh’s equation. [Figures adapted from J. Malitson, “Interspecimen comparison of the refractive index of fused silica,” J. Opt. Soc. Amer. 55(10), 1205–1209 (1965). Data used in the plots were from Corning’s HPFS® Fused Silica ArF Grade Product Catalog (2003).]

Figure 3.3(c) shows UV transmission spectra of unirradiated and irradiated fused silica with and without hydrogen passivation. The low intensities of UV lasers (KrF at 248 nm with 5.1-eV photons) used in DUV lithography cause very small changes in the absorption and refractive indices of the fused silica used in DUV lithographic exposure lenses, making the useful lifetime of these lenses quite long, in fact, on the order of years. However, because the photon energy of these laser sources is more than half of the bandgap of fused silica (7.6 to 9.0 eV), two-photon-absorption processes under conditions of highintensity radiation of these lasers on fused silica can break and reform fused silica Si–O–Si network bonds, resulting in structural changes that can cause attenuation, as illustrated in Fig 3.3(c). The two-photon-absorption processes are dominant at wavelengths shorter than 300 nm; they generate two main absorption bands centered at 215 nm (E 0 -color center, attributed to positively charged oxygen vacancy),17 and 265 nm [non-bridging oxygen hole (NbOH) center]. The overall reaction governing this process is represented as 2hn Si þ Si  O Si  O  Si → |{z} |fflfflfflffl{zfflfflfflffl} E0

[3.1]

NbOH

D. Griscom, “Nature of defects and defect generation in optical glasses,” Proc. SPIE 541, 38–59 (1985) [doi: 10.1117/12.975358]. 17

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

127

Figure 3.3 (a) Defect centers in fused silica with the center wavelength of absorption (reprinted from Nürnberg et al.18). (b) Molecular vibrational or rotational excitation spectra of Si–OH bonds in fused silica containing low and high Si–OH bonds, along with key laser lines (reprinted from Hambach et al.19 with permission from Elsevier). (c) UV transmission spectra of unirradiated fused silica, (2) irradiated fused silica with hydrogen passivation, and (3) irradiated fused silica without hydrogen passivation (reprinted from Nürnberg et al.20).

18

F. Nürnberg, B. Kühn, A. Langner, M. Altwein, G. Schotz, R. Takke, S. Thomas, and J. Vydra, “Bulk damage and absorption in fused silica due to high-power laser applications,” Proc. SPIE 9632, 96321R (2015) [doi: 10.1117/12.2194289]. 19 O. Hambach, H. Fabian, U. Grzesik, U. Haken, and W. Heitmann, “Analysis of OH absorption bands in synthetic silica,” J. Non. Cry. Sol. 203, 19–26 (1996). 20 F. Nürnberg, B. Kühn, A. Langner, M. Altwein, G. Schotz, R. Takke, S. Thomas, and J. Vydra, “Bulk damage and absorption in fused silica due to high-power laser applications,” Proc. SPIE 9632, 96321R (2015) [doi: 10.1117/12.2194289].

128

Chapter 3

Figure 3.3

(Continued).

Two KrF photons have an energy of 965 kJ/mol, while two ArF photons have an energy of 1235 kJ/mol, and the bond strength of the Si–O bond is 800 kJ/ mol. Given the higher energy content of both the KrF and the ArF twophoton-absorption processes, irradiation of fused silica with these lasers will degrade the fused-silica network, leading to attenuation losses, as shown in Fig. 3.3(c). Figure 3.4 is a plot of the Rayleigh-scattering-induced attenuation as a function of wavelength of bulk fused silica. Rayleigh scattering in a singlecomponent glass such as silica glass arises from statistical density fluctuations in the sample, which is frozen in the glass at a fictive temperature that is generally represented as the glass transition temperature Tg. Rayleigh scattering depends to a great extent on the techniques used in fabricating the sample. This scattering is believed to be affected by the optical inhomogeneity induced by granularity and glass properties such as refractive index n and Tg. The light loss due to Rayleigh scattering a21 is given by a¼

8p3 8 2 n p kT g bT , 3l4

(3.1)

21

By using the following set of equations, it is possible to estimate scattering loss from refractive E2

E0 1 index dispersion, which is given by n2  1 ¼ EE2dE 2  E 2 , where Ed, E0, and E1 are the electronic 0

oscillator strength, the average electronic energy gap, and the lattice oscillator strength, respectively, and E is the photon energy. Given that n8p2 in Eq. (3.1) is proportional to scattering loss can be approximated with a ¼ 3ð13vÞ K ,

2 1 Ed l4 E 40

E 2d , E 40

the

T g bT . The isothermal compressibility can be

where K is Young’s modulus, and v is Poisson’s ratio. K is often calculated as bT ¼ expressed as a function of temperature as K ¼ K 0 þ aT g , where K0 is Young’s modulus at room temperature, and a is the temperature coefficient.

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

129

10-2

Attenuation (cm-1, Base 10)

FIT TO n8/

4

10-3

325 nm

10-4

442 nm Data from (Ger)

10-5

10-6 150

633 nm

200

250

300

350

400

450

500

550

600

650

Wavelength (nm)

Figure 3.4 Rayleigh scattering of bulk fused silica. [Reproduced with permission from R. Kunz and R. Dammel, 193-nm Lithography: Fundamentals and Issues, SPIE Short Course SC120 (2005).]

where n is the refractive index, l is the exposure wavelength, p is the photoelastic constant, k is Boltzmann’s constant, Tg is the glass transition temperature, and bT is the isothermal compressibility at Tg. 3.2.2 Fused-silica degradation mechanisms Upon laser irradiation of fused silica at 193 nm, structural rearrangement occurs in the material, leading to changes in its density; this is often accompanied by changes in its refractive index and may cause stress-induced birefringence. Two different mechanisms have been reported to be associated with these changes. One mechanism is compaction, where the fused silica becomes denser (indicating shortened optical path and increased refractive index) with increasing exposure,22 while the other is exactly the opposite, rarefaction or decompaction. The change in the refractive index Dn [in parts per billion (ppb)] is expressed as23 W. G. Oldham and R. E. Schenker, “193-nm lithographic system lifetimes as limited by UV compaction,” Solid State Technol. 40(4), 95–102 (1997). 23 W. G. Oldham and R. E. Schenker, “193-nm lithographic system lifetimes as limited by UV compaction,” Solid State Technol. 40(4), 95–102 (1997); J. M. Algots, R. Sandstrom, W. Partlo, P. Maroevic, E. Eva, M. M. Gerhard, R. Lindner, and F. Stietz, “Compaction and rarefaction of fused silica with 193-nm excimer laser exposure,” Proc. SPIE 5040, 1693–1650 (2003). 22

130

Chapter 3

  NI 2 b Dn ¼ k 1 ðNI Þ þ k 2 , t a

(3.2)

where t is the pulse length (in nanoseconds), N is the number of pulses, and I is the energy density (J/cm2) per pulse, while k1, k2, a, and b are fitting parameters that vary from sample to sample. The first term on the right-hand side of Eq. (3.2) represents the rarefaction, while the second term represents the compaction. These two terms have different functional dependencies. Even the manufacturing process for fused silica can influence the rate of its compaction. Optics lifetimes can be maximized by optimizing peak power and pulse duration.24 The lithographically useful lifetime of fused silica is dependent on many parameters, including (1) the lens design as it relates to peak laser fluence, polarization and temperature sensitivity, and phase error tolerance; and (2) laser parameters such as pulse duration (higher peak fluences must be applied in shorter pulses). Given the susceptibility of fused silica to laser-induced damage, particularly at high fluences at the 193-nm wavelength, it is not used in the illuminator lenses. Rather, at present, calcium fluoride is used in most 193-nm illumination systems for elements where fused silica’s lifetime has been projected to be unacceptably short. Also, when necessary, optical coatings that are laser resistant and can also function as anti-reflection coatings are coated on fused-silica lenses to protect them from surface damage. Typically, fused-silica lenses are used in the projection optics where fluences are much lower than in the illuminator optics.25 An important example of a problem caused by surface damage (especially of the roughening kind) of the exposure lens elements or non-optimized antireflection coatings is flare.26 Flare refers to scattered light in the optical system that reaches areas that are not intended to be exposed at the image plane. Flare can also occur from scattering at surfaces or at defects in the lens material’s substrate. Other causes of scattering from lens surfaces include contamination, arising from DUV-light-induced photochemical reactions that deposit materials on the lens surfaces.27 When such deposited materials coalesce, light is scattered by the resulting particles.28 24

H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Belligham, Washington, p. 165 (2005). 25 ibid, p. 166. 26 P. Bousquet, F. Flory, and P. Roche, “Scattering from multilayer thin films: theory and experiment,” J. Opt. Soc. Am. 71(9), 1115–1123 (1981). 27 R. R. Kunz, V. Lieberman, and D. K. Downs, “Photo-induced organic contamination of lithographic optics,” Microlithography World 9(4), 2–8 (2000). 28 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 166 (2005).

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

131

Flare can occur over long and short distances, hence the types called longrange and short-range flare. Long-range flare leads to a uniform background of light throughout the imaged area, resulting in reduced image contrast. In a flare-free exposure system, image contrast is given by C¼

I max  I min , I max þ I min

(3.3)

where Imax and Imin are the maximum and minimum intensities of the image, respectively. In an exposure system with flare d, the intensity is given by I flare ¼ ð1  dÞI þ d,

(3.4)

where I is the intensity in a flare-free system. The contrast in an exposure system with flare is therefore given by C flare ¼

I max  I min P , I max þ I min þ ni¼1 X i

(3.6)

where Xi is flare from source i, and n is the total number of sources of flare in the system. 3.2.3 Calcium fluoride High purity, single-crystal CaF2 is an alternative lens material to fused silica in UV lithography, particularly DUV and VUV lithographies. This CaF2 crystal has higher transmission at 193-nm than fused silica. It is the only material transparent enough to be used as a lens material in 157-nm lithography. It has high damage resistance as it does not suffer from laserinduced compaction like fused silica. It suffers from color center formation to a lesser degree than fused silica, and at 193-nm it does not form color centers. The main drawbacks of CaF2 include its high residual index inhomogeneity (roughly 1 ppm), spatial-dispersion-induced (intrinsic) birefringence29 (see Table 3.4), poor quality control, difficulty of polishing, low yield, and high cost. The difficulties associated with producing high-yield CaF2 crystals are related to several factors. First, obtaining smooth CaF2 surfaces is difficult because the hardness of CaF2 depends on the crystallographic orientation, which results in uneven surfaces during polishing. Special techniques have been developed, however, for polishing CaF2.30 Second, the thermal gradients in the furnace not only limit the crystal quality but necessitate the use of long J. H. Burnett, Z. H. Levine, and E. L. Shirley, “Intrinsic birefringence in calcium fluoride and barium fluoride,” Phys. Rev. B 64, 241102(R) (2001). 30 D. Golini, M. DeMarco, W. Kordonski, and J. Brunning, “MRF polishes calcium fluoride to high quality,” Laser Focus World, pp. S5–S13 (June 30, 2001). 29

132

Chapter 3 Table 3.4 Spatial-dispersion-induced birefringence of CaF2 at different UV wavelengths.31 Birefringence n – n (ppm)

Wavelength (nm) 253.65

0.055

193.09

0.34

157.63

1.18

cooling processes that can last several weeks to prevent thermal stresses. These long growth times for CaF2 crystals significantly contribute to the high cost of CaF2 lenses. The temperature-dependent properties of CaF2 are summarized in Table 3.5. Figure 3.5 shows the wavelength dependence of refractive index and thermal coefficient of refractive index in the mid-UV spectral region for CaF2. Table 3.5

Select properties of CaF2.32

Property

Value

Thermal conductivity (W/m/deg) Linear expansion coefficient (ppm/deg)

19

Solubility in water (g per 100 g of H2O)

210–3

Crystal structure

Cubic

1.55

15

= 1.02 g = 83.2

Expt Fit

Expt

dn/dT (ppm/deg)

Refractive Index

1.6

1.5

1.45

1.4 150

9.7

10

Extrap. Fit

g = 101 G = 94 H = 64

5 0 -5

175

200

225

250

Wavelength (nm)

275

300

-10 150

175

200

225

250

275

300

Wavelength (nm)

Figure 3.5 Wavelength dependence of (left) the refractive index and (right) the thermal coefficient of refractive index in the deep-UV and vacuum-UV spectral regions for CaF2. (Reproduced with permission from Kunz and Dammel.26)

31

R. Kunz and R. Dammel, 193-nm Lithography: Fundamentals and Issues, SPIE Short Course SC120 (2005). 32 ibid.

-1

Absorption coefficient (cm , base 10)

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

133

0.004 Added 0.003

Initial

0.002

0.001

0 1

4.5

Maximum Number of Pulses (Millions)

Figure 3.6 Durability of different CaF2 samples under 193-nm laser irradiation (at 1 mJ/cm2/pulse).33

Figure 3.6 shows the durability of CaF2 as a function of 193-nm laser pulses. Showing the initial and induced absorption of CaF2 as a function of the number of laser pulses, this plot indicates that after about 1 billion pulses (at 1 mJ/cm2/pulse), the total bulk absorption of fused silica is significantly less than 0.001 cm–1. The total absorption in CaF2 is dominated by added (laser-induced) losses. 3.2.4 Optical coatings To ensure good transmission, and to some degree to protect the optical elements of lithographic exposure tools, particularly in high-radiation-fluence situations, optical coatings are nearly always applied to these optical elements. The common types of UV optical coatings include anti-reflection coatings (used to enhance throughput and reduce ghost images), full reflectors (used in laser windows and tuning mirrors), partial reflectors (used in beam delivery systems and catadioptic imaging systems), and filters. The materials used in the optical coatings fall into two categories: (1) aluminum mirrors with dielectric overcoat and (2) dielectric coatings. 3.2.4.1 Aluminum mirrors

Aluminum mirrors are designed for broadband exposure (or equivalently, a broad range of angles of incidence) and have greater than 85% reflectance over the UV range. They are inexpensive and simple to deposit. Their durability under long-term laser irradiance is inferior to those of dielectricbased optical coatings (see next section) because of their higher absorption. 33

ibid.

134

Chapter 3

3.2.4.2 Dielectric optical coatings

Dielectric optical coatings are based on constructive/destructive interference effects of incident and reflected light. These anti-reflection coatings have bandwidths of a few nanometers for optimum operation. With appropriate material selection, their absorption can be minimized, and excellent durability can be achieved. They are, however, more complicated to deposit than aluminum mirrors, requiring precise knowledge of the optical constants of the films, endpoint monitoring for determining the correct thickness of the film, and optimization of deposition conditions for denser defect-free films. For these reasons, dielectric optical coatings cost more than aluminum mirrors. The basic design of these coatings involves alternating quarter-wave layers of higher- and lower-index materials as compared to the substrate: 2–5 layers for anti-reflection designs, and up to 40 layers for high-reflectivity applications. The layer thicknesses are typically in the tens of nanometers, depending on the wavelength and layer indices. For fused silica or calcium fluoride substrates, fluoride or oxide layer materials can be used. Specifically, for high-index applications, fluorides such as LaF3, GdF3, NdF3, or oxides such as Al2O3, HfO2, ZrO2, are coated over the fused silica or calcium fluoride substrate. For low-index applications, fluorides such as MgF2, LiF, and AlF3, or oxides such as SiO2, are coated over fused silica or calcium fluoride substrate.34 3.2.5 Anti-reflection coatings As patterning resolution entered sub-micron regimes, it quickly became obvious that substrate reflectivity posed significant problems that degraded critical dimension (CD) control and process latitude. Materials that suppressed reflectivity from the substrate were developed to mitigate this problem. These are called anti-reflection coatings, of which there are two types: organic and inorganic anti-reflection coatings. Most anti-reflection coatings (ARCs) are organic polymers that are highly absorbing or contain highly absorbing dyes. These coatings can be applied at the resist–substrate interface, in which case they are called bottom antireflection coatings, or they can be applied at the surface of the resist, in which case they are called top anti-reflection coatings. Top anti-reflection coatings are made of polyfluoroalkylethers35 and Teflon™-based materials,36 and are used to reduce swing curve effects. Additionally, they have been reported to improve the signal-to-noise ratio and 34

ibid. T. Tanaka, N. Hasegawa, H. Shiraishi, and S. Okazaki, “A new photolithography technique with antireflective coating on resist ARCOR,” J. Electrochemical Society 137(12), 3900 (1990). 36 T. A. Brunner, “Optimization of optical properties of resist processes,” Proc. SPIE 1466, 297–308 (1991). 35

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

135

shape of some stepper alignment signals.37 Earlier generations of top antireflection coatings were designed to be coated from organic solvents that do not attack the resist layer and must be removed before development since they are not aqueous-base soluble. In principle, chlorofluorocarbons (CFCs) may be used for both purposes since they do not attack the resist.38 Inorganic anti-reflection coatings are typically deposited by sputtering, and, unlike organic anti-reflection coatings, may in some cases be left in the finished device. Typical inorganic anti-reflection coatings include silicon oxynitride, amorphous silicon, tantalum silicide, titanium nitride, etc.

3.3 Chemistry of Mirror Materials 3.3.1 EUV multilayer mirrors There are no reasonably EUV-transmissive refractive materials that can be used in EUV optics. Instead, most naturally occurring materials reflect only a very small fraction of the incident EUV photons and tend to absorb most of them from their surface within a fraction of a micron. Therefore, only precisely figured reflective optics, comprising multilayer film reflectors of alternating thin (a few nanometers) layers of molybdenum and silicon can be used as mirrors in the EUV tool. To protect the multilayer coating of the mirror, a capping layer is often deposited on its surface.

3.4 Chemistry of Lithographic Masks and Reticle Materials There are two main types of optical lithographic masks: binary intensity masks (BIMs) and phase-shifting masks (PSMs). A BIM consists of a clear, transparent quartz substrate with uniform thickness, in which is embedded dark, opaque, non-transmitting patterns made of chromium, a chromiumcontaining compound layer, or a molybdenum-containing layer of approximately 80-nm in thickness.39 Chromium and chromium-containing compounds are the most common opaque materials used for fabricating optical lithographic photomasks. Typically, the films of chromium and chromium-containing compounds are sputtered onto the glass substrates to thicknesses between 50 nm and 110 nm.40 The optical constants of chromium at different lithographic wavelengths are given in Table 3.6. A consequence of the high absorption of chromium at the indicated lithographic wavelengths is 37 T. Tanaka, N. Hasegawa, H. Shiraishi, and S. Okazaki, “A new photolithography technique with antireflective coating on resist ARCOR,” J. Electrochemical Society 137(12), 3900 (1990). 38 Despite this quality, CFCs cannot be used as solvents for top anti-reflection coatings because they are now banned for their role in the depletion of the ozone layer. 39 ibid., p. 11. 40 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 247 (2005).

136 Table 3.6

Chapter 3 Optical characteristics of chromium films at different lithographic wavelengths.41

Wavelength (nm)

Refractive index42

Reflection R from a chromium–glass interface

Transmission T through a 60-nm film of chromium

(1 – R) × T × 100%

436

1.79–4.05i

0.60

0.0009

0.036

365

1.39–3.24i

0.56

0.0012

0.055

248

0.85–2.01i

0.47

0.0022

0.119

193

0.84–1.65i

0.38

0.0016

0.098

157

0.68–1.11i

0.32

0.0048

0.310

mask heating during exposure,43 which causes magnification errors, with dark-field masks being particularly more sensitive to this issue than their bright-field counterparts. BIMs are also often called chromium-on-glass (COG) masks. Borosilicate glass was used in some i-line (365 nm) reticles; however, because of excessive absorption in the DUV region of the spectrum, BIMs could not be used in KrF and ArF lithographies at 248-nm and 193-nm wavelengths, respectively. Instead, fused silica, which offers the additional advantage of a lower thermal expansion coefficient, became the standard glass material used in reticles for ArF and KrF exciplex laser lithographies, and even for much longerwavelength lithographies such as g-line and i-line lithographies. At 157-nm wavelength, fluorine-doped fused silica is used to improve reticle transparency in F2 excimer laser lithography.44 Phase-shift masks utilize the principle that light passing through any media undergoes a phase shift proportional to the thickness of the material. Through careful design and layout, this characteristic can be harnessed to improve the imaging resolution and depth of focus of lithographic patterning.45 PSMs are of two varieties: alternating and attenuating PSMs. Phase shifting is realized in alternating PSMs by etching into the quartz substrate to a specified depth and realizing a 180-deg phase shift; while in attenuating PSMs, a phase shift is realized by replacing the chrome absorber with a semitransparent material (MoSi) that changes the phase. A 6% transmission MoSi 41

Table adapted from H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 247 (2005). 42 E. D. Palik, Ed., Handbook of Optical Constants of Solids II, Academic Press, San Diego (1991). 43 J. Chang, A. Abdo, B. Kim, T. Bloomstein, R. Englestad, E. Lovell, W. Beckman, and J. Mitchell, “Thermomechanical distortions of advanced optical reticles during exposure,” Proc. SPIE 3676, 756–767 (1999). 44 A. K.-K. Wong, Resolution Enhancement Techniques in Optical Lithography, SPIE Press, p. 12 (2001). 45 https://www.photomask.com/products/phase-shift-masks

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

137

film is standard for optical lithographic masks. The substrate used in both alternating and attenuating PSMs is quartz. The opaque absorber used in both alternating and attenuating PSMs is chrome. 3.4.1 X-ray masks Given that there are no materials that have excellent transparency to x-rays, x-ray masks comprise a very thin membrane (with thickness ,2 mm), typically made of low-atomic-number materials, on which the circuit patterns are placed in the form of high-atomic-number absorber materials. A high percentage of the x-rays pass through the low-atomic-number material, but they are absorbed or scattered by the high-atomic-number materials, thus generating a contrast for the pattern.46 Typical materials used as x-ray mask membranes include silicon carbide, diamond, and silicon nitride. Typical materials used as x-ray mask absorbers include gold,47 tungsten,48 Ta, TaN,49 TaSiN,50 and Ta4B,51 with the last four materials being the most preferable because they are compatible with various etch and cleaning processes.52 Membrane materials must have a high Young’s modulus (for silicon carbide, the value is 450 GPa, while it is 900 GPa for diamond), a characteristic that minimizes mechanical distortion and makes the membrane damage resistant to prolonged exposure to x-rays.53 3.4.2 EUV masks An EUV mask comprises an absorber layer (typically Ta, TaN or CrN), which is deposited on top of the buffer layer (typically SiO2) that separates it from the Mo/Si multilayer reflector. A capping layer (typically Ru or Si) is 46

H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 375 (2005). 47 G. E. Georgiou, C. A. Jankowski, and T. A. Palumbo, “DC electroplating of sub-micron gold patterns on X-ray masks,” Proc. SPIE 471, 96 (1984). 48 P. A. Seese, K. D. Cummings, D. J. Resnik, A. W. Yanfo, W. A. Johnson, G. M. Welss, and J. P. Wallace, “Accelerated radiation damage testing of X-ray mask membrane materials,” Proc. SPIE 1924, 457–466 (1993). 49 J. T. Sheu, A. Chu, J. H. Ding, and S. Su, “Characteristics of sputtered TaX absorbers for X-ray masks,” Proc. SPIE 3676, 42–45 (1999). 50 J. M. Rocque, D. M. Puisto, D. J. Resnick, K. D. Cummings, W. Chuc, and P. A. Seese, “SNR200 chemically amplified resist optimization,” Proc. SPIE 3048, 90–99 (1997). 51 C. J. Brooks, K. C. Racette, M. J. Lercel, L. A. Powers, and D. E. Benoit, “Advanced refractory-metal and process technology for the fabrication of X-ray masks,” Proc. SPIE 3676, 14–23 (1999). 52 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 367 (2005). 53 P. A. Seese, K. D. Cummings, D. J. Resnick, A. W. Yanfo, W. A. Johnson, G. M. Wells, and J. P. Wallace, “Accelerated radiation damage testing of X-ray mask membrane materials,” Proc. SPIE 1924, 457–466 (1993).

138

Chapter 3

often deposited on top of the Mo/Si stack to protect it from reflectivitydegradative carbon deposition and oxidation reactions. Many new, thin, EUV mask absorber materials have been either patented or proposed; all are aimed at mitigating mask 3D effects. The newly patented absorber materials include the following:54 single-metal elements such as Ni, Pd, and Co, along with their oxides and nitrides; binary metal alloys such as NiPt, along with their oxides and nitrides; ternary oxides of the metal alloy NiPt; and ternary nitrides of the metal alloy NiPt. Other new, thin, EUV absorber masks that have been recently proposed and that are under development include TaTeN, Ru-Ta, and Pt-Mo alloys.55,56

3.5 Lithographic Pellicles There are two types of pellicles in use in lithography: optical lithographic pellicles and EUV lithographic pellicles. 3.5.1 Optical lithographic pellicles Pellicles used in optical lithography were introduced in the early 1980s.57 They keep dust particles and other hard defects sufficiently far from the reticle surface such that their image prints out of focus and not on the resist. This pellicle function substantially improves yields and was responsible for pellicles’ widespread deployment across the industry. Pellicles are thin (1 mm) polymer films (mostly nitrocellulose and Teflon™) stretched across a frame that is attached to the mask (see Fig. 3.7). Frame heights are typically in the 5 to 10-mm range, with 6.35 mm (the thickness of the mask blank) being the most common. Particles deposited on the pelliclized mask fall onto the pellicle or glass backside of the mask; being several millimeters away from the chrome features being imaged, the particles print out of focus and therefore do not affect the image quality.58 S. K. Patil, S. Singh, U. Okoroanyanwu, O. Wood, and P. Mangat, “Mask structures and methods of manufacturing,” U.S. Patent No. 9,195,132 (2015). 55 M. Wu, D. Thakare, J.-F. de Marneffe, P. Jaenen, L. Souriau, K. Opsomer, J. P. Soulié, A. Erdmann, H. M. S. Mesilhy, P. Naujok, M. Foltin, V. Soltwisch, Q. Saadeh, and V. Phillipsen, “Study of novel EUVL mask absorber candidates,” J. Micro/Nanopatterning, Materials, and Metrology 20(2), 021002 (2021). 56 V. Philipsen, K. V. Luong, L. Souriau, E. Hendrickx, A. Erdmann, D. Xu, P. Evanschitzky, R. W. E. van de Kruijs, A. Edrisi, F. Scholze, C. Laubis, M. Irmshcer, S. Naaz, and C. Reuter, “Reducing EUV mask 3D effects by alternative metal absorbers,” Proc. SPIE 10143, 1014310 (2017). 57 R. Hershel, “Pellicle protection of integrated circuit (IC) masks,” Proc. SPIE 275, 23–28 (1981). 58 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 262 (2005). 54

Chemistry of the Lithographic Exposure Tool and Optical Element Materials

139

Frame

Pellicle Reticle

Mask pattern Glass substrate

Figure 3.7 Cross-sectional view of a reticle with an attached pellicle.

Pellicle frames are usually made of anodized aluminum, into which are drilled small holes for equalizing the pressure of air in the space enclosed by the mask and pellicle with the ambient air pressure.59 These holes are extremely small to prevent them from becoming routes for particles to enter the space between the pellicle and mask.60 While the holes in the pellicle frame are effective in preventing particles from entering the space between the pellicle and the mask, they are not effective in preventing airborne molecular contaminants like ammonia, sulfur dioxide, water vapor, etc., from reaching this space. Under DUV illumination, these contaminants react together to form ammonium sulfate crystals on the reticle, discussed below in the section on ArF lithography.61 In addition, molecular contaminants can outgas from pellicle adhesives and from degradation products of the interaction of pellicles with DUV radiation. It should be pointed out that, because mask substrates are made of glass, which are insulators, they are very susceptible to damage from electrostatic discharge (ESD).62 Sparks from discharges can cause the mask absorber material to melt and can lead to bridging across gaps on the mask.63 Another mask problem related to ESD damage is electric field-induced metal migration (EFM).64 In photomasks, this problem manifests as the migration of chromium ions from the mask absorbers and their deposition and oxidation 59 R. W. Murphy and R. Boyd, “The effect of pressure differentials on pelliclized photomasks,” Proc. SPIE 2322, 187–210 (1994). 60 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, pp. 262–263 (2005). 61 B. J. Grenon, C. Peters, K. Battacharyya, and W. Volk, “Formation and detection of subpellicle defects by exposure to DUV systems illumination,” Proc. SPIE 3873, 162–176 (1999); B. J. Grenon, C. Peters, K. Battacharyya, W. Volk, and A. Poock, “Reticle surface contaminants and their relationship to sub-pellicle particle formation,” Proc. SPIE 5256, 1103–1110 (2003). 62 A. C. Rudack, L. B. Levit, and A. Williams, “Mask damage by electrostatic discharge: a reticle printability evaluation,” Proc. SPIE 4691, 1340–1347 (2002). 63 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 267 (2005). 64 G. Rider, “Estimation of the field-induced damage thresholds in reticles,” Semicond. Manufacturing Magazine, pp. 80–94 (February 2004).

140

Chapter 3

in and around features in the clear areas of the mask (see Section 11.2). The result is a degradation of CD uniformity of mask patterns. Pellicles used in UV lithography such as 193-nm lithography are generally made of perfluorinated polymers (structures I and II). Irradiation of pellicles over time induces small but measurable changes in their physical properties: they become thinner due to polymer photovolatilization, their refractive indices decrease due to increased porosity, and their absorbance increases due to formation of new chemical bonds. All of these changes in physical properties are affected by the exposure ambient, with exposures in nitrogen experiencing degradation acceleration relative to those in oxygen ambient, perhaps due to the healing effect of photogenerated radicals via peroxy formation in oxygen ambient.65

(I)

(II)

Structures of typical polymers used in optical reticles

3.5.2 EUV lithographic pellicles Highly transparent pellicles are required in EUV lithography to protect the EUV mask from fall-on particles. Such pellicles must be thin enough to allow greater than 90% EUV radiation transmission, while being durable enough to withstand handling, pressure changes, and thermal stresses. EUV pellicles made of free-standing carbon nanotube (CNT) films, originally invented in 2006,66 and demonstrated on a production-worthy advanced EUV scanner in 2020,67 have been shown to meet the above requirements. Specifically, such CNT pellicles have demonstrated 97% EUV transmission, good mechanical stability, suitable EUV uniformity, low EUV scattering and reflectivity, and sufficient light transmission to permit through-pellicle mask inspection.

65

ibid. U. Okoroanyanwu and R. H. Kim, “EUV pellicle and method for fabricating semiconductor dies using same,” U.S. Patent No. 7,767,985 (2010). 67 M. Y. Timmermans, I. Pollentier, M. Korytov, T. Nuytten, S. Sergeant, T. Conard, J. Meersschant, Y. Zhang, M. Dialameh, W. Alaerts, E. Jazaeri, V. Smappinato, A. Franquet, S. Brems, C. Huyghebaert, and E. E. Gallagher, “Carbon nanotube EUV pellicle tunability and performance in a scanner-like environment,” J. Micro/Nanopattern. Mater. Metrol. 20(3), 031010 (2021). 66

Chapter 4

Chemistry of Lithographic Patterning Materials “Why is the grass so cool, fresh and green? The sky so deep, and blue? Get to your Chemistry, You dullard, you!” Walter de la Mare, “The Dunce”

4.1 Introduction Present day lithographic patterning materials and chemicals, including inks, resists, anti-reflection coatings, casting solvents, developers, strippers, etc., evolved largely from technologies developed in the printing industry. Integrated circuits (ICs) and circuit boards were unheard of in 1798 when Senefelder invented lithography and in 1826 when Niepcé invented photolithography. Since those early days, lithographic chemicals have evolved from being extracted from natural sources to being derived almost exclusively from synthetic sources today. They have also grown in importance along with the electronics industry, since even the simplest electronic systems today are patterned with resists, using ever more sophisticated arrays of lithographic chemicals. In this chapter we present an overview of lithographic chemicals used in patterning IC devices and printing newspapers, textbooks, posters and the like, while making historical references to similar materials used in lithography in earlier times.

4.2 Resists As the enabling factor/component for each lithographic printing technique, resists have often determined the successful implementation of each lithography. At times, new resists and related processes developed in anticipation of yetto-be developed lithographic tools and methods. Other times, the invention of 141

142

Chapter 4

new lithographic tools and methods have preceded the invention of the corresponding resists. In Chapters 6 through 9, we examine the materials used in photochemical and radiochemical resists, self-assembling resists, and imprint resists. In addition, we examine the chemical mechanisms underlying the imaging action of these resist materials. We also trace the evolution of resist materials and processes throughout the entire history of lithography. We pay particular attention to the factors that necessitated the changes and sometimes transitions in the evolution of lithographic resist materials and processes. The treatment of each representative class of resists is rendered here in a manner that employs a brief narrative of the historical background, covering the invention of the resist to contextualize its usage while also presenting detailed chemistry of the resist materials, including their synthesis, formulation, radiation chemistry, and image formation mechanisms as well as the processing and applications of such materials in printing and fabrication. Because the resins of modern resists are almost exclusively composed of polymers, a generalized treatment of the thermophysical, mechanical, and rheological properties of resist polymers is provided below. A treatment of the fundamentals of photochemistry and radiation chemistry of resist polymers is provided in Chapters 5, 6, and 7, as a way of highlighting the unique properties of these materials that make them ideal candidates for resist applications in semiconductor fabrication and general printing. The evolution of resist materials and resist processes over time has closely paralleled the evolution of lithography. Generally, the evolution of resists can be divided into two main eras: the dichromate era and the modern era (see Fig. 4.1). There have been many important discoveries in resist materials and processes, some of the most significant of which are covered in this chapter and the next. The early resist materials such as bitumen of Judea, dichromated gelatin, and other dichromated colloids were all negative resists. These are resists that upon exposure crosslink and become insoluble in the developer medium. The mechanism by which they functioned was not well understood at the time of their discovery. It was only in the 1920s that it was recognized that gelatin and other natural colloids were macromolecules,1 and that rubber vulcanization is a crosslinking process. Only then did the idea begin to take hold that the hardening and the insolubilization that occur on exposure of dichromated gelatin are brought about by radiation-induced crosslinking.2 Since polymers constitute the majority of modern resist resins, we provide below a brief general description of their thermophysical, rheological, and chemical properties, which make them ideal candidates for resist applications. H. Morawetz, “Difficulties in the emergence of the polymer concept – an essay,” Angew. Chem. Int. Ed. Engl. 26, 93 (1987). 2 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, p. 22 (1989). 1

Chemistry of Lithographic Patterning Materials

Figure 4.1

143

Historical evolution of resists.

Further, we review how these properties are manipulated in resists to improve the performance of resists. 4.2.1 Photoresist and radiation resist components Photon- and radiation-sensitive resist formulations may generally contain these components: (1) film-forming resin, (2) solvent, (3) sensitizer, photoinitiator, or photoacid generator, and (4) additives. Photoresist or radiationsensitive film-forming resin can be organic, inorganic, or metallo-organic in nature. Most of the film-forming resins are polymeric and organic, although low-molecular-weight inorganic and metallo-organic compounds based primarily on fullerenes and metal oxides, respectively, can also be used to formulate them. The dried radiation-sensitive film—coated on appropriate substrates from a resist solution containing the above-mentioned ingredients, and dried to remove the solvent and to obtain the desired thickness— undergoes changes when exposed to radiation of a certain wavelength or electrons or even ions; this exposure alters the solubility in the developer of the exposed areas relative to the unexposed areas. If the resist prints in a negative tone, the exposed areas are hardened, whereas positive-tone resists are made more soluble in the developer solvent by the exposure.3 The changes in the

3

See Section 2.1 and Fig. 2.2 for definitions and schematic illustrations of positive-tone and negative-tone resists.

144

Chapter 4

exposed areas are due to chemical reactions brought about by the absorbed radiation.4 4.2.2 Nanoparticle resist components Nanoparticle imprint resist materials comprise a dispersion of a mixture of inorganic (such as gold and indium tin oxide) or ceramic nanoparticles (such as TiO2, and ZrO2), UV-crosslinkable polymeric resins (such as thiol-ene acrylate prepolymer, 3-methoxysilylpropyl methacrylate, etc.), binders (such as tetraethyl orthosilicate), appropriate solvents (such as propylene glycol monomethyl ether acetate, N-methylpyrrolidone, ethyl lactate), and anionic fluorosurfactants. 4.2.3 Sol–gel resist components Sol–gel resists are compositions resulting from a mixture of alkoxides such as tetramethyl orthosilicate (TMOS), alcohol, and water being allowed to react to the point of hydrolysis and condensation, following which the resulting reaction mixture and product is spin-coated on an appropriate substrate to remove the unreacted or formed liquid components by evaporation, resulting in a solid film of silica network. This solid film can be lithographically patterned via imprinting. 4.2.4 Resist classification Resists are classified in terms of the number of components they contain, the tone in which they print, the exposure radiation to which they are sensitive, or the lithographic technology in which they are employed (see Fig. 4.2). Resists

Figure 4.2 4

Photoresist classification.

W. S. DeForest, Photoresist: Materials and Processes, McGraw-Hill Book Company, New York, p. 20 (1975).

Chemistry of Lithographic Patterning Materials

145

can print in either negative tone or positive tone. Negative-tone resists print on the substrate as the negative image of the mask or template. Positive-tone resists print on the substrate as the image of the mask or template. Printing of a negative-tone image in photo- or radiation-sensitive resists relies on the crosslinking or polymerization of the resist materials within the exposed areas of the resist under the mask or template, such that during development in an appropriate solvent, this exposed part is not developed away. In contrast, printing of a positive-tone image in photo- or radiation-sensitive resists relies on reactions that result in either the decrease of the molecular weight or the transformation of functional groups of the resist components within the exposed area of the resist under the mask such that, during development in an appropriate solvent, this exposed area is dissolved away. Historically, it is possible that the resin itself is intrinsically photosensitive (e.g., bitumen of Judea or Syrian asphalt), in which case the formulation would be classified as a one-component resist; however, much more commonly, some substance or substances that are capable of absorbing radiation energy and bringing about an insolubilizing reaction (e.g., ammonium dichromate) or solubilizing reaction [e.g., diazonaphthoquinone (DNQ)] have been added to the formulation, in which case the resist would be classified as a multicomponent resist (see Fig. 4.2). These substances are referred to as sensitizers or photoinitiators and are invariably present in modern day resist formulations. A related group of compounds used in chemically amplified resists (CARs), but which all function in an analogous fashion to sensitizers, are called photoacid generators (PAGs), e.g., onium salts. Additives are substances that enhance or improve the performance of the resist. Examples include leveling agents, surfactants, antioxidants, stabilizers, and related chemicals.5 A typical advanced resist today may comprise 50–90% (by weight) of solvent, 10–40% (by weight) of resins, 1–8% (by weight) of sensitizers or PAGs, and less than 1% (by weight) of surfactants, anti-oxidants, leveling agents, and other additives. It should be emphasized that one-component resists consisting of pure radiation-sensitive materials, which in modern times comprise primarily polymers that combine all of the necessary attributes of a resist, have now fallen out of favor. In contrast, modern advanced lithography relies almost exclusively on the multi-component design concept, in which resist functions are provided by separate components, comprising the resin/binder on the one hand, and the photoactive compounds, on the other. In these multicomponent systems, the resins/binders are polymers and are typically inert to radiation but can undergo radiation-induced reactions initiated by the photoactive components of the resists.6 5

ibid. H. Ito, “Chemical Amplification Resists for Microlithography,” in Advances in Polymyer Science 172, Springer-Verlag Berlin, p. 45 (2005). 6

146

Chapter 4

In addition to classifying resists based on their imaging tone or on the number of components they contain, resists can also be classified according to the types of radiation used to expose them (see Fig. 5.1). These include UV resists (generally called photoresists), extreme-UV (EUV) resists, x-ray resists, electron beam (e-beam) resists, ion-beam resists, etc. Photoresists can be further divided into near-UV (350–450 nm) resists, mid-UV (300–350 nm) resists, DUV (250–190 nm) resists, and vacuum-UV (VUV) (157 nm) resists, depending on the exposure wavelength. Because EUV resists are actually exposed by secondary electrons generated by the soft x-ray photons used in EUV lithography at 13.5 nm, they are technically not called photoresists, and EUV lithography is also not technically considered to be an extension of photolithography.7 The aforementioned classification scheme reflects the semiconductor industry’s quest for fine resolution. The development of modern resists has followed in lock-step the migration of semiconductor lithography from the visible light region of the electromagnetic spectrum at 436-nm of the mercury discharge lamp g-line to the near-UV region at 365 nm of the mercury discharge lamp i-line, to the DUV at 248 nm and to 193 nm, using KrF and ArF exciplex lasers, respectively. Cyclized rubber resists dominated the industry between 1960 and 1970, during the era of contact printing based on i- and h-line lithography. Diazaonaphthoquinone (DNQ)/novolac resists dominated the industry between 1970 and 1996, spanning the g-, h-, and i-line lithographies. By 1997, resolution requirements for advanced ICs at the 250-nm technology node were beyond the capabilities of i-line lithography, paving the way for the introduction of polyhydroxystyrene (PHOST) resists based on chemical amplification and exposed with DUV-248-nm KrF exciplex lasers into high-volume production. Beyond i-line, resist chemistry for optical lithographies is dominated by the demands of the base polymer absorption; novolac is too opaque to be used as a 248-nm resist. PHOST-based resists, being reasonably transparent at 248-nm, became the new standard resin. The switch to PHOST-based resists required the invention of a new imaging scheme, chemical amplification with high sensitivity, in order to accommodate the low output power of early KrF lasers. Unlike DNQ/ novolac, PHOST-based resists do not exhibit dissolution inhibition behavior. By the time 193-nm ArF exciplex laser lithography was implemented in high-volume production at the 90-nm technology node in 2003, PHOST resist resins were replaced by aliphatic and alicyclic polymers, which are reasonably transparent at this wavelength, unlike PHOST. Again, the imaging mechanism was based on chemical amplification. Because aliphatic polymers based on acrylates etch somewhat too fast, copolymerizing them with the more-etch-resistant alicyclic-norbornene-derived monomers improved the overall etch stability of these polymers. Resist formulations based entirely 7

ibid.

Chemistry of Lithographic Patterning Materials

147

on alicyclic polymers have also been developed and deployed in high-volume manufacturing (HVM) environments. The technology nodes covering 90 nm and 65 nm with dry ArF lithography, and 45 nm with immersion ArF lithography are all based on these groups of polymers. With F2 excimer laser lithography at 157 nm, even polymers based on acrylates and norbornenes are too opaque to be of any useful value in resist applications. Therefore, fluorocarbons and silanol polymers are the two main classes of polymers that have reasonable transparency at this wavelength. Again, like their 193-nm and 248-nm counterparts, the 157-nm resists employ chemical amplification in their imaging mechanism, for quite similar reasons. Because the absorption phenomenon at EUV wavelengths is atomic, almost every element is opaque at these wavelengths except thin films of polymers with high carbon content (as in aromatic PHOST-based polymers, and acrylate and alicyclic polymers) and silicon. Polymers containing high amounts of oxygen and fluorine have very high absorptivity at EUV wavelengths.8 Although photoresists remain the dominant resists used in the fabrication of all kinds of IC devices, e-beam resists are widely used in the fabrication of photomasks, EUV lithographic masks, imprint masters (or molds, templates, or stamps), and x-ray masks. They are also used in niche applications in the fabrication of exploratory research devices. Among the many parameters (resolution, contrast, sensitivity, etch resistance, storage stability, thermal stability, radiation absorption, adhesion to appropriate substrates, solubility in appropriate solvents, etc.) that are used to describe radiation-sensitive resists, contrast and sensitivity are the two that are most often used to describe the performance of these types of resists. Instead of using radiations to pattern resists, thermodynamically driven phase separation, mechanical force, and electrochemically induced oxidation can be used for this purpose. The mechanisms of these lithographies as well as their essential attributes are described in detail in Chapters 8 and 9. The resists used in directed self-assembly and thermal imprint lithographies need not necessarily be photosensitive or radiation sensitive. The resists used in UVimprint lithography must be sensitive to the UV radiation used in crosslinking and hardening them, following application of the imprint mold/template to the resist film, but before the release of the template. Resist materials based on organically modified ceramics (ORMOCER® coatings developed and registered by Fraunhofer Gesellschaft zur Forderung der angewandten Forschung e.V.) are another interesting class of materials. Containing organic/inorganic copolymers, they have found applications in micromachining and microsystem fabrication of micro-optical elements and 3D scaffolds for biological applications, to mention but a few. These resist composites are prepared from alkoxysilanes, i.e., molecules of the type 8

The absorption properties of EUV resists are covered in Chapters 6 and 7.

148

Chapter 4

Rn SiðOR0 Þð4nÞ , with an inorganic center containing silicon and oxygen atoms —atoms that occur in glasses and other clay-derived ceramics. The organic parts (R or R 0 ) can be multifunctional urethane and thioether(meth)acrylate groups, which contain carbon double bonds, through which the ORMOCER can be cured by addition polymerization. The alkoxysilanes can be prepared via sol–gel techniques. The inorganic/organic hybrid nature of the copolymer imbues the resist with properties of organic polymers, including low-temperature processability and toughness as well as properties of inorganic glasslike materials such as hardness, chemical and thermal stability, and transparency. The composite nature of the resist makes it possible to access properties that would not otherwise be possible with either organic or inorganic polymers alone. 4.2.5 Thermophysical, mechanical, and rheological properties of polymeric resist resins The unit operations involved in resist processing, namely, spin coating and baking, impose severe mechanical and thermal stresses on polymeric resist resins, which are the major components of resist formulations. An additional mechanical stress in terms of compression is imposed on imprint resist polymeric resins during processing. Spin coating involves rotation of a dispensed solution of polymeric resist resin and additives on silicon substrates at extremely high revolutions per minute to realize a thin resist film with residual solvent. Following spin coating, the resulting film is baked to remove residual solvent and to solidify the film. While spin coating imposes severe mechanical stresses in terms of torque on the resist polymeric film, baking imposes thermal stresses on the resist film. The response of the resist polymeric resins to the thermal stress is governed by their thermophysical properties, which in turn are dependent on their molecular weights. The response of resist polymeric resins to mechanical stress is governed by their viscoelastic properties, which in turn are dependent on their molecular weights. As their name implies, resist polymers are large molecules with repetitive sequences of monomers—groups of atoms—connected in a linear or branched fashion, as illustrated in Fig. 4.3. The linear polymers [Fig. 4.3(a)] contain a basic backbone structure, to which various functional groups may be attached. In coated resist films, these linear polymers are attached only loosely to each other by secondary forces that are significantly weaker than covalent bonds. When sufficiently heated, these polymers absorb thermal energy, which breaks the secondary forces between individual polymer chains, resulting in enhanced mobility of these chains over and around each other, eventually resulting in the melting of the polymer into a liquid state. Polymers that melt in this manner are called thermoplastics. Branched polymers [Fig. 4.3(b)] generally behave like linear polymers. If, however, the functional groups on the backbone of the polymer contain unsaturated groups, heat or thermal polymerization may take place before melting occurs. This results in a polymer that is a highly

Chemistry of Lithographic Patterning Materials

149

(a)

(b)

(c) Figure 4.3 Forms of polymers: (a) linear polymers, (b) branched polymers (not interconnected), and (c) crosslinked or network polymers. [Figures reprinted from U. Okoroanyanwu, Chemistry and Lithography, SPIE Press, Bellingham, Washington (2010).]

crosslinked network, similar to Fig. 4.3(c). This crosslinked or network polymer is quite different from the linear polymers from which it is synthesized; it is generally stronger and more brittle, possesses greater thermal and chemical resistance, and does not soften upon heating. The interconnected structure of the crosslinked or network polymer prevents the individual molecules from moving around relative to each other when thermal energy is absorbed, as in the case of the linear polymer. As a result, instead of melting, the crosslinked polymer remains a solid until enough energy is absorbed to cause its chemical bonds to rupture and form different lower-molecular-weight compounds. With prolonged heating of the crosslinked polymer at high temperature, charring and total decomposition will occur. Polymers of this type are referred to as thermosets. After exposure to actinic radiation, negative resist coatings act in a similar manner to a thermoset resin. Instead of heat, radiation–chemical energy is responsible for the crosslinking reactions in negative resist systems. Postexposure baking of the image may result in additional polymerization.9 Next, we briefly examine some of the physical, mechanical, thermal, and viscoelastic properties of resist polymers as a function of molecular weight. 9

W. S. DeForest, Photoresist: Materials and Processes, McGraw-Hill Book Company, New York, pp. 22–23 (1975).

150

Chapter 4

4.2.5.1 Molecular weight properties of polymeric resist resins

Let us consider a linear chain resist polymer with a number of repeating (monomer) units. The number of these repeating units is called the degree of polymerization (DP) and is given by DP ¼

Mn , M0

(4.1)

where Mn refers to the number average molecular weight, and M0 refers to the molecular weight of the repeat unit. If we consider further that this long chain polymer possesses a set of molecular weight properties with values given by the set fx1 , x2 , ....., xn g, the corresponding probability of occurrence of these molecular weight properties is given by the set fP1 , P2 , ....., Pn g. The average (expectation) value of these molecular weight properties is therefore given by ` X

Pi xi :

(4.2)

i¼0

Similarly, if we let Ni be the number of polymer molecules having the molecular weight Mi, the number average molecular weight Mn and weight average molecular weight Mw, respectively, of the polymer are given by P`  `  X Ni i¼0 M i N i P` Mn ¼ Mi ¼ P , (4.3) ` N j j¼0 N j j¼0 i¼0 and Mw ¼

`  X i¼0

P`  N i M 2i NiMi P` M i ¼ Pi¼0 ` N M : j j j¼0 N j M j j¼0

(4.4)

The ratio of the weight average molecular weight to the number average molecular weight of a polymer is the polydispersity index (PDI), which is a measure of the heterogeneity or sizes of polymer molecules in the mixture. It is given by PDI ¼

Mw : Mn

(4.5)

Resist polymers with PDI ¼ 1 comprise polymers of equal chain lengths, while those with PDI . 1 comprise polymers of unequal chain lengths. 4.2.5.2 Thermal properties of polymeric resist resins

The glass transition temperature Tg is a good indicator of the thermal properties of resist polymeric resins. This is the temperature at which the polymer undergoes transition from a glassy to a rubbery state; the polymer softens at this point. At temperatures below the Tg, the polymer chains are in a frozen glass

Chemistry of Lithographic Patterning Materials

Figure 4.4

151

The dependence of polymeric film volume on temperature.

state, with limited molecular vibration and no segmental motion. At temperatures above Tg, the segmental motion is high enough that the polymer can start to flow. During processing, the resist film responds to both thermal and mechanical stresses imposed on it due to spinning, drying, and baking. Figure 4.4 shows how the volume of the resist polymeric film changes as a function of temperature. For a resist film with a clear glass transition, as shown in curve (a), the Tg is the temperature that marks the onset of a significant increase in the slope of the volume–temperature curve. The difference between the resist film volume associated with temperatures above Tg and the extrapolated value corresponding to the absence of Tg in curve (a) is referred to as the free volume of the polymer. This free volume plays a significant role in the diffusional processes of resist polymers during post-exposure bake. Some resist polymeric films do not have a sharp Tg, as seen in curves (b) and (c), so they melt, passing from the glassy solid state to the liquid melt state. The temperature corresponding to this point is called the melting temperature Tm. The variation of glass temperature with resist polymer number average molecular weight is illustrated in Fig. 4.5 and given by the Fox–Flory equation: T g ¼ T g,` 

K , Mn

(4.6)

where the constant K is the Fox–Flory parameter.

Figure 4.5

Variation of Tg with resist polymer number average molecular weight Mn.

152

Chapter 4

The factors that affect the Tg of resist polymers include intermolecular forces in the polymer, stiffness and flexibility of the polymer chain, pendant groups on the polymer, molecular weight of the polymer, and the addition of plasticizers to the polymer. The presence of strong intermolecular forces such as dipole–dipole interactions in resist polymers increase the Tg of the polymer. The presence of stiff chains in resist polymers—typified by the presence of groups such as amide, sulfone, carbonyl, and P-phenylene, which reduces the flexibility of the polymer chain—increases the Tg of the polymer. Large pendant groups on resist polymers tend to increase their Tg because they restrict the rotational freedom of the polymer. The presence of flexible groups such as aliphatic chains on resist polymers limits the packing of the chains and hence increases the rotational motion of the polymer, thereby decreasing the Tg. Plasticizers reduce intermolecular cohesive forces between resist polymer chains and thereby decrease the Tg. In contrast, Tg increases with the molecular weight of the resist polymer, but only for low-molecular-weight polymers. 4.2.5.3 Mechanical properties of polymeric resist resins

One measure of the mechanical properties of resist polymers is their strength, which is the stress required to break a resist sample. Stress in resists are of different types, including tensile (stretching), compressional, flexural (bending), torsional (twisting), and impact (hammering). Resist polymer properties that affect strength include crosslinking, crystallinity, and molecular weight. Crosslinking restricts the motion of the polymer chains, thereby increasing strength. Crystallinity increases intermolecular bonding in the resist polymers, thereby increasing strength. Tensile strength s is related to resist polymer molecular weight via the following equation: s ¼ s` 

A , M

(4.7)

where s` is the tensile strength of the polymer with molecular weight at infinity, A is a constant, and M is the molecular weight (weight average and number average molecular weight). The variation of tensile strength with resist polymer molecular weight is shown in Fig. 4.6. Another measure of a resist polymer mechanical property is the percent of elongation at break, also called strain, which measures the percentage of change in the length of the material before it fractures. Strain is a measure of the ductility of the resist polymer. The elastic modulus (Young’s modulus) E, which is the ratio of stress to strain in the linear region of the curve shown in Fig. 4.7, is another important resist mechanical property. It is a measure of the stiffness of the polymer and is given by Eq. (4.8). Yield strength is the stress where the elastic region (linear region of the curve) ends. Tensile strength is the stress at the fracture of the polymer. Beyond the yield point, the sample deforms plastically. Tensile strength may be less than or greater than

Chemistry of Lithographic Patterning Materials

153

Figure 4.6 Variation of tensile strength s` with resist polymer molecular weight M (weight average and number average molecular weight).

Figure 4.7

Stress–strain curve of a resist polymer.

yield strength, depending on the nature of the resist polymer. The elastic modulus is E¼

Tensile stress ðsÞ : Tensile strain ðεÞ

(4.8)

Toughness T is another important resist polymer mechanical factor. Toughness is a measure of the energy the resist is able to absorb before it breaks. It is the area under the stress–strain curve and is given by Z T¼

sdε:

(4.9)

The effect of temperature on the stress–strain curve of resist polymer is illustrated in Fig. 4.8. Increasing the temperature decreases the elastic modulus and tensile strength, and increases ductility. Increasing the strain rate causes the same effects as decreasing the temperature of resist polymers.

154

Chapter 4

Figure 4.8

Effect of temperature on the stress–strain curve.

4.2.5.4 Viscoelastic properties of polymeric resist resins

When resist polymers are subjected to stresses and strains during lithographic processing, especially during spin coating and baking, they respond with a combination of elastic and viscous deformation, depending on the temperature and the strain rate (see Fig. 4.9). When subjected to low temperature and high strain rate, elastic deformation is dominant over viscous deformation. When subjected to high temperature and low strain rate, viscous deformation is dominant over elastic deformation. When subjected to intermediate temperature and strain rate values, the polymer deforms in a viscoelastic manner. Elastic deformation occurs if the strain generated when a constant load or stress is applied is not time dependent. Once the stress is released, the material completely recovers its original dimension. In other words, the deformation is reversible. The relationship between stress and strain is given by Eq. (4.8) s ¼ Eε, where E is the elastic modulus, s is the applied stress, and ε is the developed strain. Figure 4.9 illustrates this type of deformation. In viscous deformation, the strain generated when a load is applied to the resist polymer is not instantaneous; rather, it is time dependent. The strain continues to increase with time on application of the constant load. When the load is removed, the material does not completely return to its original dimensions;

Figure 4.9

Viscoelastic deformation in resist polymers.

Chemistry of Lithographic Patterning Materials

155

i.e., the deformation is irreversible. Equation (4.10) captures the relationship between the stress and the strain under viscous deformation conditions: s¼g

dε , dt

(4.10)

where the proportionality constant g is the viscosity, and dε/dt is the strain rate. 4.2.5.5 Stress relaxation in polymeric resist resins

At a given temperature when a resist polymer is strained at a constant value, the stress required to maintain this constant strain decreases with time, as shown in Fig. 4.10. The reason for this behavior is that polymer molecules become relaxed with time such that a lower stress level is sufficient to maintain the constant strain. The relaxation modulus at any given time E rel ðtÞ is given by E rel ðtÞ ¼

sðtÞ , ε0

(4.11)

where ε0 is the peak strain level. The decrease in stress follows an exponential decay functional given by Eq. (4.12), where s0 is the peak stress level, and t is the relaxation time constant: s ¼ s0 et∕t :

(4.12)

The variation of relaxation modulus with temperature of resist polymeric film is illustrated in Fig. 4.11. The relaxation modulus decreases with increasing temperature and time. Figure 4.12 illustrates the variation of relaxation modulus with temperature at a given time t. 4.2.6 Resist solvents Resist solvents are chosen based on their ability to dissolve the resist resins, compounds, sensitizers, and additives as well as their ability to cast good dry

Figure 4.10

Stress relaxation in resist polymers.

156

Chapter 4

Figure 4.11 Variation of relaxation modulus of resist polymeric film with temperature and time (temperature: T1 , T2 , T3 , T4 , T5).

Figure 4.12 Illustration of the variation of relaxation modulus of a resist polymeric film with temperature at a given time t.

films of the resist from solution. These solvents have evolved over the years from being sourced exclusively from naturally occurring compounds like linseed oil and turpentine as used in the bitumen of Judea resists of the early days of photolithography in the early part of the 19th century, to water used in the dichromate resists in the mid-19th to early 20th centuries, to synthetic organic solvents now used in resists in the semiconductor industry. Today resist solvents fall into a narrow range of boiling points and evaporation rates. Table 4.1 lists some of the most popular resist solvents currently in use in semiconductor lithography. Only a limited selection of suitable organic solvents is available for use as casting solvents. In fact, some organic solvents with otherwise good properties, like xylene, cyclohexanone, chlorobenzene,

Chemistry of Lithographic Patterning Materials Table 4.1 Chemical (& Common) Names & Formula

157

Commonly used resist solvents.

Flash point Boiling (°C) Point (°C)

Viscosity (cP) at 25 °C

Comments

2-Ethoxymethyl acetate (Ethyl cellusolve acetate) C2H5O(CH2)2-OCOCH3

57.2

156

2-Methoxyethylether (Diglyme) CH3OCH2CH2OCH2CH2OCH3

70

162

Ethyl lactate CH3CH(OH) COOC2H5

46.1

154

2.4

Currently commercially available and considered safe

Propyleneglycol monoethylether acetate CH3O(CH2)2CH2OCOCH3

45.5

146

1.2

Currently commercially available and considered safe

Methylmethoxy propionate CH3O(CH2)2COOCH3

47

145

Currently commercially available and considered safe

Ethyl pyruvate CH3COCOOC2H5

46

155

Currently commercially available and considered safe

2-Heptanone CH3(CH2)4COCH3

39

121

Currently commercially available and considered safe

2-Ethylethoxy propionate CH3CH2O(CH2)2COOC2H5

59

170

1.1

Used often as cosolvent in currently commercially available solvents.

Diacetone alcohol (4-Hydroxy4-methyl-2-pentanone) CH3COCH2C(OH)(CH3)2

52

168

2.9

Safe solvent, but not currently in use for positive resists

Cyclohexanone (CH2)5O

43.8

157

2.0

Safe solvent, but not currently in use for positive resists

Amyl acetate CH3COO(CH2)4CH3

40

142

0.8

Used only as cosolvent. Although considered safe, it is not currently in use for positive resists

1.2

Widely used in the past, but now considered unsafe Widely used in the past, but now considered unsafe

etc., which were used in earlier times, are no longer being used today due to toxicological concerns. 4.2.7 Industrial manufacture of resist The process flow for large-scale manufacturing of advanced commercial resists is shown in Fig. 4.13. The process begins with cleaning the reaction vessel or kettle, into which the appropriate resist solvent is subsequently loaded, followed by the resins, sensitizers, and additives. After properly mixing and dissolving the solid contents of the resist into the solvent, aliquots of resist solution are withdrawn and analyzed to make sure that the components meet the vendor’s specification. Solutions that meet these specifications are then filtered, bottled, and labeled, before being shipped to their prospective customer. Solutions that do not meet the manufacturer’s material specification are reformulated and the components adjusted

158

Chapter 4

Clean kettle

Load solvent Fine-filter Load raw materials no counts ok?

Mix

yes Take sample and analyse

Bottle and label

no Specs met ?

Calculate adjustment

Ship

yes

Figure 4.13 Typical process flow for the large-scale manufacturing of advanced commercial resists (figure courtesy of R. Dammel).

appropriately to meet the specification. This iteration loop is executed as many times as necessary until the manufacturer’s specification is met.

4.3 Resist Developers and Rinses Resist developers fall into two main categories, depending on whether they are used for developing negative resists or positive resists. Negative resist developers are typically organic solvents in which the resist resins are soluble. Because the exposed area of the negative resist film is crosslinked and remains on the substrate, the unexposed part, still retaining the solubility characteristics of the parent resist resin, is dissolved away with the developing solvent. The actual negative resist development operation involves the selective dissolution of the unexposed areas. Chemically, the crosslinking caused by the exposure process does not significantly alter the resist polymer enough to prevent a strong interaction with solvents capable of dissolving the unexposed and uncrosslinked polymer. Consequently, swelling of the exposed areas will occur and can affect resist adhesion10 if it is severe enough; it will continue until equilibrium is reached.11 Ways to minimize the swelling problem of negative resists include applying adequate exposure to afford sufficient crosslinking, which in turn acts 10

E. B. Davidson, in Tech. Papers, Reg. Tech. Conf. Soc. Plast. Eng., Mid-Hudson Sec., p. 141, October (1970). 11 W. S. DeForest, Photoresist: Materials and Processes, McGraw-Hill Book Company, New York, p. 242 (1975).

Chemistry of Lithographic Patterning Materials

159

to limit the swelling, and using developing solvents that are not too active. It is known that the weaker the developing solvent the lower the swelling, but the greater the probability of scum formation from inadequate development. Examples of negative resist developers include xylene, cyclohexanone, cellosolve acetate, heptanone, etc.12 Following the developing process of negative resists, a rinse is used to remove the excess developing solvents and the undissolved resist film from the patterned features. After developing, the negative-acting resist image is swollen (as already stated above) with absorbed solvents and is very susceptible to damage or lifting around the edge during rinsing. Most rinse solvents are nonaqueous, partly because water has a very strong polar attraction for the silica on the wafer surface and may tend to undermine the swollen image and cause adhesion loss. In earlier times, normal butyl acetate was commonly used as a rinse solvent for negative resists immediately after development.13 Mixtures of the lower aliphatic alcohols and developers were also sometimes used in earlier times as rinse solvents for both the negative ester- and hydrocarbon-resist resins after development.14 It has also been reported that water in the developing solvents may cause scumming with negative resists, particularly on silica surfaces. Water tends to concentrate at the silica surface of wafers during the develop process. This is a location with high local resin concentration in the developing solvent, and, as a nonsolvent, water can cause precipitation in the form of a thin resin scum under some conditions.15 Positive resist developers, comprised entirely of solutions of alkaline metal or amine salts and bases, dissolve away the exposed areas of the resist, leaving largely untouched the unexposed areas, unlike their negative resist counterparts. The unique changes occurring in positive resists, described earlier, result in the formation of carboxylic acid groups in the sensitizer molecules or base polymer resins, which form alkaline-metal or amine salts in the developer solvent and are thereby dissolved away. There is little to no affinity between the unexposed areas and the developer solvent, which is in stark contrast to the negative resists, where both the exposed and unexposed areas tend to attract and retain the solvents. Solvent retention, which tends to cause swelling and pattern distortion in negative resist images, does not occur to any significant extent in positive resists; however, side reactions may occur in the exposed areas of positive resists, particularly in resists based on novolac resins (which contain phenolic groups) and DNQ sensitizers, where the likelihood of coupling reactions exists.16 12

ibid., pp. 242–243. ibid, p. 243. 14 ibid. 15 ibid. 16 ibid., p. 157. 13

160

Chapter 4

During the develop process, a coupling reaction that has long been known in the formation of azo dyes occurs at a very rapid rate at the proper pH with the formation of an azo linkage between the sensitizer and phenol resin of novolac resists. Quinone diazides are quite stable and are resistant to coupling at a neutral or acidic pH. Excessive alkalinity may impede coupling through the formation of other compounds. However, in the proper pH range, coupling can function to reduce the loss of the phenol resin from the surface.17 Positive resist developer solutions include, at least, a source of alkalinity in an aqueous medium and may or may not include a surfactant. Additionally, buffering agents such as glycol ethers18 and a coupling agent may also be present. During the develop process, the carboxylic acid groups (formed by the exposed sensitizer or from the deprotection of the resist polymer resin) are neutralized by the alkali substance present in older developers of bygone eras or tetramethylammonium hydroxide (TMAH) base in modern developers, forming salts.19 Salts thus formed are dissolved in the developing solution, resulting in a decrease of the pH of the solution as the develop process proceeds. The absorption of carbon dioxide from the ambient air by the developer also consumes the free alkalinity in the developer, an occurrence that is not generally problematic in strongly buffered developers, but that does appreciably affect dilute nonbuffered developer solutions.20 Another factor that affects the positive resist develop process is temperature. Generally, Celsius temperatures in the low or mid-teens, or above 26 °C, may tend to cause changes in the required develop time for a given resist. Developer temperatures much higher than 26 °C may cause pattern resist feature damage, whereas incomplete developing or scumming may occur at low developer temperatures.21 Rinsing of positive resists after developing is done with de-ionized water. The primary purpose of rinsing positive resists following development in basic solutions such as aqueous base is to remove water-soluble substances from the exposed parts. In contrast to negative resists, which require a forceful rinse to remove the insoluble solvent-logged portions of the image, the reaction products of the exposed areas of positive resists are water-soluble acidic salts. Water purity does not appear to have a significant effect on the quality of the rinsing operation of positive resists, although the possibility of calcium in the rinse water forming acidic salts of low solubility, which might manifest as 17

ibid. F. Endermann and W. Neugebauer, “Light sensitive quinone diazides and the photomechanical preparation of printing plates therewith,“ U.S. Patent No. 3,148,983 (1964). 19 W. S. DeForest, Photoresist: Materials and Processes, McGraw-Hill Book Company, New York, p. 157 (1975). 20 ibid. 21 ibid. 18

Chemistry of Lithographic Patterning Materials

161

Figure 4.14 Resist developers.

scumming, poses a potential problem.22 Hence, only de-ionized water is used for rinsing developed positive resists in modern semiconductor lithographic practices. Another classification scheme of resist developers is based on whether they are made of inorganic or organic compounds (see Fig. 4.14). While inorganic developers such as potassium hydroxide were popular during the early days of semiconductor lithography, in modern semiconductor lithography, organic developers are the preferred option because, unlike their inorganic counterparts, they do not contain metallic ions, which can cause electrical failures in devices fabricated with them. Both developer types can be buffered or nonbuffered; both may contain surfactants or not.

4.4 Resist Strippers and Cleaners At the end of the lithographic and etch patterning processes, and implant processes for the front end of line (FEOL) layers and back end of line (BEOL) layers, the remaining resists will need to be stripped and cleaned off from the wafer. The FEOL is the first portion of the IC fabrication, where individual components such as transistors, capacitors, resistors, etc., are patterned in the semiconductor substrate. Specifically, the FEOL layers comprise those device layers in which lithographic and etch patterning processes as well as implant and diffusion processes are used in the definition of critical components, such as the active, gate, source, and drain regions of the transistor, along with their associated implant regions. The BEOL is the second portion of IC fabrication, where the individual devices get interconnected with wiring on the wafer. Specifically, the BEOL layers comprise those layers in which lithographic and etch patterning processes are used to define (1) the via openings for the interconnection between device layers, (2) the local interconnection within a given layer for the metallization and wiring of the active devices to form ICs, and (3) the contact openings used to electrically connect the active devices to the outside world. 22

ibid.

162

Chapter 4

Two broad categories of resist strippers and cleaners are employed. These are solvent-based (wet) and plasma-based (dry) strippers. Depending on whether the wet stripping is to be done for the FEOL processes or the BEOL processes, different types and combinations of resist strippers may be used in order to prevent damage to the devices. A number of resist stripping solutions have been developed over the years. Early broad-spectrum (i.e., effective for both negative and positive resists) resist strippers used in semiconductor lithography contained one or more components such as halogenated hydrocarbons (methylene chloride, trichloroethylene, tetrachloroethylene, chloroform), phenols and phenolic compounds, glycol ethers, ketones (acetone, methylethyl ketone), dioxane, nitromethane, formamides, sulfonic acids,23 hot concentrated solutions of sulfuric acid saturated with chromic acid, and the like. The common resist strippers for positive resists then included equal volumes of sulfuric acid and hydrogen peroxide (30%), acetone, hot dimethyl formamide, methyl ethyl ketone, methyl isobutyl ketone, dioxane, hot butyl cellosolve, butyl carbitol, and aqueous caustic application following UV light exposure. Some of these strippers suffered from one or more drawbacks, such as potential toxicity to workers exposed to them, environmental and pollution problems in disposal after use, volatility, corrosion of equipment, and the safety hazard of operating at temperatures above the flash point of the stripper. These strippers were also plagued by difficulties and the high cost associated with disposing of them in an environmentally acceptable manner after use. The semiconductor industry has, to a large extent, migrated away from them for these afore-mentioned reasons, and some of the chlorinated resist strippers like chloroform are now banned from the semiconductor industry. In modern times, resist stripping for FEOL layers is typically accomplished by application of sulfuric acid and hydrogen peroxide mixture (SPM) solution, followed by the application of ammonium hydroxide and hydrogen peroxide mixture (APM) solution. This combination of solutions is able to strip off almost every kind of resist, except those that have been used in implant processes or have been hard baked or modified by plasma gases during dry etching or O2-ashing processes. For BEOL layers, resist stripping is accomplished with dilute hydrofluoric acid (HF) solutions. At times, organic acids like oxalic and citric acids are mixed into the dilute HF solutions. The choice of these solutions for BEOL layers is mandated by the need to prevent corrosion of the copper wirings. Some resist strippers are based on compositions comprising organic amine compounds and organic solvents as diluents. Typical organic amines in such 23 J. E. Vander Mey, “Phenol-free and chlorinated hydrocarbon-free photoresist stripper comprising surfactant and hydrotropic aromatic sulfonic acids,” U.S. Patent No. 4,165,294 (1979).

Chemistry of Lithographic Patterning Materials

163

formulations comprise monoethanolamine (MEA), 2-(2-aminoethoxy) ethanol (AEE), monopropanolamine, methylamylethanol, ethylenediamine, piperidine, benzyl amine, etc. Choline is sometimes added to enhance the activity of MEA. Typical polar organic solvents used in combination with the afore-mentioned amine compounds include N-methylacetamide (Mac), N,N-dimethylacetamide (DMAc), N, N-dimethylformamide (DMF), N-methylpyrrolidone (NMP), dimethylsulfoxide (DMSO), carbitol acetate, methoxyacetoxypropane, N, N-dimethylpropionamide, N, N-diethylbutylamide, N-methyl-N-ethylpropionamide, diethylene glycol monoethyl ether, diethyleneglycol dialkyl ether, g-butyrolactone, 1,3-dimethyl-2-imidazolinone, etc. Even non-protonic polar solvents, such as 1,3-dimethyl-2-imidazolidinone (DMI), 1,3-dimethyl-tetrahydropyrimidinone, etc., are also used in combination with organic amine compounds and in combination with sulfone compounds such as sulforane, and with glycol monoalkyl ethers such as diethylene glycol monoethyl ether, diethylene glycol monobutyl ether, etc. The proportions of the above constituents in a typical modern resist stripper are dependent on the application to which they are targeted.24 A particular resist stripping challenge is the removal of hard-baked positive resists and side-wall resist polymers that have been exposed to and modified by plasma gases during dry etching or an ashing process. Conventional strippers based on the compositions described above are not very effective in removing these types of modified resists. Broad-spectrum resist stripper compositions—containing as their principal active components a mixture of (1) morpholine or certain derivatives thereof, such as N-methylmorpholine, N-ethylmorpholine N-(2-hydroxyethyl)morpholine, and the like, and (2) pyrrolidone or certain of its derivatives, such as N-methylpyrrolidone,25 N-ethylpyrrolidone, N-(2-hydroxyethyl) pyrrolidone and the like—possess markedly improved properties in terms of their ability to strip a wide variety of resists, including resists that have been exposed to processing temperatures on the order of 180°C or higher, or have even been subjected to plasma ashing. These stripper compositions or variations thereof can be effectively employed at temperatures approximating room temperature in most cases and at temperatures that are safely below the flash point of the composition. In addition to the above principal components in the compositions of this class of resist strippers, there may also be present one or more diluents, provided that such diluents are free from corrosive properties, do not attack the substrate, do not present any significant toxicity or environmental hazards, nor interfere in any way with the efficacy of the I. E. Ward, “Stripping compositions containing an alkylamide and an alkanolamine and use thereof,” U.S. Patent No. 4,770,713 (1988). 25 N-methylpyrrolidone causes poisoning of chemically amplified resists and is currently not used within the lithography bay of modern clean rooms. However, it is still used in some resist stripping applications, often in bays that are not connected to the lithography bay. 24

164

Chapter 4

compositions of the active ingredients in the resist strippers. Such diluents comprise polar organic solvents such as glycols, of which ethylene glycol, propylene glycol, dipropylene glycol, tripropylene glycol, tetrapropylene glycol, and the like are typical examples. Other typical examples include glycol monoalkyl ethers such as the monomethyl, monoethyl, monopropyl, and monohexyl ethers of diethylene glycol and dipropylene glycol, glycol esters such as ethyleneglycol mono-acetate, ethyleneglycol diacetate, and the like, and glycol ether esters such as 2-ethoxyethyl acetate (cellosolve acetate), 2-butoxyethyl acetate (butylcellosolve acetate) and the like. These diluents are incorporated into the stripper alone or in mixtures of two or more, provided that their total amount does not limit the effectiveness of the active ingredients in the strippers.26 The broad-spectrum modern-day resist stripper compositions are employed to strip any of a wide variety of resists, including both positive and negative resists, from a substrate after the resist has performed its protective function with respect to the substrate. These compositions can strip a wide variety of resists from metallic and other substrates without attacking the substrate or any circuitry. They are effective in stripping resists that have been subjected to a postbake treatment at temperatures greater than 200°C and/or to other thermal hardening techniques known, before being subjected to further processing such as etching, ion implantation doping, metal deposition, and the like. For the most efficient resist strippers, stripping can be accomplished in many cases at temperatures approximating ambient temperature (20 to 25°C) in a relatively short time, on the order of a few minutes. However, if the resist has been subjected to relatively high temperatures (up to about 180 °C or higher in hard bake processes) or has been implanted or used in O2 plasma etching, stripper compositions are typically employed at elevated temperatures up to about 250 °C in order to ensure fast completion of the stripping process. The exact temperature and time needed to employ the stripper composition in any given instance is principally a function of the temperature to which the resist has been exposed during post-bake and/or other resist hardening techniques. The stripping temperature is designed to be lower than the flash point of the stripper composition.27 It should be mentioned that resists used in implant lithography tend to be carbonized, especially at the interface between the resist layer and the substrate and at wafer bevels, and it is extremely difficult to strip off such carbonized residues with SPM/APM solutions. For this reason, implanted resists are removed with hot SPM solution at 250 °C, followed by cold APM solution. The cold APM solution is 26 E. J. Turner, “Photoresist stripper composition and process of use,” World International Property Organization, Patent Application WO/1987/005314 (1987). 27 ibid.

Chemistry of Lithographic Patterning Materials

165

effective in preventing pitting of the substrate, which can result from hot APM application. The mode of contacting the stripper composition with the resist to be stripped can be by spraying the stripper onto the resist or immersing the resist and substrate in a bath of the stripper, which is maintained at the appropriate temperature. A few decades ago, completion of stripping was typically determined by visual inspection of the substrate and, if necessary, with the aid of a microscope or like means. Today such inspections are largely automated. Following the completion of the stripping operation, the wafer substrate is washed with de-ionized water or dilute aqueous solution of surfactant in order to remove any residual stripper solution, the latter being soluble in, or miscible with, water. These modern resist strippers pose no significant toxicity hazard to qualified personnel handling them. The compositions are miscible with water and therefore readily washed from the substrate after the stripping operation has been completed. The stripping bath is stable and can be re-used many times over a prolonged period without losing efficacy. Further, the principal components of these strippers are readily recoverable by distillation and thus can be recycled. Resist coatings are also removed with oxygen plasmas, which oxidize the resist to volatile compounds such as water and carbon dioxides. Oxygencontaining gases at low pressures are passed through a radiofrequency (RF) field to produce atomic oxygen, electrons, and various other excited-state molecules and atoms. These reactive species produced in the plasma convert the resist film into the above-mentioned volatiles, which are easily pumped away from the chamber.28 Upon volatilization of the organics, inorganic impurities are left on the surface of the substrate and are easily removed with the use of dilute HF solutions or by other means. One advantage of dry stripping of resists is the lack of dependence on the resist type or on prior processing. Even the toughest, most thoroughly cured and crosslinked resist films are easily removed with plasma stripping. Temperatures of the components usually are kept below 150 °C, and most metals, with the exception of copper and nichrome, are not significantly affected by the plasma bombardment. The resistance values of the latter metal are often affected, probably through the conversion of the resistor metal to oxides, while copper oxidizes readily in such an environment.29

28

W. S. DeForest, Photoresist: Materials and Processes, McGraw-Hill Book Company, New York, p. 246 (1975). 29 ibid.

166

Chapter 4

4.5 Stone, Plate, and Offset Lithographic Inks 4.5.1 Stone and plate lithographic inks Inks used in stone and plate lithography comprise greasy, waxy, oil-based formulations of pigments, colloids, viscosity modifiers, and binders. Originally, wax was used as a binder, but in modern formulations, synthetic polymers are routinely used in place of wax. 4.5.2 Offset lithographic inks Inks used in offset lithographic printing vary widely in their composition, depending mainly on the intended purpose of the finished product. An ink used to print on cartons differs significantly from that used for printing on metal for beverage cans, and even from that used for printing textbooks and newspapers. The inks can be either petroleum-based or vegetable oil-based. These inks are generally composed of resins, pigments, drying and nondrying oils, waxes, catalysts, etc. Common resins include synthetics such as phenolics, urethanes, maleics, and epoxides. Nondrying ink oils serve to control the ink rheology and the rate of ink film setting. Catalysts are used to initiate the polymerization of the resins. Petroleum-based ink oils are mainly normal paraffins and naphthalenic fractions of petroleum distillate. These oils possess very low vapor pressure. Vegetable-oil-based inks are mainly soy oil, although tung, linseed, corn, safflower, canola, castor, coconut, cottonseed, and sunflower oils are also sometimes used. These vegetable oils do not have a measurable vapor pressure at room temperature, and their boiling points are also not measurable at atmospheric pressure. These oils do typically undergo decomposition, discoloration, charring, and ultimately evolution of smoke, before reaching their boiling points. 4.5.3 Fountain solutions The role of fountain solutions in offset lithography presses is to keep the ink from adhering to the non-image areas of the offset plate. The composition of fountain solutions may vary from press to press, but as a general rule, they contain some type of desensitizer, either synthetic or Sudanese gum arabic, acids or bases, buffering agents, corrosion inhibitors, fungicides, antifoaming agents, drying stimulator, and wetting agents. The wetting agent serves to reduce the surface tension and increase the viscosity of the fountain solution. Common wetting agents used in fountain solutions include isopropyl alcohol and alcohol substitutes such as glycol ethers and ethylene glycol.

Part III Lithographic Photochemistry and Radiation Chemistry

Chapter 5

Photochemistry and Radiation Chemistry in Lithography “Mehr licht!” [More light!] Attributed dying words of Johann Wolfgang von Goethe (1749–1832)

5.1 Introduction Radiations spanning the visible, ultraviolet (UV), vacuum-UV (VUV), and extreme-UV (EUV) bands of the electromagnetic spectrum as well as charged particles such as electrons and ions are routinely used in semiconductor lithography. Photons with wavelengths from the visible (436 nm) through mid-UV (365 nm), deep-UV [(DUV) 248 nm and 193 nm], VUV (157 nm), and EUV (13.5 nm) regions of the spectrum are now routinely used in lithographic patterning of devices of different kinds. These photons mediate a wide range of photochemistry as they mediate the lithographic patterning process. Lithography using photons,1 otherwise called photolithography or optical lithography, has been and continues to be the preeminent lithographic technique used in fabrication of integrated circuit devices in the semiconductor industry since the late 1950s. Figure 5.1 shows the six basic elements of an optical lithographic exposure tool through which these photons travel: (1) an exposure source, (2) an illumination optics system, (3) a photomask or reticle and its pellicle, (4) a projection optics system, (5) a wafer stage, and (6) a photoresist-coated wafer. The source provides the exposure radiation which, with the aid of illumination optics, illuminates the photomask and transfers the image of the 1 The term optical lithography as used in this book encompasses all lithographic patterning techniques in which photons are used in such a manner that the imaging action obeys the laws of geometric optics—the simple laws of reflection and refraction, to mention but a few. With this usage, the terms photolithography and optical lithography are interchangeable, denoting the fact that photons are the primary agents that initiate the chemical transformations of the resists, resulting in the contrast between the exposed and the unexposed regions, and ultimately leading to the effective transfer of the mask image to the semiconducting substrate.

169

170

Chapter 5 Source

Illumination optics system

Mask/reticle

Exposure optics system

Photoresist-coated wafer Exposure stage

Figure 5.1

Elements of an optical lithographic exposure tool.

photomask with the aid of projection optics (in projection systems) to a photoresist-coated wafer (in projection systems) or directly to the photoresistcoated wafer in the absence of projection optics (in proximity and contact printing systems). In this way, the patterns on the photomask or reticle are replicated by the exposure system onto the photoresist-coated wafer, resulting in regions of high- and low-exposure intensity. The resulting latent images are then developed, creating openings in the resist for subsequent processing. Figure 5.2 is a schematic representation of the layout of a lithographic exposure tool/track cluster, showing the flow of compressed dry air and lens purge gas through the cluster, here illustrated with the ASML/1100 ArF lithographic exposure tool/screen track cluster. Critical optical elements in the optical train include the lens system in the projection optics box, the illumination optics, and the reticle/mask. Within the exposure tool, the lens purge gas He/N2 is used to purge the lens elements in the projection optics box and the illumination optics chamber, extremely dried compressed air is used to purge the reticle chamber and the exposure stage within. Filtered fab air is used to purge the post-exposure bake station of the track tool. Figure 5.2 also indicates locations typically used for monitoring airborne molecular contamination with filters in the purge gas flow through system. Table 5.1 shows the various radiations used in lithographic unit operations such as exposure, wafer

Photochemistry and Radiation Chemistry in Lithography

171

Figure 5.2 Schematic representation of the air and lens purge gas flow through a typical lithographic exposure tool/track cluster, here illustrated with the ASML /1100 ArF lithographic exposure tool/Screen track cluster, and indicating locations used in airborne molecular contamination monitoring. Air and purge gas flows are indicated in blue color. Typical airborne molecular contamination monitoring locations are indicated with red arrows and are associated with numbers.

inspection, blank and patterned mask inspection, mask cleaning, and plasma stripping of resists from wafers and masks. Distinct photochemical reactions and photophysical processes as well as radiochemical reactions and radiochemical processes are involved in the generation of radiation from lithographic exposure sources, and in the interaction of exposure radiation with lithographic optical elements, constituents of the exposure medium, and resist materials. These photochemical and radiochemical reactions and photophysical and radiochemical processes resulting from the interactions between the exposure radiation and radiation-sensitive resists and their constituents underlie the basis of the contrast between the exposed and the unexposed regions of the resist film. We examine some of these reactions and interactions in the succeeding sections.

5.2 General Considerations on Photochemistry, Photophysics, and Radiation Chemistry in Lithography The interaction of the exposure radiation with the constituents of the exposure medium can generate reactive species that can degrade optical elements and the unexposed areas of the resist film. The interaction of the exposure radiation with the optical elements can equally degrade the latter. The introductory parts of some of the major sections of this chapter are therefore devoted to the

Resist stripping

Mask cleaning

Cleaning

Patterned wafer inspection

Metrology Bank & patterned mask inspection

Lithography Optical elements, mask & resist exposure

Operation

X

266

X

257

X

X

248

X

199

X

X

X

193

Photons

X

X

365

Our-of-band photon (193 - 1065 nm)

X

406

X

X

488

Wavelength (nm)

X

X

172 X

157

Electromagnetic radiations used in lithographic unit operations.

In-band photon

Electron beam

Photons (optical)

Electron beam

Photons (optical)

Out-of-band photon (140-600 nm)

In-band photon

Radiation

Table 5.1

X

X

X

X

X

13.5

X

X

X

Electron beam X

Ion beam

X

Plasma

172 Chapter 5

Photochemistry and Radiation Chemistry in Lithography

173

rudiments of the photochemistry and photophysics of light interaction with lithographic materials such as optical elements, exposure sources, exposure media, radiation-sensitive resist systems, including polymers, photoacid generators, photobase generators, photosensitizers, etc. The object is to provide the background information needed for analyzing specific aspects of lithography. We can only provide here the most basic information required for understanding the subject.2 In the subsequent sections, we apply these photochemical and photophysical concepts to specific aspects of lithography, including the generation of radiations in lithographic exposure sources, degradative effects of radiation on lithographic exposure tool optical elements, exposure-induced contamination and cleaning of contaminated optics and reticles, lithographic resist imaging mechanisms, radiation-based defect repair of masks, etc. 5.2.1 The phenomenon of light absorption in lithography When lithographic electromagnetic exposure radiation shines on or illuminates any material within the optical path, depending on the nature of the material and the exposure radiation, part of the radiation may be absorbed and used to mediate certain photochemical or radiochemical processes within the material or simply heat up the material via phonon–lattice vibration. The part of the radiation that is not absorbed may simply pass through the material or reflect off of it without engaging in any photochemical or radiative processes. Two conservation laws—the conservation of energy and the conservation of angular momentum—govern the absorption of light in lithographic systems. Every photon in a monochromatic light beam of a lithographic exposure tool, for example, ArF laser photons of 193-nm wavelength or KrF laser photons of 248-nm wavelength, possesses energy equal to hn. Each of these photons also carries an equal and fixed amount of spin angular momentum. In a polychromatic beam, for example, the photons of mercury arc lamps, with wavelengths spanning 330 nm to 450 nm, but with distinct maxima at 373 nm, 406 nm, 437 nm, each photon of a different wavelength carries a different amount of energy, but each photon still carries the same amount of spin angular momentum. The consequence of absorption of a 2

For a comprehensive treatment of the subject of the photochemistry and photophysics of light interaction with materials, the reader is referred to these excellent books: R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Applied Photochemistry, Springer, Dordrecht (2013); A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, Chapter 3 (1989); N. J. Turro, Modern Molecular Photochemistry, BenjaminCummings, Menlo Park (1978); J. A. Barltrop and J. D. Coyle, Elements of Organic Photochemistry, Wiley, New York (1975). We adapt the general treatment of light–matter interaction phenomena in these textbooks to the specific case of lithographic exposure light interaction with lithographic materials.

174

Chapter 5

photon by a lithographic material is the transfer of all of the photon’s energy and spin angular momentum to the new electronic state of the absorbing material. Transitions caused by the absorption of a single absorbing unit, such as, for example, a lithographic molecule or atom, are by far the most common transitions in conventional lithographic exposures. It must be pointed out that transitions involving multiphoton absorption processes are possible in lithographic exposures with high-intensity laser light. It is necessary to introduce some terminologies here. When the molecules or compounds of optical elements, the constituents of the exposure medium, and the radiation-sensitive components of resists—the resins and components— are irradiated, they can absorb the energy; in turn, their electrons get excited from an initial lower energy (ground) state to a final (excited) state. For singlephoton transitions, two criteria must be met for absorption of electromagnetic radiation to take place in lithography: the photon energy must match the energy gap between the two electronic states, and there must be a mechanism for coupling between the electromagnetic radiation and the transition between the two states that are involved. The energy-matching criterion that stipulates that the energy difference between the initial and the final electronic state must be an integral multiple of the light quanta is given by3 E 2  E 1 ¼ DE ¼ hn ¼

hc , l

(5.1)

where E2 is the higher (excited) energy state, E1 is the initial (lower) energy state, h is Planck’s constant, n is the frequency of the radiation, l is the wavelength of the radiation, and DE is the difference in energy between the two states. If this energy-matching criterion is not met, then photons of this particular energy cannot be absorbed, and the lithographic material will transmit, reflect, or scatter them. Involved in the absorption process are three distinct waves: the two electronic waves of the two energy states, plus the electromagnetic wave of the incident lithographic light. A detailed explication of the coupling mechanism between these waves falls within the realm of quantum mechanics, but for our purposes the essential question is to understand how efficiently the photon wave perturbs the positions and momenta of the electron in the lower-energy-state wave such that it can be pushed into positions and momenta corresponding to the higher-energy-state wave.4 P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction Between Light and Molecules,” Chapter 1 in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht., p. 54 (2013). 4 ibid., p. 54. 3

Photochemistry and Radiation Chemistry in Lithography

175

The mechanism of this interaction is mediated via the oscillatory force that the electromagnetic wave of the exposure radiation exerts on the dipole formed between the nucleus and an electron of the lithographic material under exposure. For illustrative purposes, let us consider a hydrogen atom within a lithographic material that is irradiated with electromagnetic radiation in the form of light. At any point in time during this irradiation, an instantaneous dipole between the electron and the nucleus of this atom will be created, with the positively charged nucleus on one end of the dipole and the negatively charged electron on the opposite end. Given that the wavelength of typical lithographic exposure radiation is many times longer than the atomic diameter, as the wave passes, both the electron and the nucleus will experience essentially the same magnitude of the electromagnetic oscillatory force, but since they are oppositely charged, the force acts on them in opposite directions at the ends of the dipole. This electromagnetic force causes an oscillation in the dipole and perturbs the electron wavefunction. If this perturbation is of the right frequency, the electron can be pushed from positions and momenta characteristic of the lower-energy state (orbital) to positions and momenta characteristic of the higher-energy state (orbital), with the resulting electron wavefunction corresponding to that of the higher-energy orbital rather than to the lowerenergy orbital. Energy is then absorbed, and the electronic transition to the higher-energy orbital is made. If the perturbation cannot push the electron from the lower-energy orbital to the higher-energy orbital, the electron remains in the lower orbital; no energy is absorbed, although it is possible for the oscillating electric field caused by the perturbed electron oscillation to result in a scattered photon of the same frequency as the incident radiation. This is referred to as Rayleigh scattering—scattering of light at the same frequency of the incident light.5 Rayleigh scattering can occur when an inhomogeneous lithographic material is irradiated in lithographic exposure tools. Whether electric dipole absorption from a lower-energy state E1 to a higher one E2 can take place or not is calculated from the transition dipole moment M12 (i.e., the electric dipole moment associated with the transition from between the two states), which is given by6 Z M 12 ¼

C1 mC ˆ 2 dt,

(5.2)

where C1 and C2 are the wavefunctions for the electronic ground and excited states, 1 and 2, respectively, and the integral is across all space. The transition probability for absorption is the square of the magnitude of the transition dipole moment, i.e., |M12|2. 5 6

ibid. ibid., p. 55.

176

Chapter 5

A dipole moment M12 integral that is large implies that there is a high probability that an incident photon will be absorbed, the transition will take place, and the molecule will be excited into the higher energy state (excitedstate 2). A small dipole moment M12 integral implies that there is a low probability of absorption. A dipole moment M12 integral of zero implies that there will be no absorption at all associated with the process. From Eq. (5.2), one observes that, to have an electronic transition between two states, the two wavefunctions must have some overlap in space; additionally, the symmetry of the wavefunctions, when combined with the symmetry properties of the dipole moment operator, must give a nonzero resultant integral.7 5.2.1.1 Absorbance, transmittance, molar absorption, and applications of the Beer–Lambert law in lithographic systems

Consider a lithographic surface, for example, a film of photoresist, or an optical lens element in an exposure tool, to which a parallel monochromatic radiation beam is incident, such that the proportion of the radiation absorbed by the substance is independent of the intensity of the incident radiation; i.e., the probability of absorption is linearly dependent on the incident intensity. As is typical for single-photon transitions, each successive layer of thickness of this substance dx absorbs an equal fraction, given by –dI/I of radiation intensity I. According to Lambert’s law, integration across a finite thickness x, with an initial irradiation intensity I0, yields8   I ln 0 ¼ bx, (5.3) It where It is the transmitted intensity, and b is a constant dependent on the sample. Beer showed that b is proportional to concentration (strictly limited to low concentration), and, as is most commonly the case, using log10, Eq. (5.3) can be transformed into     I0 I0 log10 ¼ log10 ¼ εcx, (5.4) It I 0  I abs where Iabs is the absorbed intensity, ε is the molar absorption (also known as the extinction coefficient), c is the concentration of the absorbing material, and x is the path length. If c is given in mol dm–3 (moles per decimeter cubed), ε is the decadic molar absorption coefficient, which is wavelength dependent. The molar absorption coefficient determines how strongly light of a given wavelength is absorbed. The units of the molar absorption coefficient ε are 7 8

ibid., p. 55. ibid., p. 58.

Photochemistry and Radiation Chemistry in Lithography

177

mol–1 dm3 cm–1. The term log10 ðII0t Þ is called absorbance A, or optical density, and it varies linearly with concentration and path length:     I0 I0 A ¼ log10 ¼ log10 ¼ εcx: (5.5) It I 0  I abs For a solution containing a mixture of absorbers i, the total absorbance at a given wavelength is the sum of absorbances of the individual components at that wavelength: Atotal ¼ xSεi ci :

(5.6)

5.2.1.2 Quantification of the strength or probability of absorption in lithographic systems

As shown in the preceding sections, the probability of electric dipole absorption is related to the transition dipole moment. Typical absolute measures of transition probability include the molar absorption coefficient, the Einstein coefficient, and the absorption cross-section. These terms are ultimately derived from the transition dipole moment and are therefore all related. They can be measured experimentally from the absorption spectrum and can also be calculated, in some cases, using molecular orbital theory programs. The calculation of these parameters from an absorption spectrum of a lithographic material is rather straightforward. The integrated absorption coefficient (IAC) is the area of the absorption peak within a given absorption spectrum plotted in terms of ε versus wavenumber, n, ˜ and it is given by9 Z ` IAC ¼ εðnÞd ˜ n: ˜ (5.7) 0

The IAC is proportional to the square of the transition dipole moment, i.e., |M12|2. The oscillator strength f of the transition is given by Z ` 9 f ¼ 4.33  10 εðnÞ ˜ d n: ˜ (5.8) 0

The maximum value of f for a transition that is fully allowed is 1; the maximum value of f is 0 for a transition that is not allowed. The IAC is related to the Einstein coefficient B12 for spontaneous absorption according to Z ` B hnN ˜ A , (5.9) IAC ¼ εðnÞ ˜ d n˜ ¼ 12 ln 10 0 9

ibid., p. 60.

178

Chapter 5

where NA is Avogadro’s number, and the term ln10 arises from the use of the decadic molar absorption coefficient, i.e., one based on log10. The Einstein coefficient for spontaneous emission A21 is related to B12 by A21 8phcn˜ 3

(5.10)

8pcn˜ 2 ln 10ðIACÞ : NA

(5.11)

B12 ¼ and to the IAC by A21 ¼

5.2.1.3 Effects of light absorption/excitation in lithographic systems

One of the effects of absorption in lithographic systems is to take an absorbing unit in a lithographic material from one electronic state, usually the ground state, to a higher electronic state. In a lithographic material with multiple absorbers with somewhat different energies, the absorption process selectively excites those ground states for which absorption is most efficient. In a mixture of chemical species within a lithographic material, this selectivity can be obtained by choosing the excitation wavelength that excites only one chemical species in the material. This underscores the usage of a narrow excitation bandwidth in advanced DUV lithographic exposure tools at 248 nm and 193 nm. The timescale for electronic transitions involving photon absorption and emission processes is much faster than that for nuclear motions such as vibrations and rotations. For example, the absorption of a photon from Sn droplets upon irradiation with CO2 laser, leading to the excitation of the Sn atoms and its emission of EUV photons in EUV sources, occurs on the timescale of femtoseconds, while the timescale for the nuclear motion of the Sn atoms, namely, vibrations and rotations, is approximately 100–1000 times longer. The great difference in timescales between the electronic transition processes of absorption and emission on one hand, and nuclear motion on the other, implies that electronic transitions can occur while the nuclear motion is essentially fixed. Since the molecular coordinates cannot change in such a short transition time as the electronic transition processes, the electronic transitions are referred to as vertical transitions on the potential energy diagrams, and they often initially generate vibrationally excited sates. Unless very short lived, the excited state has sufficient time to explore the various energetically available nuclear configurations, including molecular rotations, torsions, vibrations, etc., with the molecule in the excited state. Additionally, unless the molecule is isolated, neighboring molecules may also respond to the electronic change in the absorbing molecule. This leads to a situation where, within a short time of excitation, the excited state and surroundings can relax to a new pseudo-equilibrium nuclear arrangement, a thermally relaxed excited state, from which subsequent photochemical and photophysical processes

Photochemistry and Radiation Chemistry in Lithography

179

usually occur, as described below. It must be pointed out that the electronic configuration in the excited state differs from that of the ground state, leading to differences in the chemical nature of the two states in terms of reactivity, redox activity, charge distribution, acidity strength pKa, etc.10 The general laws of photochemistry stipulate that only the light absorbed by a system is effective in producing a photochemical change. Each absorbed photon or quantum activates at most one molecule in the primary excitation step of a photochemical sequence; i.e., each photon or quantum absorbed by a molecule has a certain probability of populating either the lowest excited state S1 or the lowest triplet state T1. The lowest excited singlet and triplet states are the starting points of most organic photochemical processes. Given that the lifetime of the lowest triplet T1 is about 105 times longer than that of the lowest excited singlet state S1, most photochemical reactions involve triplet states.11 There are two stages of photochemical processes. The first stage is the primary photochemical reaction, which is the reaction directly due to the absorbed photon, involving electronically excited states. It is independent of temperature. The second stage is the secondary photochemical reaction/s (dark reaction/s) involving radical ions and electrons that are produced by the primary photochemical reaction.12 It is the excited-state form of the radiation-sensitive components that plays the central role in these processes. These excited states of the molecules and compounds are identified by (1) their multiplicity (the overall spin of the electrons in that state), (2) their molecular orbital character (indicating the involvement of p, n, s, or other orbitals in the excitation process), and (3) their energy in relation to the energy of the ground state. The relevant excited states of a molecule include the singlet S1 and triplet T1, and are typically represented in a state diagram that shows their energy levels relative to the ground-state singlet S0,13 as shown in Fig. 5.3. A good way to picture the singlet and triplet states is to consider the configuration of a pair of 1s orbital electrons in the ground state, represented as 1s2. When excited, one of these electrons may be promoted into a 2s orbital, giving the configuration 1s12s1. The two electrons need not be paired since they occupy different orbitals. According to Pauli’s principle, the spins of two electrons in the same orbital must be paired. Consequently, two electrons occupying the same orbitals will have no net spin; such a configuration corresponds to a singlet ground state S0. If one electron is promoted to the 10

ibid., pp. 67–68. J. F. Rabek, Mechanisms of Photophysical Processes and Photochemical Reactions in Polymers, John Wiley & Sons, Chester, New York (1987). 12 ibid. 13 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, p. 65 (1989). 11

180

Chapter 5 Singlet states: spins of electrons are paired

Triplet states: spins of electrons are unpaired

Figure 5.3 Representation of spins of electrons in the ground state (S0), excited singlet states (S1, S2), and excited triplet states (T1 and T2) (adapted from Okoroanyanwu14).

next-higher-energy-level unoccupied orbital, a singlet-excited state S1 is formed, from which a triplet-excited state T1 can be generated via intersystem crossing (note that the two electrons now possess parallel spins). This scenario is well represented in the Jablonski diagram in Fig. 5.4. According to Hund’s rule of maximum multiplicity,15 the state of the atom or molecule in which the spins are parallel (↑↑) lies lower in energy than the state in which they are anti-parallel or paired (↑↓). Both states are permissible and can contribute to the photochemistry and photophysics of the atom or molecule.16 Electrons with parallel and anti-parallel spins differ in their overall spin angular momentum. In the paired case, the two spin momenta cancel each other, resulting in zero net spin. The paired-spin arrangement is called a singlet. The triplet state is one in which the angular momenta of two parallel spins add together to give a nonzero total spin. In general, for states arising from the same configuration, the triplet state lies lower in energy than the singlet state. The origin of the energy difference between the singlet and triplet states arises from the effect of spin correlation17 on the Coulombic interactions between electrons.18 The generation of an excited singlet state S1 of a molecule in a resist, exposure medium, or optical elements, is either by direct absorption of a photon or by energy transfer from another excited molecule. 14

U. Okoroanyanwu, Molecular Theory of Lithography, SPIE Press, Bellingham, Washington (2015). 15 An atom in its ground state adopts a configuration with the greatest number of unpaired electrons. 16 P. W. Atkins, Physical Chemistry, 5th edition, W.H. Freeman and Co., New York, pp. 447– 448 (1994). 17 Spin correlation refers to the phenomenon in which electrons with parallel spins tend to stay well apart from each other, thereby reducing the repulsion effect among them. 18 P. W. Atkins, Physical Chemistry, 5th edition, W.H. Freeman and Co., New York, pp. 447– 448 (1994).

Photochemistry and Radiation Chemistry in Lithography

181

Figure 5.4 Jablonski diagram of the most important photophysical processes involving electronic states and their lifetimes, where IC is internal conversion, and ISC is intersystem crossing. T1 is formed mainly by IC from S1. (Reprinted from Okoroanyanwu.14)

5.2.1.4 Deactivation of excited states

Once excited, the fate of the excitation energy acquired by the molecule can follow several pathways. A summary of the possible deactivation routes for typical lithographic organic and inorganic molecules and atoms is given in the Jablonski diagram of Fig. 5.4. A Jablonski diagram depicts the electronic states and their relative energies, which are typically singlet (S0, S1,. . . , Sn) and triplet (T1, T2,. . . , Tn) electronic states of increasing energy for most organic molecules. For simplicity, only the excited-state primary relaxation pathways are shown in this figure. In the diagram, an important distinction is made between processes associated with radiative deactivation such as emission, and those which are radiationless. Radiative transitions are depicted as straight vertical arrows, while nonradiative transitions are depicted as wavy, horizontal (i.e., isoenergetic) arrows. It must be pointed out that neither absorption nor radiative relaxation are restricted to transitions to the lowest vibrational level of an electronic energy state; it is entirely possible for both the ground state and the excited state to be populated as vibrationally ‘hot’ states from radiative transitions.19 The excited singlet state S1 may either emit fluorescence or be deactivated by a nonradiative transition to the ground state. When nonradiative transitions occur between states of the same multiplicity (i.e., singlet to singlet or triplet to triplet), they are called internal conversions. When the transitions occur between states of different multiplicity, they are termed intersystem crossings. Intersystem P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction Between Light and Molecules,” Chapter 1 in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht., p. 69 (2013). 19

182

Chapter 5

crossings (ISCs) are spin-forbidden transitions that are due to the intervention (in aromatic systems) of out-of-plane vibrations or by the state-mixing effects of heavy atoms. The fraction of S1 that emits fluorescence is a function of the competition between the radiative fluorescence transition, the internal conversion to ground state S0, and the intersystem crossing to T1. Further, the excited triplet state may be deactivated by a radiative process (phosphorescence) and by nonradiative intersystem crossing to the ground state.20 For reactions in condensed phases such as solid resist films, the lowest excited singlet state S1 is a state from which photochemical reactions occur. Formation of a triplet state by direct photon absorption by a ground state molecule is spin forbidden. The very fast rate of internal conversion (IC) from upper singlet states (S2, S3,. . . ) to the lowest excited singlet (S1) makes photochemical reactions unlikely from upper states. Fluorescence is a spinallowed radiative transition between states of the same multiplicity (e.g., S1 → S0). Phosphorescence is a spin-forbidden radiative transition between two states of different multiplicity (e.g., T1 → S0). 5.2.1.4.1 Competition between decay routes and quantum yield

Table 5.2 shows the lifetime of photophysical processes involved in electronically excited states. All deactivation processes are competitive; as such, the rate constant for decay of the excited state kobs (the observed decay rate constant) is given by the sum of rates for all deactivation processes (i.e., if all decay routes are first-order processes): k obs ¼ Sk deactivation :

(5.12)

Table 5.2 Lifetime of photophysical processes involved in electronically excited states, where ki represents the rate constant for a given process (data obtained from Rabek21). Step

Process

Rate

1. Excitation

S0 þ hn → S1

2. Fluorescence emission (F)

S1 → S0 þ hnF

I A ¼ k S1 ½S 0  kF [S1]

3. Internal conversion (IC)

S1 → S0 þ D

kIC [S1] k ISCðSÞ ½S 1  ¼ k T1 ½S 1 

4. Intersystem crossing (ISC)

S 1 → T1 þ D

5. Phosphorescence emission (P)

T1 → S0 þ hnP

kP [T1]

6. Intersystem crossing (ISC)

T1 → S0 þ D

kISC(T) [T1]

20

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, pp. 65–66 (1989). 21 J. F. Rabek, Mechanisms of Photophysical Processes and Photochemical Reactions in Polymers, John Wiley & Sons, Chester, New York (1987).

Photochemistry and Radiation Chemistry in Lithography

183

The quantum yield fx of a given process, event, or reaction x relates the rate of photon absorption to the rate of any process of interest. Integration of these processes, events, or reactions over time yields the ratio of the total number of processes, events, or reactions of interest to the total number of photons absorbed, i.e., fx ¼

ðtotal number of processes, events, or reactions, xÞ : ðtotal number of photons absorbedÞ

(5.13)

From the Stark–Einstein law, fx should be equal to or less than unity. Under certain conditions where secondary processes occur, fx can be greater than unity. Under continuous illumination, a steady state will be reached such that the rate of excited-state formation IA will equal the rate of deactivation by all intramolecular processes Itotal, i.e., I A ¼ I total :

(5.14)

Considering the vibrationally relaxed S1 excited state in Fig. 5.4 as an illustrative example, the competing intramolecular photophysical processes that can lead to its relaxation are fluorescence, internal conversion, and intersystem crossing. The rate of the triplet-excited-state formation will equal the rate of deactivation by all of its intermolecular processes Itotal, which is therefore given by I total ¼ 

d½S 1  ¼ ðk F þ k IC þ k ISCðSÞ Þ½S 1  ¼ k total ½S 1 , dt

(5.15)

where kF, kIC, and kISC(S) are the first-order rate constants for fluorescence, internal conversion, and intersystem crossing from the singlet state, specifically, S1 to the triplet state, specifically, T1, respectively. Solution of Eq. (5.15) yields ln

½S 1  ¼ ðk F þ k IC þ k ISCðSÞ Þt ½S 1 0

(5.16)

or  t ½S 1 t ¼ ½S 1 0 exp½ðk F þ k IC þ k ISCðSÞ Þt ¼ ½S 1 0 exp  , t

(5.17)

where [S1]0 and [S1]t are the concentration of excited S1 states at time t ¼ 0 (i.e., immediately after excitation) and time t following excitation, respectively, and t is the excited singlet-state lifetime in the presence of all intramolecular deactivation pathways, given by

184

Chapter 5



1 ðk F þ k IC þ k ISC Þ

¼

1 k total

:

(5.18)

The unimolecular fluorescence lifetime tF (the time required for the concentration of molecules in an excited state to decay to 1/e of the initial value) can be determined for the S1 state from Eq. (5.16): 1 ln ¼ ðk F þ k IC þ k ISCðSÞ ÞtF e

(5.19)

such that tF ¼

1 : k F þ k IC þ k ISCðSÞ

(5.20)

Similarly, for the triplet state, the phosphorescence lifetime tP can be determined in an analogous manner to that of the fluorescence lifetime of the singlet state. Similarly for the triplet state in Fig. 5.4 and Table 5.2, the competing intramolecular photophysical processes that can lead to its relaxation are phosphorescence emission and intersystem crossing. The rate of the triplet-excited-state formation will equal the rate of deactivation by all its intermolecular processes Jtotal, which is therefore given by J total ¼ 

d½T 1  ¼ ðk P þ k ISCðTÞ Þ½T 1  ¼ k total ½T 1 , dt

(5.21)

where kP and kISC(T) are the first-order rate constants for phosphorescence and intersystem crossing, from the triplet state, specifically, T1 to the singlet state, specifically, S0, respectively. Solution of Eq. (5.21) yields ln

½T 1  ¼ ðk P þ k ISCðTÞ Þt ½T 1 0

(5.22)

or   t ½T 1 t ¼ ½T 1 0 exp½ðk P þ k ISC Þt ¼ ½T 1 0 exp  , t

(5.23)

where [T1]0 and [T1]t are the concentration of excited T1 states at time t ¼ 0 (i.e., immediately after excitation or ISC), and time t following excitation, respectively, and t is the excited-singlet-state lifetime in the presence of all intramolecular deactivation pathways, given by t¼

1 1 ¼ : ðk F þ k ISCðTÞ Þ k total

(5.24)

Photochemistry and Radiation Chemistry in Lithography

185

The unimolecular phosphorescence lifetime tp (the time required for the concentration of molecules in an excited state to decay to 1/e of the initial value) can be determined for the T1 state from Eq. (5.22): 1 ln ¼ ðk F þ k ISCðTÞ ÞtP e

(5.25)

such that 1 : k F þ k ISCðTÞ

tP ¼

(5.26)

In the absence of radiationless decay, the inherent radiative lifetime for fluorescence t0F , i.e., the lifetime required to deactivate the excited molecule provided no radiationless processes occur from S1 to T1 states, is given by t0F ¼

1 : kF

(5.27)

From Eqs. (5.13) and (5.14), fluorescence quantum yield fF is given by fF ¼

JF J ¼ F , J abs J total

(5.28)

where JF is the rate of fluorescence. Equation (5.28) can be rewritten as fF ¼

k F ½S 1  k ¼ F : k total ½S 1  k total

(5.29)

Since k F ¼ t10 , and k total ¼ 1t , fF can be expressed as F

fF ¼

tF : t0F

(5.30)

The inherent radiative lifetime for phosphorescence t0P , i.e., the lifetime required to deactivate the molecule provided no radiationless processes occur from S1 to T1 states can be obtained from Eq. (5.30):   1  fF t0P ¼ tP , (5.31) fP where fP is the phosphorescence quantum yield. The value of t0F can be determined experimentally from t0F ¼

3.5  108 104 ½s,  2 ðV m Þ εdn εmax

(5.32)

186

Chapter 5

where V m is mean frequency of absorption in wavenumbers, εdv is experimental molar absorptivity integrated over the width of the absorption band, and εmax is the maximum absorptivity within the absorption band. The quantum yield is defined as the ratio of the number of excited molecules in each excited state (that decay by that process) to the total number of excited molecules in each state. The fluorescence and phosphorescence quantum yields are expressed as Rate of f luorescence emission k F ½S 1  ¼ Rate of excitation IA

(5.33)

Rate of phosphorescence emission k P ½T 1  ¼ , Rate of excitation IA

(5.34)

fF ¼ and fP ¼

respectively, where IA is the rate of radiation absorption. 5.2.1.5 Types of electronic transitions in lithographic systems

Transitions in lithographic systems arise from the absorption of energy (in the form of electromagnetic radiation, electrical discharge, or chemical reactions) by a lithographic material, causing the movement of an electron from one molecular or atomic orbital of the material to another. The nature of the transition is described by the two orbitals involved. Typical transitions in lithography include (1) metal-centered d–d transitions between orbitals localized on transition metal atoms such as Sn used in laser-produced plasma for EUV lithography; (2) transitions between molecular orbitals in organic compounds and organometallic compounds in resists as well as in noble gas halides such as KrF and ArF exciplex laser sources; (3) transitions between the valence and conduction bands of impurity atoms that lead to color center formation in lithographic lenses made of quartz. The lowest-energy transitions observed in lithographic systems are those between the highest occupied molecular orbital (HOMO) and lowest unoccupied molecular orbital (LUMO). The two most common types of transitions in organic molecules used in lithography (such as photoresists) include n → p* and p → p* transitions; s → p* and s → s* transitions are usually of such high energy that they occur in the VUV region. Lithographic organic materials such as photoresists typically containing heteroatoms such as O and N have n → p* and p → p* transitions that are energetically close.

5.3 Radiation Chemistry versus Photochemistry in Lithography Conventional photochemistry deals with valence or outer-shell electronic excitation associated with radiation lying in the approximate wavelength

Photochemistry and Radiation Chemistry in Lithography

187

Table 5.3 Lithographic exposure wavelengths and energies. Lithographic exposure wavelength (nm)

Energy (eV)

436

2.8

405

3.1

365

3.4

248

5

193

6.4

13.5 0.012 – 0.024 (electron beam)

92 50 – 100 keV

range of 200–700 nm. Below 200 nm, higher-energy processes become progressively more dominant; photoionization, for instance, becomes predominant at 150 nm and below. In essence, photochemistry deals with the chemistry of electronically excited molecules, and it ceases at around 90–100 nm; the region below this belongs to the province of radiation chemistry, i.e., the chemistry induced by the absorption of a, b, g, or x-rays.22 Table 5.3 summarizes the lithographic exposure wavelengths and energies used in exposing resist materials. In lithographic technologies utilizing charged particles such as electrons, ion beams, and high-energy ionizing radiations like x-rays, including EUV photons (otherwise called soft x-rays), resist imaging exploits the chemical effects of these charged particles and radiations. A major characteristic of these charged particles and ionizing radiations is that the energy of the individual quanta is much higher than the energy of the chemical bonds in resist materials, lithographic optical elements, and constituents of lithographic exposure medium, and is larger than the ionizing potentials of the constituent atoms in these systems. The primary result of the interaction of the highenergy radiations and charged particles with the material is ionization. A whole sequence of steps typically follows, ultimately leading to a variety of products. The description of these events falls within the subject of radiation chemistry.23 Although radiation chemistry and photochemistry are clearly distinct, the boundary between them can be rather confusing at times. In lithographic photochemistry, radiation quanta applied to the resist materials, optical elements, and exposure medium as part of the exposure process are absorbed by specific chromophores in the molecules and compounds of these materials, 22

J. Guillet, Polymer Photophysics and Photochemistry: An Introduction to the Study of Photoprocesses in Macromolecules, Cambridge University Press, Cambridge, p. 347 (1985); P. Suppan, Chemistry and Light, The Royal Society of Chemistry, Cambridge, p. 8 (1994). 23 A. S. Davydov, Theory of Molecular Excitations, McGraw-Hill, New York (1962).

188

Chapter 5

which as a result get promoted into well-defined excited states. As the energy of the delivered quanta in, for example, DUV, VUV, and EUV lithographies exceeds some of the bond energies in the molecules and compounds of resist materials, optical elements, and exposure medium, photochemistry leads to fragmentation and occasionally to ionization. The main characteristic feature of radiation chemistry of lithographic optical elements, resists, and constituents of the exposure medium is that energy absorption is not associated with a particular chromophore but occurs randomly in these materials. In concrete terms, radiation chemistry may be defined as being concerned with quantal energies far higher than the ionization energy of common atoms and molecules—greater than 30 eV (700 kcal/mol),24 corresponding to a wavelength of roughly 41 nm.

5.4 Photochemistry of Optical Lithographic Exposure Tool Sources The varieties of exposure sources that have found applications in UV and visible light optical lithography can be broadly divided into two groups: (1) high-pressure arc lamps or incoherent sources and (2) laser sources or temporally coherent sources, which include rare gas halide KrF exciplex laser (248-nm wavelength) and ArF exciplex laser (193-nm wavelength) as well as CO2 laser- (9.6- to 10.6-mm wavelength) produced plasma emission (13.5-nm wavelength) from a tin target, as used in EUV lithography. In the laser-type sources, we include all techniques and devices for radiation generation that have their basis in stimulated emission of radiation. 5.4.1 High-pressure arc lamps The high-pressure arc lamp sources are usually mercury or mercury-xenon arc lamps where electric current (input power in the 0.5- to 1.5-kW range) is passed through two electrodes enclosed in a bulb containing mercury or mercury-xenon gas to create a discharge arc, which excites the electrons of the mercury vapor to a higher energy level. Relaxation of the excited-state electrons of the mercury vapor to the ground state lower energy level is accompanied by spontaneous emission, with many peaks between 240 nm and 600 nm (see Fig. 5.5). Of the peaks shown in Fig. 5.5, the g-line (436 nm) and the i-line (365 nm) have adequate power output over a narrow bandwidth for lithographic applications in the visible and mid-UV regions of the spectrum; as a result, these lines have been widely used in optical lithography. The electrodes are typically made of refractory metals such as tungsten, which can withstand the extremely high temperature of operation of this equipment. The 24

A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, pp. 302–303 (1989).

Photochemistry and Radiation Chemistry in Lithography

189

I-line 365 nm

Relative intensity (%)

100 H-line 405 nm

G-line 436 nm

80

60

40 DUV 248 nm 20

0 200

300

400

500

600

Wavelength (nm)

Figure 5.5 Emission spectrum of a high-pressure mercury arc lamp (source: Ushio Specialty Lighting Products).

electrodes are sometimes coated with thorium to reduce the electrode work functions, thereby more easily providing electrons to the plasma. The relative partial pressures of Hg and Xe as well as the total pressure of the discharge mixture determine the spectral distribution of the light output of these types of sources in the mid-UV region of the spectrum.25 The most attractive features of high-pressure arc lamp sources are the small, well-defined source sizes, which are usually in the shape of a point or a line, making them optically very convenient to handle. A major drawback of these sources is their extremely poor efficiency at shorter wavelengths, which severely limited their usefulness in the DUV regions.26 In fact, less than 1% of the electrical power supplied to a mercury arc lamp is converted to actinic light. Operation of these lamps leads to gradual deposition of the electrode material on the inside of the bulb, reducing light output. Lamps are therefore replaced after several hundred hours, corresponding to the end of their rated lifetime to maintain high exposure tool throughput and to prevent catastrophic failures such as fracture and explosion of the bulbs.27

25

H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 144 (2005). 26 K. Jain, Excimer Laser Lithography, SPIE Press, Bellingham, Washington, p. 9 (1990). 27 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 144 (2005).

190

Chapter 5

5.4.2 Exciplex and excimer laser sources Exciplex laser sources used in optical lithography are based on the emission properties of rare gas monohalides such as KrF (lasing at 248 nm) and ArF (lasing at 193 nm), while excimer laser sources are based on halogen gases such as F2 gas (lasing at 157 nm). These lasers belong to a class of very efficient and powerful pulsed UV lasers that became commercially available in the late 1970s.28 Table 5.4 is a list of various commercially available exciplex and excimer laser sources used in lithography, along with their type(s) of excitation schemes. These laser sources provide several options in power output, pulse energy, spectral bandwidth, and pulse repetition rate.29ArF exciplex lasers are quite similar in overall characteristics to KrF lasers, except for a less robust discharge in the former. They are more prone to contamination effects, and it is more difficult to maintain their performance at high pulse repetition rates. The main difference between the ArF laser and KrF laser is cost-of-ownership, with the former having a significantly higher cost of ownership than the latter. Current improvements in these laser systems are focused on reducing optical damage and allowing higher NAs using pulse stretching, multikilohertz repetition rates, and ultra-narrow bandwidths (,0.5 pm). Before discussing the photochemical mechanisms underlying exciplex and excimer lasers, we discuss here the accompanying photophysical processes involved in the lasing action. The process starts with the absorption of light by the atoms and molecules of lasing media. 5.4.2.1 Interaction between electromagnetic radiation exciplex and excimer lasing media: two-state systems and the Einstein coefficients

When electromagnetic radiation and the atoms or molecules of exciplex and excimer lasing media interact, three distinct processes occur that are relevant Table 5.4 Commercially available exciplex and excimer laser sources (data obtained from Jain30). Excitation Scheme Lasing Exciplex† or Excimer‡ Species

Emission Wavelength (nm)

Electric Discharge

Electron Beam

E-Beam Controlled Discharge

KrF*†

248

Yes

Yes

Yes

ArF*†

193

Yes

Yes

F2 ‡

157

Yes

See, for example, J. J. Ewing, “Rare-gas halide lasers,” Physics Today 31, p. 32, May (1978); Excimer Lasers, 2nd edition, C. K. Rhodes, Ed., Springer-Verlag, New York (1984). 29 K. Jain, Excimer Laser Lithography, SPIE Press, Bellingham, Washington, p. 93 (1990). 30 ibid. 28

Photochemistry and Radiation Chemistry in Lithography

191

Figure 5.6 The processes of (a) absorption, (b) spontaneous emission, and (c) stimulated emission resulting from the interaction of electromagnetic radiation with atoms or molecules in lithographic systems.

to photochemistry. These include absorption, stimulated emission, and spontaneous emission. These three processes were treated theoretically by Einstein and are shown diagrammatically for a system involving two states, 1 and 2, in Fig. 5.6.31 In the absorption process, a photon interacts with molecules of the lasing medium in a low-energy state, 1, whereby the medium absorbs the energy of the photon, gets excited, and jumps to a higher energy state, 2. This corresponds to a second-order kinetic process, with rate given by dN 1 ¼ B12 N 1 r, dt

(5.35)

where N1 is the concentration of the molecules in state 1, r is the density of photons (photon flux), and B12 is a proportionality constant. In stimulated emission, a photon interacts with the molecules of the lasing medium in state 2 such that the molecules lose energy and fall back to state 1, releasing photons. The process is also a second-order kinetic process, with rate given by dN 2 ¼ B21 N 2 r: dt

(5.36)

Stimulated emission is responsible for lasing in all laser sources, including those used in lithography. According to the principle of microscopic reversibility, B21 ¼ B12

(5.37)

such that the rate of stimulated emission is B12N2r. 31

Part of the treatment of the phenomena of absorption and spontaneous and stimulated emission as involved in lithographic exposure light interaction with lithographic materials is adapted from the general treatment of the interaction of light and molecules as rendered by P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction Between Light and Molecules,” in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht, Chapter 1 (2013).

192

Chapter 5

Under the equilibrium condition, the way energy is distributed among inter-converting energy states is given by the Boltzmann distribution equation: N1 ¼ expðE 1 E 2 Þ∕kB T , N2

(5.38)

where N1/N2 gives the ratio of the number of molecules in states 1 and 2, with energies E1 and E2, kB is the Boltzmann constant, and T is the temperature (in Kelvin). The Boltzmann constant is related to the molar gas constant R, by R ¼ NA/kB, where NA is Avogadro’s number. The significance of the Boltzmann distribution is that it links the concept of temperature, which is a property of ensembles of matter, to the relative populations of the different energy states in the components of that ensemble. The equation reveals the following properties of an ensemble of interconverting states at equilibrium:32 1. States with equal energy are equally populated. 2. The difference in population between two states is determined by the energy difference between the states and the temperature: the difference increases with both an increase in the energy difference and a decrease in temperature. 3. As the temperature is decreased, lower-energy states are preferentially populated relative to higher-energy states such that at 0 K all ensembles are in their lowest states. 4. At infinite (`) K, every state is populated equally. 5. At any experimentally achievable temperature, higher-energy states are less populated than their lower-energy states. 6. The temperature at which the population of two different energy states become essentially equal is dependent on the energy gap between the states. States differing by a small energy gap, such as, for example, nuclear spin states, will be almost equally populated even at room temperature, while temperatures in the range of thousands of degrees are required before states separated by a large energy difference, such as, for example, atomic electronic states, would attain comparable populations.

32 P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction Between Light and Molecules,” Chapter 1 in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht, p. 50 (2013).

Photochemistry and Radiation Chemistry in Lithography

193

Under thermal equilibrium, the Boltzmann distribution shows that N1 ≫ N2, such that stimulated emission is not important. However, stimulated emission becomes significant in the case of population inversion, where N2 . N1. Given that stimulated emission typically has a relatively low probability and the Boltzmann equilibrium must be maintained, the theory of radiation requires an additional process for conversion of molecules from state 2 to state 1. This is the so-called spontaneous emission, which encompasses the normal emission processes of fluorescence and phosphorescence. In fluorescence, spontaneous emission of light occurs within a few nanoseconds, on the order of 10–100 ns after formation of the excited state. In phosphorescence, spontaneous emission may persist for a timescale on the order of milliseconds to seconds.33 Spontaneous emission is a random process, which for a statistical ensemble of molecules, follows first-order kinetics, with a rate law given by dN 2 ¼ A21 N 2 : dt

(5.39)

The proportionality constants B12 (= B21) and A21 are called the Einstein coefficients and are related to each other as  A21 ¼

 8phn312 B12 , c3

(5.40)

where n12 is the frequency of the radiation corresponding to the transition between states 1 and 2, c is the velocity of light, and h is Planck’s constant. 5.4.2.2 Lasing mechanism of rare-gas halide exciplex and diatomic halogen excimer lasers

The association of an electronically excited molecule with a ground-state molecule of a different species is an exciplex (derived from the term excited complex). For an excimer (derived from the term excited dimer), the excitedstate and ground-state species are identical. Molecules belonging to the rare gas halide exciplex lasers and diatomic halogen excimer lasers are characterized by a bound or metastable excited upper state and an unstable or very weakly bound ground state. The crucial thing about this type of laser is that only when they are electronically excited do they exist in a bound state with a well-defined potential energy minimum; the ground electronic state generally

33

ibid., pp. 52–53.

194

Chapter 5

has no potential energy minimum, or else a very shallow one.34 For the specific case of rare gas halide lasers, the rare gases do not appreciably interact in the ground state; however, on excitation they form excited complexes called exciplexes of the type RX (where R denotes a rare-gas atom such as Kr or Ar, and X denotes a halogen atom such as F). These exciplexes have longer lifetimes compared to other laser sources.35 Exciplexes are generally formed by a chemical reaction between an inert gas and halide ions produced by an electrical discharge. Specifically, they are the result of chemical reactions between a ground-state halogen atom (produced on excitation from a halogen molecule) and an excited rare-gas atom, where one of the peripheral electrons is promoted into a higher (Rydberg) orbital. The electron vacancy that arises in the excited rare gas atom is filled by the odd electron of the halogen, resulting in the formation of an excited complex, which on deactivation emits fluorescence (the laser radiation),36 as illustrated in the three reactions shown in Reaction [5.1] for the ArF exciplex laser: F2 → F þ F

[5.1a]

Kr → Kr

[5.1b]

Kr þ F → ðKrFÞ → Kr þ F þ hnð248 nmÞ

[5.1c]

A schematic of typical potential energy curves for rare-gas halide exciplex lasers is shown in Fig. 5.7. While the excited-state complex (the exciplex) is bonded strongly enough to have a radiative lifetime of 10–8 to 10–6 s, the lower state reached by the radiative transition is not bonded and dissociates almost instantaneously (within a single vibration, about 10–12 s) into free atoms that are again available for excitation. Given the large difference in lifetimes, with the lower level having a substantially shorter lifetime than the upper level, population inversion between the two states is easily achieved.37 Reactions [5.2] through [5.12] illustrate the specific photochemical reactions involved in the lasing action of KrF exciplex lasers.38 (Similar reactions are also involved in ArF exciplex lasers). 34

D. L. Andrews, Lasers in Chemistry, Springer-Verlag, Berlin, pp. 39–40 (1986). K. Jain, Excimer Laser Lithography, SPIE Press, Bellingham, Washington, p. 93 (1990); J. Ewing, “Rare-gas halide lasers,” Phys. Today 31(5), 32 (1978). 36 A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, p. 254 (1989). 37 K. Jain, Excimer Laser Lithography, SPIE Press, Bellingham, Washington, p. 93 (1990); J. Ewing, “Rare-gas halide lasers,” Phys. Today 31(5), 32 (1978); A. Reiser, Photoreactive Polymers: The Science and Technology of Resists, John Wiley & Sons, New York, pp. 254–255 (1989). 38 P. Das and U. Sengupta, “Krypton Fluoride Excimer Laser for Advanced Microlithography,” in Microlithography: Science and Technology, J. R. Sheats and B. W. Smith, Eds., Marcel-Dekker, Inc., New York, p. 279 (1998). 35

Photochemistry and Radiation Chemistry in Lithography

195

In the three-body Reaction [5.6], the Ne acts as a buffer. Given that the krypton fluoride so produced is electronically excited and has a short lifetime (about 2.5 ns), it rapidly decays by photon emission to the lower energy state, as shown in Fig. 5.7. Because this is an unbound state, in which the force between the atoms is always repulsive, the exciplex molecule then immediately dissociates into its constituent atoms. As a result, this state never attains a

Kr* + FKr + F2

Energy

KrF* (Bound excited state) e Laser emission

(Pumping)

248 nm

Kr + F

Kr + F2

Weakly bound ground state Internuclear distance

Figure 5.7 Schematic of potential energy curves for a rare-gas monohalide exciplex laser based on KrF. The KrF* is formed via two reaction channels. It decays to the ground state via dissociation into Kr and F while emitting a photon at 248 nm. The diatomic halogen excimer lasers based on F2 have similar potential energy curves. (Adapted from Das and Sengupata.39) 39

ibid., p. 280.

196

Chapter 5

large population, and a population inversion therefore exists between it and the higher-energy-bound exciplex state. The decay transition can therefore be efficiently stimulated to produce laser emission. One noteworthy characteristic of this laser system is that it represents a rare example of a truly two-level laser.40 It is worth mentioning that, because some of the reactions taking place in the glow phase of the laser action regenerate the starting materials, i.e., krypton atom and fluorine molecule, the exciplex laser system can be operated continuously without direct consumption of the active medium, in contrast to other chemical lasers. In this way, a sealed cavity, charged with the right amount of the gas mixtures to the desired pressure level, can be used. As expected, the cavity wall material must be carefully chosen in view of the highly corrosive halogen gas used. In addition, since the walls are rapidly poisoned by the gas, it is not possible to use the same laser tube for different halogens.41 5.4.2.3 Excitation schemes

The methods that have been reported for exciting exciplex and excimer lasers include: (1) direct excitation by a high-energy electron beam, (2) excitation by an electric discharge controlled by an electron beam, (3) direct high-voltage electric discharge excitation, and (4) excitation by optical pumping with another laser beam. Of these, the direct high-voltage electric discharge excitation scheme is the most practical in terms of compactness and ease of operation, and is therefore the method that has found wide application in optical lithography.42 In this method, a mixture of the laser gases Kr or Ar and F2 (in the case of rare-gas monohalide exciplex lasers based on KrF and ArF) or pure F2 gas (in the case of halogen excimer lasers based on F2) is pumped through an electrode assembly at several atmospheres of pressure. By discharging a condenser across the electrodes, a laser pulse of high intensity and short duration is created. Repetition rates of up to 4 kHz can be achieved.43 A major drawback of exciplex and excimer lasers concerns the degradation of the gas mixture due to some unwanted photochemistry. This necessitated frequent gas changes in early systems; however, with the development of recirculators and purification units for current state-of-the-art commercial systems, it is possible to operate these lasers on a single gas filling for billions of pulses, without appreciable loss of power. 40

D. L. Andrews, Lasers in Chemistry, Springer-Verlag, Berlin, pp. 39–40 (1986). ibid. 42 K. Jain, C. G. Willson, and B. J. Lin, “Ultrafast high-resolution contact lithography with excimer lasers,” IBM J. Res. Dev. 26(2), 151–159 (1982). 43 See, for example, “Nanolith™ 7000: The World’s Most Advanced 193 nm (ArF) Production Laser,” Cymer Product Brochure (www.cymer.com). 41

Photochemistry and Radiation Chemistry in Lithography

197

Table 5.5 Key performance parameters of commercial exciplex and excimer lasers. Repetition rate (kHz)

Avg. power (W)

Pulse energy (mJ)

Bandwidth (FWHM) (pm)

Wavelength (nm)

ArFa

4

40

10

,0.25

193

KrFb

4

40

10

,0.35

F2 c

1

10

10

248 157

a

Based on Cymer’s XLA 100 laser system. Based on Cymer’s ELS-7010 laser system. c Based on Lambda-Physik Novaline F1030 F2 laser system. b

5.4.2.4 Key operational parameters

The key operational parameters of exciplex and excimer lasers used in optical lithographic applications include: exposure-dose-related parameters comprising average power, pulse energy, repetition rate, and pulse width; temporal coherence; spatial coherence, including beam dimensions, beam divergence, and beam uniformity; and maintenance and reliability. Table 5.5 lists some of the key operational parameters of KrF, ArF, and F2 laser systems used in optical lithography. Figure 5.8 shows the overlap of some oxygen Schumann–Runge resonances with the spectrum of a free-running ArF exciplex laser.

Figure 5.8 Molecular oxygen absorption spectrum over the spectrum of a free-running ArF laser. The absorption peaks in the molecular oxygen spectrum are Schumann–Runge absorption bands (adapted from Kunz and Dammel44). 44

R. R. Kunz and R. Dammel, 193 nm Lithography: Fundamentals and Issues, SPIE Short Course SC120 (2005).

198

Chapter 5

Figure 5.9 shows spectra of a free-running ArF excimer laser in air and in nitrogen. Figure 5.10 shows the spectrum of a line-narrowed laser in air.

Figure 5.9 Spectrum of a free-running ArF exciplex laser in a 1-m air path and in N2 (reprinted from Sandstrom45).

Figure 5.10 Spectra of a line-narrowed ArF exciplex laser; the line was narrowed with a standard output coupler (OC) and an etalon output coupler (E.O.C.). (Reprinted from Ershov, Besaucele, and Das.46) R. Sandstrom, “Argon fluoride excimer laser source for sub-0.25 um optical lithography,” Proc. SPIE 1463, 610–616 (1991). 46 A. I. Ershov, H. Besaucele, and P. P. Das, “Performance characteristics of ultra-narrow ArF laser for DUV lithography,” Proc. SPIE 3679, 1030–1037 (1999). 45

Photochemistry and Radiation Chemistry in Lithography

199

Schumann–Runge resonances typically occur at wavelengths between 210 and 180 nm. The indicated Schumann–Runge absorption bands of molecular oxygen between the 192.5-nm and 194-nm wavelengths overlap the spectrum of the free-running ArF exciplex laser spectrum obtained in air (Fig. 5.8). This overlap causes a significant reduction in the laser output. Figure 5.9 also shows several P and R rotational lines of the (4,0) vibrational band of oxygen. The impact of using free-running lasers on lithographic performance can range from focus shifts (from instability of the center wavelength) to chromatic aberration (from instability in bandwidth), to laser-induced optical damage (related to the laser pulse shape). (Some of these problems also occur in linenarrowed lasers). It should, however, be pointed out that in many applications in lithography, the temporal characteristics of free-running exciplex and excimer lasers are satisfactory. Such applications include: (1) contact and proximity tools, which are ‘bandwidth-insensitive’ since no optical image formation takes place in them; (2) full-wafer scanning projection systems, which have a wide working bandwidth given their all-mirror projection system; (3) step-and-repeat systems that use achromatic reduction projection lenses made with two optical materials such as quartz and calcium fluoride; these can be designed with color correction over the free-running exciplex and excimer laser bandwidth; and (4) 1:1 imaging systems based on the catadioptric Wynne–Dyson design with capabilities for color correction over a bandwidth of several angstroms given that the dominant imaging element is reflective.47 On the other hand, steppers and step-and-scan exposure systems with monochromatic, all-refractive optics require a very narrow laser bandwidth, typically ,1 pm, while those with catadioptric and moderate NAs require bandwidths ,100 pm.48 This implies a line-narrowing of up to two orders of magnitude relative to the free-running exciplex and excimer lasers. Several methods that have been reported to be effective in narrowing the laser bandwidth include the use of gratings,49 prisms,50 and combinations of gratings and Fabry–Perot etalons.51 5.4.3 EUV sources EUV radiation cannot be emitted by neutral atoms or condensed matter. Ordinary blackbody sources, such as those based on a Boltzmann distribution of excited electronic states in neutral atoms, cannot produce EUV radiation, 47

K. Jain, Excimer Laser Lithography, SPIE Press, Bellingham, Washington, p. 104 (1990). O. Semperez, “Excimer lasers for future lithography light sources,” Solid State Technol., pp. 255–260 (July 2000). 49 ibid. 50 H. J. Kahlert, U. Rebhan, P. Lokai, and D. Basting, “Comparison of 248-nm line narrowing resonator optics for DUV lithography lasers,” Proc. SPIE 1463, 604–609 (1991). 51 H. J. Levinson, Principles of Lithography, 2nd edition, SPIE Press, Bellingham, Washington, p. 151 (2005). 48

200

Chapter 5

neither can conventional electrical discharge sources. The radiation emitted from such sources does not extend to wavelengths shorter than the DUV and VUV regions of the electromagnetic spectrum. This is because of the relatively low separations of available energy levels in neutral atoms. Generating plasmas with shorter-wavelength photons (i.e., with higher-energy photons) requires creating singly and multiply ionized species with larger separations between energy levels. EUV radiation can only be emitted by electrons that are bound to multicharged positive ions. Such electrons are more tightly bound than valence electrons. The existence of such multicharged positive ions is only possible in a hot dense plasma, as occurs in certain highly energetic stellar sources. This leaves only three options—synchrotron sources, specially prepared high-energy plasmas, and free-electron laser sources—as potentially viable sources of EUV radiation that can be used in lithographic pattering. In lithographic exposure tools, however, dense hot plasmas comprising free electrons and ions are generated instantaneously for a very short duration by an intense electric field of a very high harmonic laser beam or via high-voltage electric discharge. The free electrons within this hot plasma emit EUV photons as they undergo electronic transitions between the various oxidation states of the multicharged positive ions. EUV photons can also be emitted by free electrons orbiting a synchrotron and from free-electron lasers. Their high cost of ownership and difficulty in operation and maintenance, plus the fact that they generate relatively low power make synchrotron sources impractical for high-volume-scale EUV lithography. Laser-generated thermal plasmas, also called laser-produced plasmas (LPP), on the other hand, can generate EUV wavelengths at high power levels and with high enough conversion efficiencies to be of practical utility in high-volume-scale lithography.52 Although cold electrical discharge plasma in gases and gas mixtures generate visible and UV radiation through electronic transitions in mostly neutral atoms, their radiation does not extend to wavelengths shorter than the DUV region of the electromagnetic spectrum, for the reasons described above. Discharge-produced plasmas (DPP) that emit EUV photons can be generated through short-pulse, high-current electrical discharges in a suitable gas, such as xenon.53 But this technique is inefficient and very difficult to scale up to high flux levels. We examine next aspects of the photochemistry associated with LPP, DPP, and FEL EUV photon sources.

U. Stamm, J. Kleinschmidt, K. Gabel, et al., “EUV source power and lifetime: the most critical issues for EUV lithography,” Proc. SPIE 5374, 133–144 (2004); M. Richardson, C.-S. Koay, K. Takenoshita, et al., “Laser plasma EUVL sources—progress and challenges,” Proc. SPIE 5374, 447–453 (2004). 53 U. Stamm, J. Kleinschmidt, K. Gabel, et al., “EUV source power and lifetime: most critical issues for EUV lithography,” Proc. SPIE 5374, 133–144 (2004). 52

Photochemistry and Radiation Chemistry in Lithography

201

5.4.3.1 Laser-produced plasma sources

In laser-produced plasma (LPP) sources, a high-intensity laser radiation (typically from a pulsed CO2 laser) is used to irradiate a stream of tiny droplets of highly purified tin (Sn),54 lithium (Li),55 and xenon (Xe),56 within an ultrahigh-vacuum chamber. Tin is the preferred target because it produces intense, narrowband radiation with a wavelength particularly suited for EUV lithography. Sn also has a higher conversion efficiency than Xe and the highly reactive Li. The targeting of the target droplets is controlled by a high-speed camera that monitors the droplet flow. As the laser pulses strike the tin droplets, they instantly create a high-temperature plasma that radiates EUV photons. The radiation is collected by an ellipsoidal collector mirror, filtered, and transported out of the source vessel and into a lithographic scanner unit for lithographic patterning. EUV radiation in tin-based LPP EUV lithographic sources is produced by 4d–4f electronic transitions of the tin ions Sn8þ–Sn12þ that are present in the plasma. It must be mentioned that Sn has several critical challenges, the main one being the fact that it is a condensable fuel that deposits on surfaces under standard EUV tool operating conditions.57 Not only does the Sn deposition contaminate optical surfaces, but it also degrades the reflectivity of the affected optics. In addition, highenergy Sn ions or neutrals can contaminate optical surfaces, making them rougher while also eroding them.58 Xe on the other hand offers some advantages: it produces relatively strong EUV emissions; it is also chemically inert in its electrically neutral state, which makes it less likely to generate debris that can contaminate and degrade EUV optics. However, EUV radiation is produced by the highly charged Xeþ10 ionic state, which has been reported to sputter solid surfaces.59 Debris mitigation schemes are implemented in these EUV sources, particularly those that use materials other than noble gases. 54

T. Tomie, T. Aota, Y. Ueno, G. Nimi, H. Yashiro, J. Lin, Matsushima, K. Komiyama, D. Lee, K. Nishigori, and H. Yokota, “Use of tin as a plasma source material for high conversion efficiency,” Proc. SPIE 5037, 147–155 (2003). 55 W. Parlo, I. Formenkov, R. Olivier, and D. Birx, “Development of an EUV (13.5 nm) light source employing a dense plasma focus in lithium vapor,” Proc. SPIE 3997, 136–156 (2000). 56 G. Schriever, M. Rahe, W. Neff, K. Bergmann, R. Lebert, H. Lauth, and D. Basting, “Extreme ultraviolet light generation based on laser produced plasmas (LPP) and gas discharge based on pinch plasmas: A comparison of different concepts,” Proc. SPIE 3997, 162–168 (2000); L. Rymell, M. Berglund, B. A. M. Hansson, and H. M. Hertz, “X-ray and EUV laserplasma sources based on cryogenic liquid-jet target,” Proc. SPIE 3676, 421–424 (1999). 57 D. N. Ruzic and S. N. Srivastava, “Normal Incidence (Multilayer) Collector Contamination,” in EUV Lithography, V. Bakshi, Ed., SPIE Press, Bellingham, Washington, pp. 285–323 (2009). 58 ibid. 59 P. A. Grunov, L. F. Klebanoff, S. Graham, Jr., S. J. Haney, and W. M. Clift, “Rates and mechanisms of optics contamination in the EUV engineering test stand,” Proc. SPIE 5037, 418–428 (2003).

202

Chapter 5

Figure 5.11 EUV spectrum for Sn droplet obtained with CO2 drive laser of an LPP system during high- repetition-rate operation in comparison with a high-temperature-stable multilayer-mirror reflectance curve. (Reprinted from Brandt et al.60)

Figure 5.11 shows the normalized EUV emission spectrum in the region from 8 to 20 nm obtained from a Sn target in an LPP system. The peak emission of the CO2-laser produced plasma occurs at 13.5 nm and matches well to the reflectivity curve of multilayer coated mirrors designed for this wavelength. Characteristically, these sources have larger collection angles and higher collection efficiency (laser light to EUV radiation) than their discharge counterparts (described below). Because they do not have electrodes, they generate less debris than their discharge counterparts. They also have potential for easier and better thermal management than their discharge counterparts because the target and the plasma they generate are isolated from the collection optics, which is designed to capture the emission from the plasma and relay it to the intermediate focus from which it is relayed to the exposure tool. 5.4.3.2 Discharge-produced plasma sources

Discharge-produced plasma sources employ extremely high-current pulses to ionize and discharge fuel materials such as xenon gas or a helium/nitrogen/ xenon mixture confined within a ceramic capillary inside an electrode assembly. This results in the creation of plasmas comprising ionic species. The plasmas are compressed with some type of pinch (dense plasma focus, z-pinch) to high temperatures, ultimately resulting in the emission of characteristic EUV radiation at 13.5 nm. Like their LPP counterparts, DPP sources produce strong electric fields during plasma expansion that can accelerate the fuel ions 60

D. C. Brandt, I. V. Fomenkov, A. I. Ershov, W. N. Partlo, D. W. Myers, N. R. Bowering, A. N. Bykanov, G. O. Vaschenko, O. V. Khodykin, J. R. Hoffman, E. Vargas, R. D. Simmons, J. A. Chavez, and C. P. Chrobak, “LPP EUV source development for HVM,” Proc. SPIE 6517, 65170Q (2007).

Photochemistry and Radiation Chemistry in Lithography

203

to extremely high energies. These energetic ions bombard the mirror surfaces, causing surface erosion, roughening, deposition, and implantation of impurities onto them, and degrading their reflectivity, as a result. Lowenergy sputtered debris can cause reflectivity degradation through deposition and coating processes, but these effects are judged to be minimal compared to erosive fluxes encountered in the source chamber. Just as in LPP sources, debris mitigation is a major problem in DPP sources because expansion occurs during the post-emission time frame, accelerating the gases away from the pinch location.61 DPP sources generally cost less to build than their LPP counterparts but are not easily scaled to high power levels. Consequently, they are not used in EUV lithographic scanners. However, they are finding niche applications in EUV mask inspection and reticle metrology that do not require large amounts of EUV power.62 5.4.3.3 Free-electron laser sources

A free-electron laser (FEL) is a device consisting of an electron beam propagating through a periodic transverse magnetic field called a wiggler or undulator that induces motion perpendicular to the axis of symmetry.63 A schematic of a FEL is shown in Fig. 5.12. It is based on the principle of self-amplified spontaneous emission (SASE) of transversely coherent radiation within a single pass of an electron beam through the wiggler. How does it operate in practice? An electron beam comprising electrons with velocities approaching the velocity of light is injected into the laser cavity by an electron accelerator. The electron beam passes through the periodic arrangement of magnets with alternating poles across the beam path; this creates a transverse magnetic field

Figure 5.12 Schematic illustration of the interaction between the electron beam and the wiggler in a FEL with a planar wiggler. 61 D. N. Ruzic and S. N. Srivastava, “Normal Incidence (Multilayer) Collector Contamination,” in EUV Lithography, V. Bakshi, Ed., SPIE Press, Bellingham, Washington, pp. 285–323 (2009). 62 P. G. O’Shea and H. P. Freund, “Free-electron lasers: status and applications,” Science 292, 1853–1858 (2001). 63 P. G. O’Shea and H. P. Freund, “Free-electron lasers: status and applications,” Science 292, 1853–1858 (2001).

204

Chapter 5

relative to the propagation direction of the electron beam. The Lorentz force of the magnetic field causes the electrons in the beam to wiggle transversely, forcing the electrons to travel along a sinusoidal path about the axis of the wiggler. The transverse acceleration of the electrons across this path causes the electrons to emit monochromatic, incoherent photon radiation. As the radiation intensity reaches a critical threshold, the transverse electric field of the radiation interacts with the transverse electron current created by the sinusoidal wiggling motion, causing some of the electrons to gain energy and others to lose energy to the optical field. This energy modulation evolves into electron density (current) modulations, with a period of one optical wavelength; the result is the longitudinal bunching of the electrons in groups, separated by one optical wavelength along the optical axis. Although one wiggler can cause an electron to radiate independently (incoherently), the bunched electrons emit radiations that are in phase and have fields that add together constructively and coherently. Two mirrors (one with high reflectivity and one output mirror) at each end of the wiggler create an optical cavity, which causes the radiations to form standing waves as they bounce between the mirrors and interfere with each other. In some systems, an external laser may be used to provide radiation. With each pass through the wiggler, the radiation re-interacts with the electron bunch, causing the electrons to emit additional photons (of the same phase and coherency as those of the existing photons) that interfere constructively to amplify the radiation intensity. This process continues until the electrons are completely bunched and have emitted their photons.64 Lasing thus occurs in this system because the wiggler and the radiation interact to produce a beat wave (an interference pattern called a ponderomotive wave) that travels slower than the speed of light and can be synchronous with the electrons. The intensity of incoherent radiation is proportional to the number of electrons per unit wavelength.65 Stimulated emission occurs when electrons form coherent bunches over a wavelength; the number of emitted photons under this condition is then proportional to the square of the electron number.66 Bunching can occur because the ponderomotive wave has the same frequency v as the radiation, but the wavenumber is the sum of the electromagnetic field k and the wiggler field kw fields. Consequently, the beat wave travels more slowly than the light wave and can be synchronous with the electrons when the phase velocity of the ponderomotive wave equals the electron beam velocity vb, that is, v/(k þ kw) ¼ nb.67 The light emerges through the output mirror and can thus J. Feldhaus, J. Authur, and J. B. Hastings, “X-ray free electron lasers,” J. Phys. B. 38(9), S799 (2005). 65 ibid. 66 ibid. 67 P. G. O’Shea and H. P. Freund, “Free-electron lasers: status and applications,” Science 292, 1853–1858 (2001). 64

Photochemistry and Radiation Chemistry in Lithography

205

be ported to the projection optics box of a lithographic exposure tool, for instance, for lithographic exposures. The wavelength of the FEL is a function of several factors, including the velocity of the electron beam, the spacing of the wiggler magnets, and the magnetic field. The wavelength of the emitted radiation is given by the following expression:68   l K2 lr ¼ w2 1 þ , (5.41) 2 2g where K is the wiggler strength parameter, a dimensionless parameter that defines the wiggler strength as the relationship between the length of the period and the radius of the bend, expressed as K¼

glw eB0 lw ¼ , 2pr 2pme c

(5.42)

where r is the bending radius, B0 is the applied magnetic field in the magnet array, me is the mass of the electron, e is the elementary charge, c is the speed of light, and g is the relativistic factor, given as 1 g ¼ rffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi n2ffi : 1 c

(5.43)

Free-electron lasers (FELs) are a specialized class of lasers that use no solid, liquid, or gaseous materials as the active medium. Instead, the active medium is a beam of relativistic electrons. These lasers have excellent beam quality, unlimited tuning range, and can be scaled to high power. They directly convert the kinetic energy of the electron beam into light. A major limitation of FELs is that they require a high-quality beam of relativistic electrons, with low angular spreading and very little variation in electron velocity.69 5.4.4 Electron sources Electron sources used in electron beam lithographic exposure tools are like those used in conventional electron microscopes. They can be divided into two main groups—thermionic emission or field emission—depending on the way Z. Huang and K.-J. Kim, “Review of x-ray free-electron laser theory,” Physical Review Special Topics: Accelerators and Beams, 10(3), 034801 (2007); W. A. Barletta, J. Bisognano, J. N. Corlett, P. Emma, Z. Huang, K.-J. Kim, R. Lindberg, J. B. Murphy, G. R. Neil, D. C. Nguyen, C. Pellegrini, R. A. Rimmer, F. Sannibale, G. Stupakov, R. P. Walter, and A. A. Zholents., “Free electron lasers: Present status and future challenges,” Nucl. Instrum. Methods Phys. Res. A 618, 69–96 (2010). 69 J. F. Ready, “Trends in laser development,” in Industrial Applications of Lasers, 2nd edition, Academic Press (1997). 68

206

Chapter 5

in which they emit electrons.70 The sources that rely on the emission of electrons from a material that is heated to a temperature at which electrons are emitted from the surface are referred to as thermionic sources. These sources are fabricated from materials such as tungsten, thoriated tungsten, or lanthanum hexaboride (LaB6).71 5.4.5 Ion sources Two types of ion sources, liquid metal72 and gaseous (cryogenic) field ion sources73 have been successfully used in ion-beam lithography. Of these two sources, liquid metal has proven to be more widely used.74 Typical liquid metal sources include Ga, AuSi, AuSiBe,75 PdAs,76 PdAsB,77 NiB,78 etc.

5.5 Photochemistry of Photon Interactions with Optical Elements, Masks, and Pellicles Laser illumination of refractive and reflective optical elements, masks, and pellicles can degrade these materials. While some of the degradation mechanisms of these materials may be purely physical, some of them are photochemical in origin. We examine some of the photochemical-based degradation effects on these materials below.

D. R. Herriot and G. R. Brewer, “Electron-beam lithography machines,” in Electron-Beam Technology in Microelectronic Circuit Fabrication, G. R. Brewer, Ed., Academic Press, Orlando, Florida, pp. 141–216 (1981). 71 M. J. Bowden, “The Lithographic Process: The Physics,” in Introduction to Microlithography, L. F. Thompson, C. G. Willson, and M. J. Bowden, Eds., American Chemical Society, Washington, D.C., p. 111 (1994). 72 P. Prewett and G. Maier, Focused Ion Beams from Liquid Metal Ion Sources, Research Studies Press, Wiley, New York (1991). 73 J. Melngailis, “Applications of Ion Microbeams in Lithography and Direct Processing,” in Handbook of VLSI Microlithography: Principles, Technology, and Applications, 2nd edition, Elsevier, pp. 790–855 (2001). 74 ibid. 75 J. Kurihara, “A focused ion beam system for submicron lithography,” J. Vac. Sci. Technol. B 3(1), 41 (1985); E. Miyauchi, H. Hashimoto, and T. Utsumi, “Au–Si–Be liquid metal ion source for maskless ion implantation,” Jpn. J. Appl. Phys. 22(4A), L225 (1983); K. Gamo, T. Matsui, and S. Namba, “Characteristics of Be–Si–Au ternary alloy liquid metal ion sources,” Jpn. J. Appl. Phys. 22(11A), L692 (1983). 76 W. Clark, R. L. Seliger, M. W. Utlaut, A. E. Bell, L. W. Swanson, G. A. Schwind, and J. B. Jorgensen, “Long-lifetime, reliable liquid metal ion sources for boron, arsenic, and phosphorus,” J. Vac. Sci. Technol. B 5(1), 197 (1987). 77 ibid. 78 L. W. Swanson, A. E. Bell, and G. A. Schwind, “A comparison of boron emission characteristics for liquid metal ion sources for PtB, PdB, and NiB,” J. Vac. Sci. Technol. B 6(1), 491 (1988). 70

Photochemistry and Radiation Chemistry in Lithography

207

5.5.1 Fused-silica degradation Fused silica is the primary component of UV lithographic lenses. The degradation mechanisms that lead to light attenuation in fused silica is discussed in Sections 3.21 and 3.22. Figure 3.3 shows these attenuation mechanisms. Attenuation resulting from photochemical processes include exciton absorption and radiation defects. The net result of these effects is structural rearrangement of the material, which leads to its compaction and rarefaction or decompaction. 5.5.2 Pellicle materials degradation Pellicles used in UV lithography such as 193-nm are generally made of perfluorinated polymers (see Section 3.5). Extended irradiation of pellicles over time induces small but measurable changes in their physical properties: they become thinner due to polymer photovolatilization, their refractive indices decrease due to increased porosity, and their absorbance increases due to formation of new chemical bonds. All of these changes in physical properties are affected by the exposure ambient, with exposures in nitrogen experiencing higher degradation than those in oxygen ambient, perhaps due to the healing effect of photogenerated radicals via peroxy formation in oxygen ambient.79 Additionally, under DUV irradiation, ammonia gas can react with sulfuric acid or oxides of sulfur within the sub-pellicular space to form ammonium sulfate crystals.80 Being an insulator, the glass substrate under the sub-pellicular space is susceptible to electrostatic discharge damage,81 with the resulting spark able to cause the melting of mask absorber structures, which in turn can cause bridging across gaps on the masks.82 Another mask-related problem that takes place within the sub-pellicular space under illumination is electric-field-induced metal migration.83

79

ibid. B. J. Grenon, C. Peters, K. Battacharyya, and W. Volk, “Formation and detection of subpellicle defects by exposure to DUV systems illumination,” Proc. SPIE 3873, 162–176 (1999); B. J. Grenon, C. Peters, K. Battacharyya, W. Volk, and A. Poock, “Reticle surface contaminants and their relationship to sub-pellicle particle formation,” Proc. SPIE 5256, 1103– 1110 (2003). 81 A. C. Rudack, L. B. Levit, and A. Williams, “Mask damage by electrostatic discharge: a reticle printability evaluation,” Proc. SPIE 4691, 1340–1347 (2002). 82 H. J. Levinson, Principles of Lithography, 2nd edition SPIE Press, Bellingham, Washington, p. 267 (2005). 83 G. Rider, “Estimation of the field-induced damage thresholds in reticles,” Semicond. Manufact., pp. 80–94 (February 2004). 80

208

Chapter 5

5.6 Photochemistry of Photon Interactions with the Exposure Medium The exposure chambers of optical lithographic tools used in UV lithography, in particular the mid-UV (365-nm) and DUV (248 nm and 193 nm) contain clean dry air at approximately atmospheric pressure. Those operating in the VUV (157 nm) contain nitrogen at roughly 1 atmosphere of pressure. Those operating in the EUV (13.5 nm) are under vacuum, at roughly 10–6 torr, while those in x-ray lithographic tools contain air at 1 atmosphere of pressure. The interactions of the exposure photons with the above contaminants in the ambient environments within the above tools result in unique photochemistry that has significant implications for the quality of the printed features,84 the contamination of optical elements, the degradation of bulk optical materials and mask absorber materials, and the overall lifetime of various components of exposure tools. These interactions also present radiation hazards to humans working with such tools.85 The ambient environment within exposure tools typically contains residual amounts of airborne gases such as H2O, O2, CO, CO2, volatile and condensable organic compounds, inorganic acidic gases like SO2, and NOx, and Si-O compounds such as silicones and siloxanes. These not only can attenuate the exposure light but can, on interaction with the DUV and VUV photons, serve as precursors for photoinduced species that can directly degrade optical elements; or which, upon reaction with each other, may form stable contamination layers on optical surfaces. Because of their compositional inhomogeneities, coupled with the differences in their refractive indices relative to those of the optical elements, these contamination layers invariably cause light scattering, thereby reducing image contrast. In the extreme case, contamination can render the optical elements unusable, thereby necessitating their replacement. Most frequently, contamination causes significant variation in critical dimension uniformity, due largely to light scattering from contaminated optical surfaces or due to variations in the intensity of the radiation flux reaching the wafer plane on account of light absorption by volatile molecular contaminants. The contaminants may be introduced into the exposure tool from a variety of sources: (1) outgassing of the tool’s

U. Okoroanyanwu, P. Kunze, K. Al-Shamery, J. Romero, and J. Bernard, “Impact of photoinduced species in O2-containing gases on lithographic patterning at 193-nm wavelength.” Proc. SPIE 4691, 746 (2002). 85 See, for example, International Commission on Non-Ionizing Radiation (ICNIR) Report 14/ 2007, Protecting Workers from Ultraviolet Radiation, P. Veechia, H. Hiatenen, B. E. Stuck, E.v. Denventer, and S. Niu, Eds. (2007). 84

Photochemistry and Radiation Chemistry in Lithography Table 5.6 Class

209

Airborne molecular contaminants (AMCs) in the clean room.

Contaminant

Source

Effects

Molecular acids

Fluorides, chlorides, bromides, sulfates, phosphates, nitrogen/ oxygen compounds

Etch chambers, diffusion furnaces, CVD processes, buffered oxide etch, wet benches using HCl, HF

Forms haze crystals on reticles, wafers, exposure and exposure tool optical elements, corrosion of Al and Cu metal lines

Molecular bases

Ammonia Amines Amides

CVD, HMDS, CMP slurries, wafer cleaning processes, TiN and Si3N4 film deposition, TMAH decomposition Resist strippers NMP, dimethyl acetaminde, polyimides

Neutralizes photoacids in resists, reacts with molecular acids to form haze crystals on optical elements

Molecular condensables

Phthalates, organophosphtes, silicones, siloxanes,

Outside air, air filters, sealants, adhesives, flame retardants, FOUPs, gaskets

Delaminates resist and ARC films,

Molecular dopants

Boron, phosphorus, organophosphates, arsenic, antimony

Outside air, degradation of HEPA and ULPA filters, exhaust from RIE, EPI, and CVD processes

Unwanted n- and p-doping of wafers

Metals

Organometallic compounds

Cross-contamination of wafers, plastic additives containing organotin, organobismuth compounds, corroding ductwork

Particulates in air and on wafers

CVD (chemical vapor deposition), CMP (chemical mechanical polishing), HMDS (hexamethylene disilazane), TMAH (tetramethyl ammonium hydroxide), NMP (N-methylpyrrolidone), FOUP (front-opening unified pod), ARC (antireflection coating), RIE (reactive ion etching), EPI (epitaxial), HEPA (high-efficiency particulate air), ULPA (ultra-low penetration air).

construction materials, sealing compounds and adhesives, or purge nitrogen, (2) filter breakthrough, (3) outgassing from photoresist, etc. Table 5.6 is a summary of the types of common airborne molecular contaminants (AMCs) in the clean room, their sources, and their effects.86 The term AMC comprises a wide range of contaminants present in the cleanroom air. These contaminants can be in the form of gases, vapors, or even aerosols. Their chemical nature can be organic or inorganic and includes acids, bases, polymer additives, organometallic compounds, condensables, and dopant-containing species.87 Although contamination control is implemented in these exposure tools with on-board purge control units equipped with gas purifiers that remove contaminants such as H2O, O2, CO, CO2, hydrocarbons, H2, and sulfur U. Okoroanyanwu, P. Kunze, K. Al-Shamery, J. Romero, and J. Bernard, “Impact of photoinduced species in O2-containing gases on lithographic patterning at 193-nm wavelength,” Proc. SPIE 4691, 746 (2002). 87 C. Muller, “Applications of AMC,” Cleanroom Technology, pp. 22–25, Oct./Nov. (2002). 86

210

Chapter 5

compounds, these control units are often not 100% efficient. Typical benefits of molecular contamination control include improved process yield and greater process control. 5.6.1 UV absorption properties of typical gases in lithographic exposure tools Figure 5.13 shows the UV absorption profile of typical gases found (O2, H2O) and formed (O3) in the ambient environment of exposure tools. The Schumann–Runge band (180–210 nm) and continuum (,180 nm) regions dominate the photochemistry of molecular oxygen around 193 nm (of ArF exciplex laser) and 157 nm (of F2 excimer laser), respectively. The absorption coefficient of oxygen at 193.1 nm was reported to be 0.0186 cm–1atm–1, while the quantum yield of ozone formation at 193.1 nm by decomposition of excited oxygen molecules was reported to be 0.3 between 300 and 1300 torr.89 The low bond strength of ozone and its large absorption

10000 Schumann-Runge Bands

O2

A tte n u a tio n L e n g th , 1/e (c m -a tm )

1000

100

10

1

0.1

O3

0.01

H2O 0.001 150

160

170

180

190

200

210

220

230

240

250

Wavelength (nm)

Figure 5.13 UV absorption spectrum of typical gases found in the ambient environments of the exposure chamber of lithographic tools (reprinted from Kunz and Dammel88).

R. R. Kunz and R. Dammel, “193 nm Lithography: Fundamentals and Issues,” SPIE Short Course SC120 (2005). 89 N. Washida, Y. Mori, and I. Tanaka, “Quantum yield of ozone formation from photolysis of the oxygen molecule at 1849 and 1931 Å,” J. Chem. Phys. 54(3), 1119–1122 (1970). 88

Photochemistry and Radiation Chemistry in Lithography

211

coefficient in the Hartley band (200–310 nm) readily permit production of excited oxygen atoms and molecules at 193 nm. Although the absorption cross-section of oxygen at 193 nm is relatively small (10–23 cm2), since it comprises 21% of air, its overall effect in the attenuation of photons and the production of reactive-excited-state species is quite significant. Its contribution to absorption shows a marked increase from 200 nm to 180 nm and a marked decrease from 200 nm to 250 nm in the Herzberg continuum, where the ozone absorption becomes significant. 5.6.2 Photodissociation of molecular oxygen We now consider the photochemistry of the O2 molecule, which, in both ground and excited states, plays a significant role in lithographic photochemistry, especially as pertains to the interaction of light with the lithographic exposure tool medium of air and in the photo-oxidative degradation of exposure tool optical elements and photoresists. The electronic configuration of the oxygen atom is represented as 1s2, 2s2, 2p4. The 1s2 electrons are too strongly held to the nucleus to be involved in bonding. As such, they remain essentially as atomic orbitals. Given that we have the same number of bonding and antibonding electrons when molecular orbitals are formed from the 2s orbitals, their net contribution to the bonding is zero. Therefore, for bonding purposes, only the combinations of the 2p orbitals are necessary. The two orbitals in the pair of p orbitals along the internuclear axis (the pz) overlap end-to-end, resulting in the s and s* orbitals, while the two orbitals in each of the other pairs of p orbitals, px and py, overlap side-by-side (with less overlap than the pz orbitals) and give rise to two degenerate p and p* orbitals. When electrons are filled into these molecular orbitals, as shown in Fig. 5.14, two electrons in the p* orbital are unpaired, indicating that the O2 molecule has a bond order of 2, or two bonds between the two constituent atoms. The bond order ¼ (number of bonding electrons – number of antibonding electrons)/2. With two unpaired electrons, the spin multiplicity of the lowest energy state, the ground state of oxygen, is given by (2S þ 1) ¼ 3, where S is the spin and corresponds to ½ for each unpaired electron. The spin multiplicity of 3 corresponds to a triplet state. The triplet character of the ground state of molecular oxygen implies that it is very reactive with other species containing unpaired electrons, most notably in photochemistry with other triplet states, and these are typically oxidation reactions90 in lithographic systems. The potential energy curves for the three lowest electronic states of molecular oxygen are shown in Fig. 5.15. Molecular oxygen plays a very significant role in photochemical processes in lithography because of its high chemical energy content, its unique reactivity characteristics, its low-lying excited states, and its ubiquity as an impurity in reaction systems. O2 is unique 90 P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction Between Light and Molecules,” in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht, pp. 38–39 (2013).

212

Chapter 5

Figure 5.14 Electron correlation diagram and ground-state molecular orbital occupation for the oxygen molecule (O2) (adapted from Douglas, Burrows, and Evans91).

Figure 5.15 Schematic of potential energy curves of the photodissociation of molecular oxygen, showing some of the electronic states of oxygen (adapted from Turro92).

in the sense that it is one of the very few natural molecules whose ground state has triplet multiplicity (3Sg) instead of singlet multiplicity. The lowest excited state of molecular oxygen is the singlet 1Dg, which lies only 94 KJ mole–1 above the 3Sg. It has the two highest-energy electrons spinpaired in the same p* antibonding orbital and therefore has S ¼ 0. The next 91

ibid. N. J. Turro, Modern Molecular Photochemistry, University Science Books: Sausalito, p. 587 (1991).

92

Photochemistry and Radiation Chemistry in Lithography

213

excited state of molecular oxygen is the 1Sg, which lies 157 KJ mole–1 above the 3Sg. Due to the very weak electronic coupling between the 3Sg and 1Dg states of molecular oxygen, even simple collisions provide significant spin– orbit perturbation mechanisms to mix these two states.93 Radiationless conversion of 1Dg to 3Sg is spin-forbidden and is therefore expected to be quite slow.94 Herein lies the reason that most reactions of oxygen with organic compounds, although exergonic, do not proceed at room temperature, except upon heating or in the presence of catalysts. ArF laser photons and other UV radiations shorter than 240 nm can readily dissociate molecular oxygen (3Sg, binding energy ¼ 5.1 eV) into atomic oxygen O (3P) as shown below. As in general chemistry and photochemistry, the two most important orbitals are the highest-energy occupied molecular orbital (HOMO) and the lowest-energy unoccupied molecular orbital (LUMO). Changes in the occupancy of these orbitals are responsible for the lowest energy electronic processes possible. These changes can occur under a variety of scenarios: (1) If an electron is added to a molecule it goes into the LUMO; (2) if an electron is removed from a molecule, it is removed from the HOMO; (3) the lowest electronic transition is typically from the HOMO to the LUMO, and (4) if higher-energy photons cause higher-energy transitions, excited-state molecules usually relax very quickly to the first excited state.95 The addition of an electron to an atom or molecule is called a reduction process, while the removal of an electron from an atom or molecule is called oxidation. The energy required for reduction or oxidation of a material is determined by the energy level of the HOMO or LUMO in the material. A molecule will be easily reduced if it has a low-energy LUMO; it will be easily oxidized if it has a high-energy HOMO.96 In a gas phase such as that obtained in the exposure medium of lithographic exposure tools, the energy of the HOMO relative to the complete loss of electrons is termed ionization potential, which can be determined experimentally via x-ray photoelectron spectroscopic measurements. In condensed phases such as a corroded lithographic mask or an electrolyte in electrochemical imprint lithographic process, electrochemical measurements S. R. Langhoff, “Ab initio evaluation of the fine structure and radiative lifetime of the A2(n → n*) state of formaldehyde,” J. Chem. Phys. 61, 1708 (1974); P. B. Merkel and D. R. Kearns, “Radiationless decay of singlet molecular oxygen in solution. Experimental and theoretical study of electronic-to-vibrational energy transfer,” J. Am. Chem. Soc. 94, 7244 (1972); T. G. Slanger, P. C. Cosby, D. L. Huestis, and T. A. Bida, “Discovery of the atomic oxygen green line in the Venus night airglow,” Science 291(5503), 463–465 (2001). 94 N. J. Turro, Modern Molecular Photochemistry, University Science Books, Sausalito, p. 587 (1991). 95 P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction between Light and Molecules,” in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht., p. 40 (2013). 96 ibid., p. 43. 93 3

214

Chapter 5

can be used to determine the potential energy difference between two electronic states. A 1.0-V potential difference corresponds to an energy separation of electronic energy levels of 96.5 kJ mol–1. Direct electrochemical comparison of the potential energy of an electronic state against the reference zero of the standard hydrogen electrode (SHE) can be determined by measuring the potential difference, expressed in volts, between the electronic state of interest and the SHE. This directly yields the potential energy of the electronic state of interest, otherwise called its redox potential.97 By convention, electrode potentials are given for the reduction half-reaction, i.e., M þ ne ⇋ M n

[5.13]

Cyclic voltammetry can be used to determine oxidation and reduction potentials using a known reference couple such as ferrocene/ferrocenium (Fc/Fcþ) since this reference couple is relatively unaffected by environmental effects.98,99 By combining electrochemical measurements, spectroscopic measurements, and molecular orbital calculations, energy level diagrams of molecules or species of interest in lithographic photochemistry can be obtained.100 5.6.2.1 ArF laser photon-induced reactions of oxygen

The main reactions of oxygen induced by 193-nm photons are summarized below. Atomic oxygen [O(3P)], singlet molecular oxygen [1O2 (1Dg, 1S g)], and ozone (O3) are readily formed from the interaction of UV photons of sufficient energy (e.g., 193-nm photons) with molecular oxygen. Once formed, these species participate in photo-oxidative degradation of resist features, even in unpatterned areas.101 Of these species, atomic oxygen is believed to play the dominant role. These species are readily formed during photoresist exposure to the 6.4-eV ArF laser photon irradiation of triplet molecular oxygen (3O2) (ground state) in the clean dry air used to purge exposure scanners and steppers to prevent contaminants and impurities from being deposited on the optical elements of the tool. Specifically, ArF laser photons can do the following: (1) Dissociate molecular oxygen in air into atomic oxygen [O(3P)]:102 97

ibid., p. 44. C. M. A Brett and A. M. O. Brett, Electrochemistry: Principles, Methods, and Applications, Oxford University Press, Oxford (1993). 99 W. G. Connelly and W. E. Geiger, “Chemical redox agents for organometallic chemistry,” Chem Rev. 96(2), 877–910 (1996). 100 P. Douglas, H. D. Burrows, and R. C. Evans, “Foundations of Photochemistry: A Background on the Interaction between Light and Molecules,” in Applied Photochemistry, R. C. Evans, P. Douglas, and H. D. Burrows, Eds., Springer, Dordrecht., p. 40 (2013). 101 U. Okoroanyanwu, P. Kunze, K. Al-Shamery, J. Romero, and J. Bernard, “Impact of photo-induced species in O2-containing gases on lithographic patterning at 193-nm wavelength,” Proc. SPIE 4691, 746 (2002). 102 For a comprehensive review of the photochemistry of small molecules, see, for example, H. Okabe, Photochemistry of Small Molecules, John Wiley & Sons (1978); D. H. Volman, “Photochemical evidence relative to the excited states of oxygen,” J. Chem. Phys. 24(1), 122–124 (1956). 98

Photochemistry and Radiation Chemistry in Lithography

O2 ð3 S– g Þ þ hnð193 nmÞ



215

Oð3 PÞ þ Oð3 PÞ

[5.14]

where the absorption cross-section sO2 of oxygen at 193.3 nm, 193.4 nm, and 248 nm are 210–23 cm2, 810–22 cm2, and 510–24 cm2, respectively. Niwa et al.103 reported the following values for the absorption cross-section of oxygen: s(l ¼ 193 nm) (3.2  0.2)10–22 cm2 molecule–1 for the SchumannRunge band (v 0 ¼ 4); and s(l ¼ 193 nm) (1.2  0.1)10–23 cm2 molecule–1 for the Schumann continuum. The rate constant of the predissociation of O2 has been obtained as 6.4010–5 cm2 J–1 at 22.1 °C.104 (2) Excite molecular oxygen from its ground state to singlet oxygen: O2 ð3 S– g Þ þ hnð193 nmÞ



1 O ð1 D Þ 2 g

þ1 O2 ð1 Sg þ Þ

[5.15]

(3) Photodissociate ozone: O3 þ hnð193 nmÞ



Oð1 DÞ þ O2 ð1 Dg Þ

[5.16]

where the absorption cross-section sO3 of ozone at 193 nm and 248 nm are 810–19 cm2 and 110–17cm2, respectively. (4) Form ozone from reactions between atomic and molecular oxygen:105 Oð3 PÞ þ O2 þ M



O3 þ M

[5.17]

where M is a third collision partner like O2 or N2. Of all the above reactions, Reactions [5.14], [5.16], and [5.17] directly participate in the dissociation of molecular oxygen, with the attendant formation of ozone as well as the attenuation of the laser beam. Under laser irradiance, the rate equations for the formation of the main active species, O(3P) and O3, are as follows: O(3P) formation: d½O (5.44) ¼ 2FsO2 ½O2   k 1 ½O½O2 ½M, dt where k1 is the rate constant for the formation of ozone in Reaction [5.17], and F is laser flux. Ozone (O3) formation: d½O3  ¼ k 1 ½O½O2 ½M  FsO3 ½O3 : dt

(5.45)

Y. Niwa, A. Matsuzaki, S. Nishio, and H. Sato, “Ozone formation by photodissociation of oxygen molecule with a 193 nm excimer laser,” J. Phys. Chem. A 101(4), 668–671 (1997). 104 ibid. 105 For a comprehensive review of photochemistry of small molecules, see, for example H. Okabe, Photochemistry of Small Molecules, John Wiley & Sons (1978); D. H. Volman, “Photochemical evidence relative to the excited states of oxygen” J. Chem. Phys. 24(1), 122–124 (1956). 103

216

Chapter 5

By solving Eqs. (5.44) and (5.45), it can be shown that   sO2 ½O2 ð1  10FsO3 t Þ at t . 1 ms: ½O3   2 sO3 At steady state, t ¼ ` , PO3

  sO2 2 P , sO3 O2

(5.46)

(5.47)

where PO3 and PO2 are the partial pressures of ozone and molecular oxygen, respectively. Equation (5.47) implies that ozone is generated at a rate that is 50 times greater at the 193-nm wavelength than at the 248-nm wavelength. It also indicates that the steady state absorbance (attenuation) depends on the ratio of the absorption cross-section of molecular oxygen to ozone, the molecular oxygen partial pressure, and the purge rate of the beam line gas; it is independent of the laser power and the laser repetition rate. The absorption cross-section is dependent on the laser wavelength (see Fig. 5.8).

5.7 Photochemistry of the Photo-oxidative Degradation of Photoresist Polymers The interaction of ArF laser photons with oxygen-containing gaseous environments within the exposure chamber of the stepper or scanner produces reactive excited-state atomic and molecular oxygen species as described above, which, in turn, mediate photo-oxidative degradation processes of resist polymers, including crosslinking, chain scission, oxidation, and other secondary reactions by free-radical mechanisms. This results in resist feature erosion and poor resist feature profiles, particularly under bright field illumination in full field scanners and steppers. Flare can enhance this effect in unexposed areas. These photo-oxidative degradation reactions are essentially the same kind of reactions as are involved in the VUV106 and EUV107 cleaning of organics in the presence of oxygen, as we shall see below. Photo-oxidative degradation processes are initiated at the surface of the resist polymers by photoinduced species such as atomic oxygen, singlet oxygen, ozone, etc., and proceed inward, giving rise to a gradient of deteriorated material across the resist thickness. Oxidation will occur if unstabilized polymer is exposed to gases containing even parts-per-million levels of oxygen for prolonged periods above 80 °C, and over the course of months or years at ambient temperatures.108 Z. Falkenstein, “Effects of O2 concentration on the removal efficiency of volatile organic compounds with dielectric barrier discharges in Ar and N2,” J. Appl. Phys. 85, 525 (1999). 107 M. Malinowski, P. Grunow, C. Steinhaus, M. Clift, and L. Klebanoff, “Use of molecular oxygen to reduce EUV-induced carbon contamination of optics,” Proc. SPIE 4343, 347 (2001). 108 J. F. Rabek, Photodegradation of Polymers: Physical Characteristics & Applications, Springer, New York, p. 2 (1996). 106

Photochemistry and Radiation Chemistry in Lithography

217

The photochemical sequence involved in the photo-oxidative degradation of resist polymers can be divided into three stages: 1. The absorption of photons by molecular oxygen and the absorption of chromophores in the resist polymers, leading to the formation of electronically excited states of oxygen species and chromophoric groups of the polymer, respectively. 2. The primary photochemical process, which involves electronically excited states. 3. The secondary or “dark” (thermal) reactions of the radicals, radical ions, ions, and electrons produced by the primary photochemical process. 5.7.1 General mechanism of patterned resist polymer photo-oxidative degradation While many excellent reviews109 and books110 on the photo-oxidative degradation of polymers have been published, in this book we concentrate 109

For a comprehensive review of the photodegradation of polymers, see, for example, D. J. Carlsson and D. M. Wiles, “The photooxidative degradation of polypropylene. Part I. Photooxidation and photoinitiation processes,” J. Macromol. Sci. Rev. Macromol. Chem. C14, 65–106 (1976); A. Garton, D. J. Carlsson, and D. M. Wiles, “Photo-oxidation mechanism in commercial polyolefins,” Dev. Polym. Photochem. 1, 93 (1980); G. Geuskens and C. David, “The photo-oxidation of polymers: A comparison with low molecular weight compounds,” Pure Appl. Chem. 51(2), 233–240 (1979); G. Geuskens and C. David, “Recent advances in the photooxidation of polymers,” Pure Appl. Chem. 51, 2385 (1979); J. E. Guillet, “Fundamental processes in the UV degradation and stabilization of polymers,” Pure Appl. Chem. 30, 135 (1972); J. E. Guillet, “Photochemistry in macromolecular systems,” Naturwissensch. 59, 503 (1972); J. E. Guillet, “Photochemistry in the solid phase,” Polym. Eng. Sci. 14, 482 (1974); J. E. Guillet, “Fundamental processes in the photodegradation of polyolefins,” Adv. Chem. Ser. 169, 1 (1978); J. E. Guillet, J. Dhanraj, F. J. Golemba, and G. H. Hartely, “Stabilization of polymers and stabilizer processes,” Adv. Chem. Ser. 85, 272 (1968); J. Lemaire and R. Arnaud, “Primary hyperoxidation in oxidation of polyolefins and potyamides,” Polym. Photochem. 5, 243 (1984); L. A. Linden, J. F. Rabek, H. Kaczmarek, A. Kaminska, and M. Scoponi, “Photooxidative degradation of polymers by HOxxx and HO2xxx radicals generated during the photolysis of H2O2, FeCl3, and Fenton’s reagents,” Coord. Chem. Rev. 125, 195 (1993); B. Ranby, and J. F. Rabek, “Photophysical processes of plastics,” J. Appl. Polym. Sci. 35, 243 (1979); M. Shimoyama, H. Niino, and A. Yabe, “A KrF excimer laser induced dehydrochlorination of a chlorinated poly(vinyl chloride): preparation of conjugated polyene and polyyne,” Makromol. Chem. 193, 569 (1992); K. Tsuji, “ESR study of photodegradation of polymers,” Polym. Plast. Technol. Eng. 9, 1 (1977). 110 For books on the photodegradation of polymers, see, for example, J. F. Rabek, Photodegradation of Polymers: Physical Characteristics & Applications, Springer, New York (1996); N. S. Allen and M. Edge, Fundamentals of Polymer Degradation and Stabilization, Elsevier Applied Science, London (1992); G. Geuskens, Ed., Degradation and Stabilization of Polymers, Wiley, New York (1975); N. Grassie and G. Scott, Polymer Degradation and Stabilization, Cambridge University Press, Cambridge (1985); H. H. G. Jellinek, Ed., Aspects of Degradation and Stabilization of Polymers, Elsevier, Amsterdam (1979); H. H. G. Jellinek, Ed., Degradation and Stabilization of Polymers 1, Elsevier, Amsterdam (1989); H. H. G. Jellinek and H. Kachi, Eds., Degradation and Stabilization of Polymers 2, Elsevier, Amsterdam, (1989); J. F. McKellar and N. S. Allen, Photochemistry of Man-Made Polymers, Applied Science, London

218

Chapter 5

on reactions engendered during UV exposures of photoresists with ArF and KrF exciplex lasers as well as the role of these reactions in patterned photoresist feature profile degradation and erosion. The mechanistic steps of photo-oxidation include the following reactions:111 PH þ hn → Pðor P • þ P•Þ þ ðH•Þ

[5.18]

P • þ O2 → POO•

[5.19]

POO • þ PH → POOH þ P•

[5.20]

POOH → PO • þ • OH

[5.21]

PH þ •OH → P • þ H2 O

[5.22]

PO• → chain-scission processes

[5.23]

P • þ P• → PP

[5.24]

P • þ PO• → POP

[5.25]

P • þ POO• → POOP

[5.26]

POO • þ POO• → POO  OOPðor POOP þ O2 Þ

[5.27]

Initiation : Chain propagation:

Chain branching:

Termination:

where PH is the polymer, P• is the polymer alkyl radical, PO• is the polymer alkoxy radical, POO• is the polymer peroxy radical, POOH is the polymer hydroperoxide, and HO• is the hydroxyl radical. Photo-oxidative degradation generally causes main-chain scission and crosslinking, the former being more generally predominant in the presence of oxygen. (1979); Z. Osazawa, Photodegradation and Stabilization of Polymers: Fundamentals and Practice of Photostabilization Techniques, CMC Co., Japan (1986); A. D. Patsis, Advances in Stabilization and Controlled Degradation of Polymers, Technomic, Lancaster (1989); J. F. Rabek, Mechanisms of Photophysical Processes and Photochemical Reactions in Polymers: Theory and Applications, Wiley, Chichester (1987); J. F. Rabek, Photostabilization of Polymers: Principles and Applications, Elsevier Applied Science, London (1990); J. F. Rabek, Photodegradation of Polymers: Mechanisms and Experimental Methods, Chapman & Hall, London (1995); B. Ranby and J. F. Rabek, Photodegradation, Photooxidation and Photostabilization of Polymers: Principles and Applications, Wiley, London (1975); D. V. Rosato and R. T. Schwartz, Eds., Environmental Effects on Polymeric Materials, Wiley, New York (1968); G. Scott, Ed., Mechanisms of Polymer Degradation and Stabilization, Elsevier Applied Science, London (1990); J. Voigt, Die Stabiliserung der Kunstoffe gegen Licht und Wärme, Springer, Berlin (1966); J. Wypych, Weathering Handbook, Chemtec, Toronto (1990). 111 J. F. Rabek, Photodegradation of Polymers: Physical Characteristics & Applications, Springer, New York, p. 60 (1996).

Photochemistry and Radiation Chemistry in Lithography

219

Figure 5.16 shows the effects of different gaseous environments (dry air and nitrogen) on PAR710 resist during ArF exposure. The significant decrease in the signal peak intensity around 1792 cm–1 [corresponding to C=O bending vibration, and around 1157 cm–1, corresponding to C–C(=O)–O stretching vibration] in the wafer exposed in a dry air environment is suggestive of higher photo-oxidative degradation of the resist compared to resist exposed in nitrogen.112 This finding is in agreement with published results.113 Another direct evidence of photo-oxidative degradation of the resist film exposed in dry air is the presence of the peak around 1108 cm–1 , which is absent in the spectra of both the unexposed part of the film in dry air and the exposed part of the film in nitrogen. 5.7.2 Atomic oxygen reactions with polymers It has been reported that atomic oxygen O(3P) reacts with almost all polymers (PH) causing surface erosion (etching and material loss):114 PH þ Oð3 PÞ



P þ Oð3 PÞ PO • þ Oð3 PÞ

P • þ • OH → →

PO• POO•

[5.28] [5.29] [5.30]

A polymer mass loss rate of 10–6 kgh–1/area and an activation energy of 21.1 kJ/mol for atomic oxygen-exposed PMMA was reported by U. Okoroanyanwu, P. Kunze, K. Al-Shamery, J. Romero, and J. Bernard, “Impact of photoinduced species in O2-containing gases on lithographic patterning at 193-nm wavelength,” Proc. SPIE 4691, 746 (2002). 113 L. L. Fewell and L. Finney, “An ESCA study of atomic oxygen interactions with phosphazenecoated polyimide films,” Polym. Commun. 32, 393 (1991); A. Garton, P. D. McLean, W. Wiebe, and R. J. Densely, “Exposure of cross-linked epoxy resins to the space environment,” J. Appl. Polym. Sci. 32, 3941 (1986); M. A. Golub and T. Wydeven, “Reactions of atomic oxygen (O(3P)) with various polymer films,” Polym. Degrad. Sci. 22, 325 (1988); E. L. Lawton, “Oxidation of polymers by radiofrequency plasma,” J. Polym. Sci. Chem. Ed. 10, 1857 (1972); J. Lucki, B. Ranby, and J. F. Rabek, “Comparative studies of reactions of commercial polymers with molecular oxygen, singlet oxygen, atomic oxygen, and ozone—II. Reactions with 1,2-polybutadiene,” Europ. Polym. J. 15, 1101 (1979); J. R. MacCallum and C. T. Rankin, “A novel method for modifying surfaces,” J. Polym. Sci. Polym. Lett. 9, 751 (1971); D. H. Reneker and L. H. Bolz, “Effect of atomic oxygen on the surface morphology of polyethylene,” J. Makromol. Chem. Sci. Chem. A 10(3), 599 (1976); A. G. Shard and J. P. S. Badyal, “Plasma versus ultraviolet enhanced oxidation of polyethylene,” Polym. Commun. 32, 217 (1991); A. F. Whitaker and B. Z. Jang, “The mass loss mechanisms of polymers in a radio frequency induced atomic oxygen environment,” J. Appl. Polym. Sci. 48, 1341 (1993). 114 U. Okoroanyanwu, P. Kunze, K. Al-Shamery, J. Romero, and J. Bernard, “Impact of photo-induced species in O2-containing gases on lithographic patterning at 193-nm wavelength,” Proc. SPIE 4691, 746 (2002). 112

220

Chapter 5 Damage occurs greater in air than in nitrogen, seen as degradation of C=O peak at 1792 cm-1 and C-C(=O)-O peak at 1157cm -1 0.14

Evidence of photooxidation at1108 cm-1

0.12

Absorbance

0.10 0.08 0.06 0.04

Exposed in dry air

Exposed in Nitrogen

0.02 0.00 4000

Unexposed in Air 2000 Wavenumbers (cm-1)

Figure 5.16 Fourier-transform infrared (FTIR) spectra showing the effects of different gaseous environments on resist during exposure: damage in dry air vs. damage in a nitrogen environment. (Reprinted from Okoroanyanwu et al.115)

Whitaker et al.116 The mass loss rate was found to be directly related to the exposure area and to be independent of the sample thickness.117 5.7.3 Photo-oxygenation of polymers by singlet oxygen Singlet oxygen species 1O2(1Dg) and 1O2(1Sgþ) undergo versatile reactions with polymers containing allylic and/or diene unsaturated bonds.118 Depending on 115

ibid. A. F. Whitaker and B. Z. Jang, “The mass loss mechanisms of polymers in a radio frequency induced atomic oxygen environment,” J. Appl. Polym. Sci. 48, 1341 (1993). 117 ibid. 118 B. Ranby and J. F. Rabek, Photodegradation, Photooxidation and Photostabilization of Polymers: Principles and Applications, Wiley, London (1975); D. J. Carlsson and D. M. Wiles, “Importance of singlet oxygen in the degradation of rubbers and plastics,” Rubb. Chem. Technol. 49, 991 (1974); M. A. Golub, “Photosensitized oxidation of unsaturated polymers,” Pure Appl. Chem. 52, 305 (1980); J. R. MacCallum and C. T. Rankin, “Reactions of excited oxygen species with polymer films,” Makromol. Chem. 175, 2477 (1974); J. F. Pratte and S. E. Webber, “Molecular weight effects on triplet sensitization of poly(2-vinylnaphthalene) in benzene,” Macromolecules 15, 417 (1982); J. F. Rabek, J. Lucki, and B. Ranby, “Comparative studies of reactions of commercial polymers with molecular oxygen, singlet oxygen, atomic oxygen, and ozone—I. Reactions with cis-1,4-polybutadiene,” Europ. Polym. J. 15, 1089 (1979); J. F. Rabek and B. Ranby, “Role of singlet molecular oxygen in photo-oxidative degradation and photostabilization of polymers,” Polym. Eng. Sci. 15, 40 (1975); J. F. Rabek and B. Ranby, “Studies on the photooxidation mechanisms of polymers. V. Oxidation of polybutadienes by singlet oxygen from microwave discharge and in dye-photosensitized reactions,” J. Polym. Sci. 116

Photochemistry and Radiation Chemistry in Lithography

221

the availability of an allylic hydrogen, substituent polymers react with it to produce either dioxetanes or hydroperoxides, whereas polydienes yield endoperoxides.119 In polymers, light-absorbing impurities (external and/or internal structure irregularities) have been reported to act as potential photosensitizers for the generation of singlet oxygen.120

5.8 Photochemistry of Mask and Exposure Tool Lens Contamination and Cleaning The exposure chamber of an optical lithographic tool is a veritable reaction vessel conducive to oxidative and etching reactions mediated by photoinduced species from the interaction of the exposure radiation with molecular contaminants in the exposure chamber on one hand, and between exposure radiation and mask materials on the other. These oxidation reactions ultimately lead to growth of inorganic salts such as ammonium sulfate and ammonium molybdate as well as carbon deposits on masks and exposure tool lenses. They can also lead to corrosion of the mask (discussed in Chapter 11), mediated via photoelectrochemical processes. 5.8.1 Inorganic salt formation on DUV exposure tool lenses and reticles Experimental evidence suggests the formation of inorganic crystals that cause haze defects on 193-nm and 248-nm exposure reticles121 and lenses. These

Chem. Ed. 14, 463 (1976); J. F. Rabek and B. Ranby, “Studies on the photo-oxidative mechanism of polymers. VII. The role of singlet oxygen in the dye-photosensitized oxidation of cis-1,4- and 1,2-polybutadienes and butadiene-styrene copolymers,” J. Appl. Polym. Sci. 23, 2481 (1979); A. Zwieg and W. A. Henderson, “Singlet oxygen and polymer photooidations. I. Sensitizers, quenchers, and reactants,” J. Polym. Sci. Chem. Ed. 13, 717 (1975). 119 M. L. Kaplan and P. G. Kelleher, “Photo-oxidation of polymers without light: Oxidation of polybutaiene and an ABS polyblend with singlet oxygen,” J. Polym. Sci. Chem. Ed. 8, 3163 (1970); H. C. Ng and J. E. Guillet, “Singlet oxygen initiation of polymer photooxidation: photolysis of cis-1,4-poly(isoprene hydroperoxide),” Macromolecules 11(5), 929 (1978); C. Tanielian and J. Chaineaux, “Single oxygen reactions with model compounds of cis and trans polyisoprene containing two units,” J. Photochem. 9, 19 (1978); C. Tanielian and J. Chaineaux, “Singlet oxygen reactions with model compounds of cis- and trans-polyisoprene containing one unit. Kinetic aspects,” J. Polym. Sci. Chem. Ed. 17, 715 (1979); C. Tanielian and J. Chaineaux, “Sensitised photo-oxidation of polyisoprene: effect of the presence of hydroxy and hydroperoxyl groups,” Europ. Polym. J. 16, 619 (1980). 120 J. F. Rabek, Photodegradation of Polymers: Physical Characteristics and Applications, Springer, New York, p. 87 (1996). 121 B. Grenon, K. Bhattacharyya, W. Volk, and A. Poock, “Reticle surface contaminants and their relationship to subpellicle particle formation,” Proc. SPIE 5256 (2003); E. Johnstone, L. Dieu, C. Chovino, J. Reyes, D. Hong, P. Krishnan, D. Coburn, and C. Capella, “193-nm haze contamination: A close relationship between mask and its environment,” Proc. SPIE 5256 (2003).

222

Chapter 5

haze defects have been termed progressive defects because they cause catastrophic defect growth over the course of production usage of the lens and reticle in the fab. Although these progressive defects have been observed at almost all lithographic wavelengths, the problem is especially severe in 193-nm lithography because of the highly energetic (6.4 eV) photons and the concurrent transition to 300-mm wafers, which require photomasks and lenses to endure more prolonged exposure compared to 200-mm wafers. Raman spectroscopic compositional analyses of these crystals have shown them to be made of mostly ammonium sulfate [(NH4)2SO4].122 5.8.1.1 Mechanism of ammonium sulfate crystal formation on DUV lithographic exposure lenses and reticles

Physical and chemical processes in the exposure chamber of DUV steppers and scanners are responsible for the formation of inorganic salts from precursor gases (SO2) contained in the purge air of the tool. These precursor gases, buoyed by atmospheric processes, are oxidized to H2SO4 by oxidants (OH–, O3, H2O2) generated from O2 and H2O by the exposure tool laser. Once formed, the H2SO4 reacts with NH3 to form the corresponding salt: ammonium sulfate (NH4)2SO4: H2 SO4 ðaqÞ þ 2NH3 ðaqÞ → ðNH4 Þ2 SO4 ðsÞ

[5.31]

It should also be mentioned that there are many chemical pathways through which SO2 in the purge air of the exposure tool can be oxidized into sulfates, including homogenous processes that take place in the gas phase and in liquid droplets, or heterogeneous processes that take place on the surface of particles or droplets. 5.8.1.1.1 Reaction pathways leading to the formation of ammonium sulfate crystals in DUV lithographic exposure tools

A wide variety of interrelated homogenous gas phase chemistry, solution phase chemistry, and heterogenous chemistry may ultimately result in oxidation of SO2 to sulfuric acid in DUV exposure tools. The three main possible reaction pathways for the oxidation of sulfur dioxide to sulfuric acid in the exposure chamber may include: (1) direct oxidation of sulfur dioxide by stable atmospheric oxygen, (2) catalyzed oxidation of sulfur dioxide by metal ions, or (3) photochemical oxidation of sulfur dioxide by ozone and a hydroxyl radical.

122

ibid.

Photochemistry and Radiation Chemistry in Lithography

223

5.8.1.1.1.1 Direct oxidation of sulfur dioxide by stable atmospheric oxygen

Thermodynamic considerations indicate that sulfur dioxide has a strong tendency to react with oxygen in the air within the exposure chamber, just as under normal tropospheric conditions,123 as shown in Reaction [5.32]: 2SO2 ðgÞ þ O2 → 2SO3 ðgÞ

[5.32]

Thermodynamics arguments also inform us that, at humidities normally encountered in the exposure chamber, the SO3 produced by Reaction [5.32] can be efficiently converted to sulfuric acid, H2SO4 (aq), according to Reaction [5.33]: SO3 ðgÞ þ H2 OðlÞ → H2 SO4 ðaqÞ

[5.33]

5.8.1.1.1.2 Catalyzed oxidation of sulfur dioxide by metal ions

Certain metal ions (Crþ, Mn2þ, Fe3þ, Moþ, etc.) in aqueous solutions of SO2 (HSO3) can catalyze the overall sequence of Reaction [5.34]:124 Mþ

SO2 þ H2 O → H2 SO4 ðaqÞ H2 O

[5.34]

where Mþ is a metal ion catalyst like Crþor Moþ found on photomasks. Other active catalysts include Mn2þ, Fe3þ, Cu2þ, etc. 5.8.1.1.1.3 Photochemical oxidation of sulfur dioxide by ozone and hydroxyl radical

The photochemical generation of ozone in the exposure chamber involves the 193-nm light dissociation of molecular oxygen in the air to ground-state atomic oxygen species O(3P) (Reaction [5.35]), which in turn react with molecular oxygen to form ozone (Reaction [5.36]): O2 þ hnð193 nmÞ → Oð3 PÞ þ Oð3 PÞ

[5.35]

Oð3 PÞ þ O2 → O3

[5.36]

The photodecomposition of ozone may form electronically excited oxygen atoms [O(1D)] and excited molecular oxygen with absorption of the 193-nm radiation (Reaction [5.37]): 123

These reactions are like those governing the acid deposition processes as reported in the National Academy of Sciences Commission on Physical Sciences, Mathematic, and Applications (CPSMA) Report: “Acid Deposition: Atmospheric Processes in Eastern North America,” National Academy Press, Washington, D.C. pp. 1–373 (1983). 124 ibid., p. 156.

224

Chapter 5

O3 þ hnð193 nmÞ → Oð1 DÞ þ O2 ð1 Dg Þ

[5.37]

The O(1D) species formed in Reaction [5.37] is much more reactive than the ground-state oxygen atoms [O(3P)]. O(1D) reacts efficiently when it collides with a water molecule to form a highly important transient in atmospheric chemistry, the hydroxyl radical, HO (Reaction [5.38]):125 Oð1 DÞ þ H2 OðlÞ → 2HO

[5.38]

The HO• radical reacts efficiently with SO2 in the presence of a third body M, such as the exposure reticle or exposure lens, to form the free-radical HOSO2, an unstable and highly reactive molecule (Reaction [5.39]), which reacts with oxygen to form SO3 (Reaction [5.40]): HO • þ SO2 ðþMÞ → HOSO2 ðþMÞ

[5.39]

HOSO2 þ O2 → HO2 þ SO3

[5.40]

The SO3 generated in Reaction [5.40] is then easily oxidized to sulfuric acid (Reaction [5.41]): SO3 þ H2 O → H2 SO4

[5.41]

The various pathways that lead to the oxidation of SO2 are coupled by common products and reactants that can directly and indirectly influence the rates of reaction by other pathways. Figure 5.17 is a schematic of the reaction mechanism that may lead to the formation of ammonium sulfate in the exposure tool, the main elementary reactions of which are shown in Reactions [5.32] through [5.41]. Five notable facts about these pathways are summarized below. 1. Reaction [5.31] is the overall haze formation reaction, which is a neutralization reaction between the sulfuric acid and ammonia to form ammonium sulfate crystals. 2. The elementary reactions in the reaction mechanism represent the various reactions involved in the oxidation of SO2 to H2SO4 in three main reaction pathways: (i) direct oxidation of SO2, (ii) metal ioncatalyzed oxidation of SO2 to H2SO4, and (iii) photochemical oxidation of SO2 to H2SO4 by means of oxidants such as hydroxyl radical and ozone. Once formed, the H2SO4 will readily react with NH3 to form the ammonium sulfate haze crystals. All three of these reaction pathways are 125

ibid., p. 160.

Photochemistry and Radiation Chemistry in Lithography

Figure 5.17

225

Ammonium sulfate formation mechanism in ArF lithographic exposure tools.

operative in DUV (193 nm and 248 nm) exposure tools; the interplay between the reactants and the photons in these pathways, and ultimately with NH3, as well as the concentration of the reactants at each point in time, are responsible for the progressive growth nature of these haze crystals. 3. Reactions [5.32] and [5.33] comprise the pathways that involve direct gas phase oxidation of SO2 to H2SO4. 4. Reaction [5.34] comprises the pathway that involves the mask metallic component-catalyzed solution-phase oxidation of SO2 to H2SO4. This explains why we see these crystals only on the chrome side of binary masks or on the metallic structures of embedded masks, and not on the pellicle side. 5. Reactions [5.35] through [5.41] comprise the photochemical pathways for the oxidation of SO2 to H2SO4 mediated by hydroxyl radical and ozone, which are generated from the interaction of the exposure light with moisture and oxygen, respectively, in the exposure chamber. 5.8.2 Photoinduced contamination of EUV optics and reticles In the absence of an ultrahigh-vacuum environment within the exposure chamber of an EUV lithographic tool, surfaces of pristine optical elements and reticles contained therein are exposed to water vapor and hydrocarbons from resist-outgassed species. Some of these contaminants invariably settle and do get adsorbed onto the surfaces of these optical elements and reticles, while others remain airborne within the exposure chamber. Under EUV

226

Chapter 5

photon irradiation, the interaction of EUV photons with the surface-adsorbed water and hydrocarbons leads to distinct photochemical reactions, principally, carbon deposition and oxidation of the surfaces of EUV optics and reticles. Carbon film deposition and oxidation of optical surfaces and masks under EUV irradiation are the two main contamination failure modes of EUV lithography. Oxidation is thought to be the most severe of the two problems as it is difficult to remove.126 Understanding the scaling laws governing the growth of contamination layers on EUV optics is critical to obtaining an accurate assessment of the optics lifetime in each EUV exposure environment of residual gases and photon fields. Several studies have shown a nonlinear relationship between reflectivity loss and the incident EUV dose, and between reflectivity loss and the partial pressure of hydrocarbons or water;127 all of these make extrapolations to a realistic, high-volume manufacturing environment somewhat unreliable. It should be mentioned that the influence of these contaminants depends on their film characteristics, given that at EUV wavelength, optical properties strongly depend on the density of the films rather than on the chemical bonding structure. Because these contaminant films are often very thin, ranging in thickness from a fraction of a monolayer to a few nanometers, very few surface techniques and chemical techniques can accurately analyze them. Yet, they must be analyzed not only to determine the lifetime and mean time between cleaning of the given contaminated optic or reticle, but also to determine critical parameters in overall contamination process control regimens. Also, they must be analyzed to evaluate the effectiveness of a cleaning process once the contaminated optic or reticle is cleaned. But determining the overall lifetime of the optic or reticle is not a trivial undertaking, as lifetime is a complex function of capping-layer material, airborne and adsorbed molecular species in the ambient environment of the exposure chamber, and exposure energy.128 The very nature of the capping layer material on the contaminated optic or reticle introduces interferences

S. Bajt, “Optics Contamination,” Chapter 6A in EUV Lithography, V. Bakshi, Ed., SPIE Press, Bellingham, Washington, pp. 227–259 (2009). 127 S. B. Hill, N. S. Faradzhev, C. Tarrio, T. B. Lucatorto, T. E. Madey, B. V. Yakshinskiy, E. Loginova, and S. Yulin, “Accelerated lifetime metrology of EUV multilayer mirrors in hydrocarbon environments,” Proc. SPIE 6921, 692117 (2008). 128 S. Yulin, N. Benoit, T. Feigl, N. Kaiser, S. Hill, T. Lucartoto, F. Dollar, E. Gullikson, M. Fang, M. Chandhok, and M. Shell, “Mo/Si multilayers capped by TiO2,” presented at the 5th EUVL Symposium, Barcelona, 18 Oct. 2006; S. Bajt. H. N. Chapman, N. Nguyen, J. Alameda, J. C. Robinson, M. Malinowski, E. Gullikson, A. Aquila, C. Tarrio, and S. Grantham, “Design and performance of capping layers for EUV multilayer mirrors,” Proc. SPIE 5037, 236–248 (2003). 126

Photochemistry and Radiation Chemistry in Lithography

227

Figure 5.18 Schematic of a cross-section through the EUV molecular contaminationmonitoring reticle.

Figure 5.19 Layout of the EUV molecular contamination-monitoring (CM) reticle; H-V indicates horizontal and vertical orientations.

during analysis of the thin contaminant film on it, further complicating the analysis and characterization process. Figures 5.18 and 5.19 are schematics of the cross-sectional layout of a typical EUV molecular contamination monitoring reticle, showing surfaces that can be contaminated with carbon or oxidized upon EUV exposure. Figure 5.20 is a diagram of the optical pathway of the Exitech MS-13 micro-exposure tool at SEMATECH, along with photographs of photocontaminated normal- and grazing-incidence mirrors of the same tool when operated without active in situ cleaning. Figure 5.21 depicts Auger electron

228

Chapter 5

Figure 5.20 Diagram of the optical pathway of the Exitech MS-13 micro-exposure tool at SEMATECH, along with photographs of photo-contaminated normal- and grazing-incidence mirrors of the same tool when operated without active in situ cleaning: (A) contaminated normal-incidence mirror N1; (B) contaminated grazing-incidence mirror G1; (C) contaminated grazing-incidence mirror G2. (Diagram courtesy of Matt Malloy; photographs courtesy of Andrea Wuest.)

Figure 5.21 AES spectra obtained on EUV-radiation-exposed and -contaminated TaN absorber surface and Mo/Si reflective surface of an EUV reticle exposed on a microexposure tool without active in situ cleaning capability.

Photochemistry and Radiation Chemistry in Lithography

229

spectra129 (AES) obtained on the EUV radiation-exposed and contaminated capping layer of Mo/Si reflective bilayers and TaN absorber surfaces of an EUV reticle exposed on a micro-exposure tool without active in situ cleaning capability, showing the presence of the two main contaminants: carbon (identified from the peak at kinetic energy 273 eV corresponding to the carbon KLL Auger electrons130) and surface oxide (identified from the peak at kinetic energy 512 eV corresponding to the oxygen KLL Auger electrons). The peak at 91 eV (corresponding to Si LVV Auger electrons) and at 1620 eV (corresponding to the Si KLL Auger electrons) originate from the Mo/Si bilayer reflective surfaces; while those at 173–182 eV (corresponding to lowenergy Ta Auger electrons) and at 1737 eV (corresponding to low-energy Ta MNN Auger electrons) originate from the TaN absorber layer. The source of the carbon is believed to be from the EUV photoinduced cracking of adsorbed or ambient hydrocarbon in the exposure chamber and their subsequent deposition in and around the exposed field. The oxides originate from a similar EUV photoinduced dissociation of adsorbed water molecules or water vapor in the ambient of the exposure chamber into hydroxyl radicals, which subsequently oxidizes the surfaces in and around the exposed field. The oxides may also originate from physisorbed water on the capping layer of the Mo/Si reflective bilayer and TaN surfaces.131 129

Auger electron spectroscopy relies on the phenomenon in which an incident electron with sufficient primary energy Ep ionizes the core level, such as the K level. The vacancy thus created is immediately filled by another electron from another level, say L1. The energy difference (EK – EL1) released from this transition can be transferred to another electron, say in level L2, leading to its ejection from the atom as an Auger electron. The kinetic energy of the Auger electron is given by E ¼ EK – EL1 – EL2. The excitation process is denoted as a KL1L2 Auger transition. Characteristically, Auger processes involve at least two energy states and three electrons. Therefore, H and He atoms cannot give rise to Auger electrons. Several transitions (KL1L1, KL1L2, LM1M2, etc.) can occur with distinct transition probabilities. Auger electron energies are characteristic of the target material and are independent of the incident beam energy. 130 Auger electrons are by convention designated by x-ray notation as KLL, KL1L2,3, L2,3M2,3M4,5 or KVV, etc. The first letter designates the energy level (shell) of the core hole. The second letter designates the relaxing electron’s initial energy level. The third letter designates the Auger (emitted) electron’s initial energy level. For example, in the KL1L2,3 transition, K indicates the K-shell’s (1s orbital level) location of core hole, L1 indicates the L-shell’s (2s orbital level) origin of relaxing electron, and L2,3 indicates the L-shell’s (2p orbital level) location of the Auger electron, i.e., the electron that leaves the ion. Similarly, in the KVV transition, the K indicates the K shell’s (1s orbital level) location of core hole, V indicates the valence shell’s origin of the relaxing electron, and V indicates the valence shell’s initial location of the Auger electron. 131 U. Okoroanyanwu, A. Jiang, K. Dittmar, T. Fahr, T. Laursen, O. Wood, K. Cummings, C. Holfeld, J.-H. Peters, E. Gullikson, and B. La Fontaine, “Monitoring reticle molecular contamination in ASML EUV Alpha Demo Tool,” Proc. SPIE 7636, 76360H (2010); U. Okoroanyanwu, K. Dittmar, T. Fahr, T. Wallow, B. LaFontaine, O. Wood, C. Holfeld, K. Bubke, J.-H. Peters, and A. Jiang, “Analysis and characterization of contamination in EUV reticles,” Proc. SPIE 7636, 76361Y (2010).

230

Chapter 5

Figure 5.22 AES sputter depth profiles of C KLL and O KLL Auger electrons obtained on (left) an EUV radiation-exposed TaN absorber surface and (right) a Mo/Si reflective surface of an EUV reticle exposed on a micro-exposure tool without active in situ cleaning capability.

Shown in Fig. 5.22 are the AES sputter-depth profiles of C KLL Auger electrons (with 273-eV kinetic energy) and O KLL Auger electrons (with 512-eV kinetic energy) obtained on an EUV radiation-exposed TaN absorber surface (left plot) and the capping layer of a Mo/Si reflective surface (right plot) of an EUV reticle, exposed on a micro-exposure tool without active in situ cleaning capability. The thickness of the carbon films on the TaN absorber surface and Mo/Si reflective surface is roughly 2.5 nm and 5 nm, respectively. Although the reason for the difference in the carbon deposition thickness on the two surfaces is not clear and remains an object of further investigation, it could be related to the difference in the sticking coefficients of the hydrocarbons and carbon between these two surfaces. The profile of the O KLL Auger electrons, on the other hand, has an interesting shape that reflects the disposition of surface oxides, showing an initial increase in thickness in sputtering depth before experiencing a precipitous decline as the sputtering depth increases.132 The AES spectra obtained on absorber surfaces and the capping layer of contamination-monitoring (CM) reflective Mo/Si open fields in the exposed and unexposed areas of a reticle exposed on a full-field exposure tool with active in situ cleaning capability are shown in Figs. 5.23 and 5.24, respectively. The table inserts in these figures summarize the chemical composition in terms of atomic concentration (in %) of the elements detected on the various parts of the reticle surfaces analyzed. Specifically, in the absorber areas of the reticle, peaks corresponding to low-energy Ta Auger electrons (within the kinetic energy range of 173–183 eV), Ta MNN (with kinetic energy of 1732 eV), 132

ibid.

Photochemistry and Radiation Chemistry in Lithography

231

x 10 5

Ta

Auger electron counts/second

Exposed absorber O

10

Ta Ta

8

C Unexposed absorber

6

Ta 4 2

Atomic concentration of detected elements (%) C(1) N(1) O(1) 34.4 ± 3