Chaos in Circuits and Systems 9812705309, 9789812705303

In this volume, leading experts present current achievements in the forefront of research in the challenging field of ch

824 60 27MB

English Pages 656 [640]

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Chaos in Circuits and Systems
 9812705309, 9789812705303

Citation preview

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

CHAOS IN CIRCUITS AND SYSTEMS

WORLD SCIENTIFIC SERIES ON NONLINEAR SCIENCE

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

Editor: Leon O. Chua University of California, Berkeley Series B. Volume 1:

SPECIAL THEME ISSUES AND PROCEEDINGS Chua's Circuit: A Paradigm for Chaos Edited by R. N. Madan

Volume 2:

Complexity and Chaos Edited by N. B. Abraham, A. M. Albano, A. Passamante, P. E. Rapp, and R. Gilmore

Volume 3:

New Trends in Pattern Formation in Active Nonlinear Media Edited by V. Perez-Villar, V. Perez-Munuzuri, C. Perez Garcia, and V. /. Krinsky

Volume 4:

Chaos and Nonlinear Mechanics Edited by T. Kapitaniak and J. Brindley

Volume 5:

Fluid Physics — Lecture Notes of Summer Schools Edited by M. G. Velarde and C. I. Christov

Volume 6:

Dynamics of Nonlinear and Disordered Systems Edited by G. Martinez-Mekler and T. H. Seligman

Volume 7:

Chaos in Mesoscopic Systems Edited by H. A. Cerdeira and G. Casati

Volume 8:

Thirty Years After SharkovskiVs Theorem: New Perspectives Edited by L. Alseda, F. Balibrea, J. Llibre and M. Misiurewicz

Volume 9:

Discretely-Coupled Dynamical Systems Edited by V. Perez-Munuzuri, V. Perez-Villar, L. O. Chua and M. Markus

Volume 10:

Nonlinear Dynamics & Chaos Edited by S. Kim, R. P. Behringer, H.-T. Moon & Y. Kuramoto

QHimmn sonmE % '

s*tttB mi

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

Series Editor: Leon 0 . Chua

A ND SYSTEMS HA

int B80B8bt

JHOWM n n aov jflflPial n88SflS fffffff"* goofi S o n flog"**!

edited by

Guanrong Chen City University of Hong Kong, China

Tetsushi Ueta Tokushima university, Japan

World Scientific New Jersey * London • Singapore • Hong Kong

Published by World Scientific Publishing Co. Pte. Ltd. P O Box 128, Fairer Road, Singapore 912805 USA office: Suite IB, 1060 Main Street, River Edge, NJ 07661

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

UK office: 57 Shelton Street, Covent Garden, London WC2H 9HE

British Library Cataioguing-in-Publication Data A catalogue record for this book is available from the British Library.

CHAOS IN CIRCUITS AND SYSTEMS Copyright © 2002 by World Scientific Publishing Co. Pte. Ltd. All rights reserved. This book, or parts thereof, may not be reproduced in any form or by any means, electronic or mechanical, including photocopying, recording or any information storage and retrieval system now known or to be invented, without written permission from the Publisher.

For photocopying of material in this volume, please pay a copying fee through the Copyright Clearance Center, Inc., 222 Rosewood Drive, Danvers, MA 01923, USA. In this case permission to photocopy is not required from the publisher.

ISBN 981-02-4933-0

Printed in Singapore by Mainland Press

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

Preface Circuits, both linear and nonlinear, remain the core components of most electronic and mechatronic equipment and devices to date. As industrial electronics and mechatronics become mature, better functionality and reliability of these technologies require more intriguing use of nonlinear circuits. This calls for thorough investigation of dynamical characteristics and largest possible operating regimes of nonlinear circuits and systems. Of particular interest is the fundamental nonlinear circuit theory that is still in the evolving phase of its development today. In view of the exciting emergence of nano-technology and the attractive quantum-computing future, nonlinear circuits have become even more important and fundamental. The fact that chaos is ubiquitous in nonlinear circuits has been one of the major motivations for studying nonlinear circuit theory in recent years. A number of workshop and conference proceedings, research monographs and textbooks, special journal issues, and experimental results published previously were focused on analysis and characterization of chaotic phenomena in various nonlinear circuits. There were also many reports on chaos generation via circuit design, mostly performed on platforms of some hypothetical systems such as Chua's circuit. These studies were essential in laying a foundation for further development of both basic theory and engineering design of nonlinear circuits. Yet, the traditional trend of understanding and analyzing chaos has evolved into the new tasks of ordering and utilizing chaos over the past decade. A new research direction in the field of applied chaos technology not only includes controlling chaos, which means to weaken or completely suppress chaos when it is harmful, but also includes anti-control of chaos, known also as chaotification, which refers to enhancing existing chaos or purposely generating chaos when it is useful and beneficial. One has witnessed increasing interest not only in the traditional chaos analysis and chaos generation via circuitry but also in the new consideration of utilizing chaos in real physical systems. This shows that electronic engineers are really giving chaos more and more serious thought, and it is believed that there is a significant change in attitude of engineers of our generation toward this kind of engineering research. This book aims to bridge the gap between these two phases of development and also to open up some discussion of real applications where chaos can be put to technological use, including communication, power electronics design, and so on. Chaos, when under control, promises to have a major impact on many novel, time- and energy-critical applications, such as high-performance circuits and devices (e.g., delta-sigma modulators and power converters), liquid mixing, chemical reactions, biological systems (e.g., in the human brain, heart, and

V

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

VI

Preface

in perceptual processes), crisis management (e.g., in jet-engines and power networks), secure information processing (e.g., chaos-based encryption), and decision-making in critical events. This new and challenging research area has embraced both analog and digital technologies and has become a scientific interdiscipline, involving engineers in the fields of controls, systems, electronics, mechanics, and biomedicine, as well as applied mathematicians, theoretical and experimental physicists and, above all, circuit engineers and instrumentation specialists. This book is a collection of some state-of-the-art surveys, tutorials, and overview articles written by some experts in this area. It is our hope that this book can serve as an updated and handy reference for university professors, graduate students, laboratory researchers and industrial practitioners, as well as applied mathematicians and physicists who are interested in chaos in circuits and systems. Guanrong Chen, City University of Hong Kong Tetsushi Ueta, Tokushima University, Japan

Contents

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

1

Chaotic Oscillators - Design Principles E. Lindberg, K. Murali and A. Tamasevicius 1.1 Introduction and General Remarks 1.2 Amplifiers 1.3 Oscillators 1.4 Conclusions and a Question

1 2 4 4 18

2 Design Methodology for Autonomous Chaotic Oscillators A. S. Elwakil and M. P. Kennedy 2.1 Design Methodology 2.2 The Diode-inductor Composite 2.3 The FET-Capacitor Composite 2.4 Conclusions

23 25 27 38 47

3

A Design M e t h o d for Chaotic Circuits Using Two Oscillators 51 Y. Hosokawa and Y. Nishio 3.1 Introduction 52 3.2 The Circuit Model 52 3.3 Linearized Model 53 3.4 Verification 63 3.5 Conclusions 67

4

Chaotic Wandering in Simple Coupled Chaotic Circuits Y. Nishio 4.1 Introduction 4.2 Circuit Model 4.3 Four-Phase Quasi-Synchronization and Chaotic Wandering 4.4 Analysis of Chaotic Wandering 4.5 Conclusions

5

71

. .

Intermittent Chaos in Phase-Locked Loops T. Endo, A. Hasegawa and W. Ohno 5.1 Introduction 5.2 Local Intermittency of Pomeau and Manneville Type 5.3 Global Intermittency Called "Heteroclinic Tangency Crisis" . . 5.4 Intermittency from High-Dimensional Systems 5.5 Conclusions

72 73 76 79 89 91 92 93 94 101 107

vii

viii

6

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

7

Contents

Dynamical Chaos in Phase-Locked Loops V. Shalfeev and V. Matrosov 6.1 Introduction 6.2 Chaotic Regimes of PLL 6.3 Conclusion

111

A Chaotic Oscillator Based on Two-Port VCCS M. Kataoka and T. Saito 7.1 Introduction 7.2 The Chaotic Oscillator 7.3 System Dynamics 7.4 The Existence of Attractors 7.5 The Transistorized Circuit 7.6 Conclusions

131

112 114 128

132 132 138 139 142 143

8

A Generic Class of Chaotic and Hyperchaotic Circuits with Synchronization Methods 151 J. A. K. Suykens, M. E. Yalcm and J. Vandewalle 8.1 Introduction 152 8.2 n-Scroll Attractors from a Generalized Chua's Circuit 153 8.3 Families of Scroll Grid Attractors 154 8.4 Hyperchaotic Attractors 157 8.5 Lur'e Representations 161 8.6 Synchronization Methods 163 8.7 Conclusions 166

9

Some N e w Circuit Design for Chaos Generation K. S. Tang, K. F. Man, G. Q. Zhong and G. Chen 9.1 Introduction 9.2 Chua's Circuit 9.3 Modified Chua's Circuit 9.4 Chen's Attractor 9.5 Concluding Remarks

171 172 173 178 185 188

10 A Current Based VLSI Degree-Two Chaos Generator 191 L. Wang, Y. Jiang and R. Newcomb 10.1 Introduction 192 10.2 Degree-Two Chaos Generation System 192 10.3 Chaotic Nature of the System 196 10.4 Binary Hysteresis Design 200 10.5 VLSI Realization of Current Based Degree-Two Chaos Generator 204 10.6 Discussions on Initial Conditions 211

Contents

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

10.7 Simulation Results 10.8 Conclusions

ix 211 212

11 Stochastic Analysis of Electrical Circuits M. A. van Wyk and J. Ding 11.1 Introduction 11.2 Frobenius-Perron Operators 11.3 Existence of Invariant Densities 11.4 Computation of Invariant Densities 11.5 Stochastic Behavior of Electrical Circuits 11.6 Conclusions

215

12 Chaotic Neuro-Computer Y. Horio and K. Aihara 12.1 Introduction 12.2 Chaotic Neural Network Model 12.3 SC Circuit Implementation of the Chaotic Neuron Model 12.4 A Large-Scale Chaotic Neuro-Computer 12.5 Conclusions

237

216 216 218 219 225 234

238 239 . . . 241 244 252

13 Complex Dynamical Behavior in Nearly Symmetric Standard Cellular Neural Networks 257 M. Forti and A. Tesi 13.1 Introduction 258 13.2 Neural Network Model and Problem Formulation 259 13.3 Limit Cycles in Standard PWL CNNs 261 13.4 Limit Cycles in Sigmoidal CNNs 266 13.5 Complex Dynamics in Standard CNNs 272 13.6 Conclusions 274 14 Chaos in a Pulse-type Hardware Neuron Model K. Saeki, Y. Sekine and K. Aihara 14.1 Introduction 14.2 Chaos in a Pulse-type Hardware Neuron Model 14.3 The Transmission Characteristics of Chaotic Signals 14.4 Conclusions

277 278 279 288 293

15 Bifurcations in Synaptically Coupled Bonhoffer-van der Pol Neurons 297 K. Tsumoto, T. Yoshinaga and H. Kawakami 15.1 Introduction 298 15.2 Coupled BVP Equations 298

x

Contents

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

15.3 Analytical Methods 15.4 Analytical Results 15.5 Concluding Remarks 16 Chaos in Power Electronics: An Overview M. di Bernardo and C. K. Tse 16.1 Introduction 16.2 Power Electronics Circuits: A Brief Overview 16.3 Conventional Treatments 16.4 Bifurcations and Chaos in Power Electronics 16.5 A Survey of Research Findings 16.6 Modelling Strategies 16.7 Analysis and Classification of Non-smooth Bifurcations 16.8 Current Status and Future Work

299 303 314 317 318 319 322 323 324 327 332 336

17 Use of Chaotic Switching for Harmonic Power Redistribution in Power Converters 341 H. S. H. Chung, S. Y. R. Hui and K. K. Tse 17.1 Introduction 342 17.2 Chua's Circuit Revisit 343 17.3 Amplitude Distribution and the Power Spectral Density with Chaotic Signal 348 17.4 Mathematical Analysis 351 17.5 Studying of the PSD with Chaotic Switching 355 17.6 Experimental Verifications 356 17.7 Conclusions 359 18 Experimental Techniques for Investigating Chaos in Electronics 367 C. K. Tse 18.1 Introduction 368 18.2 Overview of Simulation Study and Verification 368 18.3 Experimental Investigation 369 18.4 Displaying Time-domain Waveforms, Attractors and Spectra . 370 18.5 Displaying Poincare Sections 373 18.6 Displaying Bifurcation Diagrams 378 18.7 Conclusions 383 19 Nonlinear Dynamical Systems with Interrupted Characteristics: Bifurcation and Control 385 T. Kousaka, T. Ueta and H. Kawakami 19.1 Introduction 386

Contents

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

19.2 19.3 19.4 19.5 19.6

xi

Circuit Equation and Switching Action Bifurcation Analysis Controlling Chaos The Alpazur Oscillator Conclusions

387 390 392 394 398

20 Controller Synthesis for Periodically Forced Chaotic Systems 403 M. Basso, R. Genesio and L. Giovanardi 20.1 Introduction 404 20.2 Problem Formulation and Preliminary Results 405 20.3 Controller Synthesis 411 20.4 Application Examples 414 20.5 Conclusions 419 21 Mechanism for Taming Chaos by Weak Harmonic Perturbations 423 N. Inaba 21.1 Introduction 424 21.2 Circuit Setup 424 21.3 Analysis by the Use of a Constrained Equation 431 21.4 Derivation of Poincare Map 432 21.5 Conclusions 440 22 Correlator-Based Chaotic Communications: Attainable Noise and Multipath Performance 443 Geza Kolumban and M. P. Kennedy 22.1 Introduction 445 22.2 Chaotic Modulation and Demodulation 446 22.3 The Estimation Problem 449 22.4 Receiver Model 453 22.5 CSK with One Basis Function 454 22.6 CSK with Two Basis Functions 460 22.7 Comparison of Noise Performance and Feasibility of Chaotic Systems 469 22.8 Multipath Performance 471 22.9 Conclusions 478 23 Using Nonlinear Dynamics and Chaos to Solve Signal Processing Tasks 487 M. Ogorzalek 23.1 Introduction 488 23.2 Relation with Shadowing and Noise Reduction 490

xii

Contents

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

23.3 23.4 23.5 23.6 23.7

Continuous-Time Approach Discrete-time Approach Trade-offs in Trajectory Reconstruction Processing of Signals When no Model of Dynamics is Known Conclusions

.

491 495 497 501 503

24 Chaos Synchronization in a Noisy Environment Using Kalman Filters 509 T. Schimming and O. De Feo 24.1 Introduction 510 24.2 System Class 511 24.3 Kalman Filtering 513 24.4 Filtering Lur'e Systems 516 24.5 Application 519 24.6 Conclusions 524 25 Identification of a Parametrized Family of Chaotic Dynamics from Time Series 529 I. Tokuda and R. Tokunaga 25.1 Introduction 530 25.2 Reconstructing a Parametrized Family of Chaotic Dynamics . . 532 25.3 Numerical Experiment on the Rossler Equations 538 25.4 Recognizing Chaotic Time Series 542 25.5 Discussions and Conclusions 543 26 Cipher-Quasi-Chaotic Sequence with Application to Spreading Spectrum Communication Systems 547 J. B. Yu 26.1 Introduction 548 26.2 CSS Sequence Optimization 550 26.3 BER and FER Performance Comparison: LCSS/CDMA vs QCDMA 556 26.4 Cipher Quasi-Chaotic Sequences 559 26.5 A Chaotic Interleaver Used in Turbo Coding 567 26.6 Concluding Remarks 571 27 Image Processing in Tunneling Phase Logic Cellular Nonlinear Networks 577 T. Yang, R. A. Kiehl and L. O. Chua 27.1 Introduction 578 27.2 Deterministic Model of Isolated Tunneling Phase Logic Element 579 27.3 One-Dimensional TPL-CNN 581

Contents

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by 80.82.77.83 on 04/04/17. For personal use only.

27.4 Two-Dimensional TPL-CNN 27.5 Image Processing Abilities 27.6 Concluding Remarks

xiii

583 586 590

28 Numerical Approaches to Bifurcation Analysis T. Ueta and H. Kawakami 28.1 Introduction 28.2 Poincare Map 28.3 Computation of Bifurcation Parameter Values 28.4 Simulation Examples 28.5 An Application- Isocline with the argument 28.6 Comparison with Conventional Methods 28.7 Conclusions

593

29 Chaos in One-Dimensional Maps M. A. van Wyk and W.-H. Steeb 29.1 Introduction 29.2 One-Dimensional Maps 29.3 Orbits and Their Properties 29.4 Hyperbolicity 29.5 Stability 29.6 Topological Conjugacy 29.7 Chaos 29.8 Variational Equation and Lyapunov Exponent 29.9 Invariant Density and Ergodic Theorem 29.10Conclusions

611

Index

639

594 595 598 603 607 608 609

612 612 613 619 621 622 624 627 629 636

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

_L Chaotic Oscillators - Design Principles

Erik Lindberg 1 ,

K. Murali 2

and

Arunas Tamasevicius 3

1

0rsted • DTU, 348 Technical University of Denmark DK-2800, Lyngby, Denmark [email protected] 2

Department of Physics, Anna University Chennai - 600 025, India [email protected], [email protected] 3

Semiconductor Physics Institute - SPI A. Gostauto 11, LT 2600 Vilnius, Lithuania tamasev@uj .pfi.lt

Abstract An introduction to the design of chaotic oscillators is presented from an electrical engineering point of view. Oscillators are amplifiers with unstable bias points. The basic design principle behind chaotic oscillators is the connection of two electronic circuits which are not in harmony. A number of configurations which may serve as the physical mechanisms behind chaotic behavior are listed. The behavior of an oscillator is explained by means of eigenvalue studies of the linearized Jacobian of the differential equations for the mathematical model of the oscillator. The basic design principle is demonstrated by means of different simple examples.

2

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

1.1

Chaotic Oscillators - Design Principles

Introduction and General R e m a r k s

Radio amateurs and electronic engineers have observed chaotic performance of electronic circuits since the invention of the triode amplifier by Lee de Forest in 1906. The phenomena observed were called noise, nonlinear distortion, parasitic oscillations, intermittent operation or asynchronous heteroperiodic excitation. It was considered unwanted and impossible to investigate analytically. Edwin H. Armstrong (1890-1954) invented the regenerative circuit for HF oscillations in 1912 (superheterodyne 1918, FM 1937). He possibly observed chaos [1,2]. Balthasar van der Pol (1889-1959) reports about chaos as "an irregular noise" [3-6]. Today (year 2001) we are able to investigate the phenomena by means of computer simulation. We are interested in chaos for two reasons: we want to avoid chaos and/or we want to make use of chaos. In both cases it is necessary to study chaos in order to understand and master the phenomena. Unfortunately we still need analytical methods for the investigation of nonlinear systems in details. All our analytical design methods are based on linear approximations. Sinusoidal oscillators are normally considered second order systems. Many topologies have been proposed for sinusoidal oscillators (Colpitts, Clapp, Hartley, Pierce etc.). The design of an oscillator is normally based on the Barkhausen criteria [7] according to which an oscillator is looked upon as an ideal finite gain amplifier with a linear frequency determining feed-back circuit (Fig. 1.5). If the poles of the whole linear circuit are placed on the imaginary axis in the complex frequency plane (s-plane) we have an ideal oscillator. In order to startup the oscillator some component values are tuned so that the complex pole pair of the circuit is placed in the right half plane (RHP) making the circuit unstable. It is then hoped that the nonlinearities of the amplifier will give rise to a limitation of the signals so that stable oscillations may occur. Possible distortion is smoothed by means of filters. Very little is reported about the mechanism behind the observed stable oscillations. Some authors even claim that the complex pole pair "is brought back to the imaginary axis by the nonlinearities" which of course is nonsense. In short, an oscillator is an amplifier circuit with an unstable DC bias point. Very seldom it is discussed how far out in RHP the poles should be placed in order to optimize the oscillator e.g. with respect to distortion. Due to parasitic memory components, the order of a real oscillator is larger than two i.e. all oscillators are potentially chaotic. If oscillators are coupled in some way so that energy could be exchanged they try to synchronize (Fig. 1.1). Even chaotic oscillators try to synchronize. This phenomena is observed everywhere in nature. If you consider a bee, a fish, a bird or a dolphin being a high order chaotic oscillator you may observe how a flock of bees, fish, birds or dolphins may behave as one body. One single orange butterfly is not able to cross the channel from France to England

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

Introduction

and General

Remarks

3

but a " cloud" of thousands of orange butterflies is able to cross. Possibly the first observation of synchronization of man-made oscillators was done by C. Huygens (1629-1695) who invented the pendulum clock in 1656. He reports about synchronous time-keeping of two clocks hung on the same wall [3]. The concept of synchronization might be the base for making use of chaos.

"Two Oscillators"

with a non-linear coupling

may give rise to chaos

butforproper parameter choice

mmT

they may synchronise

FIGURE 1.1 "COUPLING OF TWO OSCILLATORS" Dansk Standard, Kollegievej 6, DK - 2920 (Aknowledgement: Fig. 1.1 is copied and modified with permission from Dansk Standard, Kollegievej 6, DK - 2920 Charlottenlund, Denmark. http://www.ds.dk/). Within the last 30 years we have been able to study the nonlinear distortion phenomena by means of computer simulation and to some extent by means of analytical investigation. The concept of chaotic oscillators has been defined by means of a large number of examples. Very little has been reported concerning classification of chaotic oscillators or procedures for design of chaotic oscillators with prescribed attributes. Amplifiers create power gain (from weak or small signals to strong or large signals). Amplifiers are considered linear circuits having a DC bias point in the left half plane (LHP) of the complex frequency plane. Oscillators create sine waves as carriers of signals (Radio, TV) or square waves as clock control in digital systems. Oscillators are considered nonlinear circuits having a DC bias point in RHP. Chaotic oscillators are deterministic systems of order higher than two which apparently behave in a stochastic manner. The behavior of a chaotic system is

Chaotic Oscillators - Design Principles

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

4

extremely sensitive to initial conditions and to variations in component values. In the following we will study amplifiers, oscillators and chaotic oscillators. Only circuits with nonlinear resistors or nonlinear gain are investigated i.e. coils and capacitors are assumed to be ideal linear. Our aim is that any electronic engineer should be given the possibility to create chaotic performance in connection with any oscillator.

1.2

Amplifiers

Electronics was born in 1883 when T. A. Edison installed a small metal plate near the filament in one of his incandescent lamp bulbs. He applied a current to heat the filament and noticed that a galvanometer connected between the filament and the plate showed a current that flowed when the plate was at a positive potential with respect to the filament. At that time nothing was known of electrons and the phenomena was referred to as the Edison effect. J. A. Fleming conducted experiments on the Edison effect from 1896 to 1901. He used the unidirectional flow of current between the cold plate and the hot filament in his "Fleming Valve" or the vacuum tube diode as it is known today. In 1906 Lee de Forest introduced the grid between the filament and the plate so that the flow of electrons could be controlled. This type of tube became known as the triode. In its semiconductor version, the transistor (J. Bardeen and W. H. Brattain 1948, W. Shockley 1949), it is the basic element of electronic amplifiers. Also the tube diode has been replaced or supplemented with the semiconductor diode dating back to the point-contact diodes of the "crystal" radio. Today we are able to produce large integrated circuits (SoC, Systems on Chips) which are able to perform almost any kind of signal processing. The operational amplifier is a simple integrated circuit which may be used as an almost ideal device for signal amplification.

1.3

Oscillators

An oscillator is a circuit which for constant input signal (DC battery) produce an oscillating output signal (a steady state time varying signal). An old rule of thumb says that if you want to design an oscillator try to design an amplifier instead and if you want to design an amplifier try to design an oscillator (Murphy's Law). The ideal mathematical linear harmonic oscillator may be realized in principle as an electronic circuit by means of a coil L and a capacitor C coupled in

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

Oscillators

5

parallel (or in series). With the initial conditions: a charge on the capacitor and no current in the coil the voltage of the capacitor will be a cosine and the current of the coil a sine function of time with constant amplitudes. The eigenvalues of the Jacobian of the two coupled first order differential equations of the coil and the capacitor are on the imaginary axis (no losses) and the oscillator may start up with any initial condition with no transition to the steady state. This is of course mathematical fiction. It is impossible to realize in the real world an oscillator having the poles on the imaginary axis for all times. It is an impossible act of balance to fix the poles on the imaginary axis. Real oscillators must rely on nonlinearities.

Rs

±c

LIGP

F I G U R E 1.2 A damped linear oscillator.

In the real world coils and capacitors are always connected with loss mechanisms which as a first simple model may be inserted as a resistor Rs in series with the coil and a conductor Gp in parallel with the capacitor as shown in Fig. 1.2. If you introduce a negative conductance in parallel with Gp you may compensate the losses and make the coefficient to s zero or negative so that the poles are on the imaginary axis or in RHP. The characteristic polynomial of the linear differential equations describing the circuit becomes s2 + 2a s + u>£ = 0 , where ,'R3

2a=i

T

+

Gp

-c

and W

2 _ 1 + R3Gp ° LC

Chaotic Oscillators - Design Principles

6

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

The poles or the natural frequencies of the circuit - the eigenvalues of the Jacobian of the differential equations - are the roots of the characteristic polynomial.

= Pi,2 =

2

-- f a t ±± jj yywUJI o ' - -a ^a == -a

± j u .

With certain initial conditions: flux in connection with the coil and charge in connection with the capacitor, damped voltages and currents may be observed. The damping of the signals is given by the factor e~at . If to2 > a2 the poles are complex and the signals become damped sine and cosine functions of the time A x e~a t x sin(wi + ip). In order to obtain undamped signals - steady state signals - we must introduce an energy source (battery) and some kind of electronic component (transistor, operational amplifier) which may amplify signals.

F I G U R E 1.3 An amplifier with positive and negative feed-back.

Figure 1.3 shows a general linear amplifier with positive and negative feedback. The input impedance of the amplifier is assumed infinite and the gain of the amplifier is assumed constant, i.e. V3 = A (Vi — V2). If we observe time varying signals for zero input signal Vin = 0 we have an oscillator. If the poles of the linearized circuit are in the left half plane (LHP) of the complex frequency plane the signals are damped. If the poles are in RHP the signals are undamped. Only if the poles are on the imaginary axis the signals are steady state signals. This is of course impossible in a real world circuit. The ideal harmonic oscillator may be started with any initial condition and keep its amplitude and frequency constant. If we want to build an oscillator we must introduce an amplifier with nonlinear gain so that for small signals the poles of the linearized circuit are in RHP and for large signals the poles are in LHP. In this case we may obtain steady state behavior based on balance between energy we obtain from the battery when the poles are in RHP and energy we loose when the poles are in LHP.

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

Oscillators

7

In other words an oscillator is a feed-back amplifier with an unstable DC bias point. Due to the nonlinear components the linearized small signal model corresponding to the instant bias point will vary with time. The dominating behavior of the circuit is based on the instant placement of the poles of the linearized model. If the poles are in RHP the signals will increase in amplitude. If the poles are in LHP the signals will diminish in amplitude. Seen from the source Vin the load is

z

=z°+

Zc

(£ + £[l+lj) •

If we introduce memory elements - capacitors, coils, hysteresis - in the four impedances various types of oscillators may be obtained [9]. If we replace the impedances Z A , ZB and Zc with resistors RA, Rg and Re and introduce an operational amplifier with large gain A for small input signals and zero gain for large input signals the admittance Y in parallel with ZQ becomes =

/ J _ \ (RA + RB(1 + A)\ \RCJ\RB

+ RA(1-A)J

'

which for A = 0 gives

Y = J-

Rc ' and for A very large (positive or negative) gives R B

Y =

RARC

'

It is seen that for small signals we have a negative conductance in parallel with ZQ and for large signals we have a positive conductance. Here the feed-back is simplified to voltage division. In the general voltage feed-back case a three terminal two-port may be used and the impedances used here become two of the three impedances in the n-equivalent of the two-port. Similar investigations may be used for the three other kinds of feed-back and for other kinds of amplifiers. 1.3.1

Negative resistance oscillator

If we replace ZD with a passive LC circuit with losses we have a negative resistance oscillator, Fig. 1.4. If the circuit is redrawn as shown in Fig. 1.5 it is obvious that the placement of the complex pole pair on the imaginary axis

Chaotic Oscillators - Design Principles

8

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

RC

FIGURE 1.4 A negative resistance oscillator, Rp =



RARC/RB-

FIGURE 1.5 A negative resistance oscillator, "Barkhausen topology".

by means of a negative resistor is equivalent to the Barkhausen criteria: loop gain = 1 and loop phase shift = 0 (or a multiple of 27r). Prom the expression 2 a = ( 4 * + -£f) = 0 , we may calculate the value of the negative resistor needed for placing the poles on the imaginary axis. 1 ~ G For a 10kHz oscillator we may choose LD = 256mH from which CD = Lp(2 1 7f/)2 = 989.4646837pF. If we choose RD = 14.8ft (the measured series resistance of the coil) the value of the negative resistor becomes R„ 17.48147011Mfi (poles: +7.3n ± j 62.832k). For Rp = - 100MJ2 the poles become -23.853 ± j 62.832k i.e. LHP. For Rp = -lOOkfi the poles become +5.024k ± j 62.626k i.e. RHP (/ = 9.97kHz, Q — —6.3). If we now set Rp — — lOOkfi and choose Re = RB we may calculate RA = + lOOkfi assuming infinite gain of the op amp. CD is set to l n F and the poles become +4.971k ± j 62.297k.

Rr, = -=r = ~ Ur-

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

Oscillators

9

We may now vary the gain A from plus infinite via zero to minus infinite and calculate the trajectory of the complex pole pair ( [10] and [11] page 434). Please note that for infinite gain the concept of positive or negative feed-back has no meaning due to the virtual short-circuit of the input terminals of the op amp. Gain A +10+ 12 +10.000000 +2.3481

alpha ±j +4.971093k +7.471093k +62.42584k

omega 62.29735k 62.04486k 1.429039k

For large positive gain A the complex pole pair is +4.971k ± j 62.297k. For A = +2.3480 the complex pole pair goes to the real axis and split up into two real poles. One pole goes direction plus infinite and one pole goes direction zero. Gain A +2.3480 +2.05 +2.0005921 +2.0005920 +2.000001 +2.000000 +1.999999 +1.75 +1.703831

alpha +62.58839k +805.148k +67.56615M +67.57758M +40.00001G CO

-39.99999G -0.116434M -62.67297k

alpha +62.29631k +4.793427k +0.0012077 -0.0085549 -57.714843 -57.812500 -57.910156 -33.62341k -62.44286k

For A = 2 we have a real pole at —57.8125 and the other real pole is infinite. Please note that "infinite" and "zero" in a sense is the same "number". The two poles now go together for A = 1.703831 and leaves the axis as a complex pole pair with decreasing real part and increasing imaginary part. Gain A +1.703830 +1.5 +1.0000000 +0.5 +l/i

+10" 24 +0

alpha ± j -62.55769k -35.02890k -15.02890k -8.362239k -5.028911k -5.028906k -1.695572k

omega 0.123544k 51.80031k 60.68044k 61.94583k 62.30199k 62.30199k 62.47853k

For A = 0 the pole pair is -1.695572k ± j 62.47853k. It is seen that for positive gain (A > 0) the mechanism behind the negative resistance oscillator is relaxation for the component values chosen.

Chaotic Oscillators - Design Principles

10

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

For negative values of the gain the complex pole pair moves further from LHP to RHP. For A = — 2.0232555 the complex pole pair passes the imaginary axis. For negative gain (A < 0) the poles moves smoothly across the imaginary axis as it e.g. is seen for the Colpitts oscillator [12]. Gain A

-io-M -1/x -0.5000000 -1.0000000 -2.0000000 -2.0230000 -2.0232550 -2.0232600 -2.10 -2.5 -10.0 -Ik _10+i2

alpha ± j -5.028906k -5.028901k -3.028906k -1.695572k -28.906250 -0.3206173 -5.521610m +0.656338m +93.044969 +526.64930 +3.304427k +4.951133k +4.971093k

omega 62.30199k 62.30199k 62.42934k 62.47853k 62.49999k 62.49997k 62.49997k 62.49997k 62.49981k 62.49726k 62.40949k 62.29896k 62.29735k

The behavior for positive and negative values of the gain A has been verified by means of PSpice simulation with RC4136 op amp by monitoring the current in the negative resistance. For positive (negative) A relaxation (sinusoidal) oscillations were observed (RA = RB = 180fi, RQ = 180kfi) [13]. 1.3.2

Common multivibrator

If we replace Zv with a capacitor Cry = 0.5/xF and the resistors with RA = lkfi, RB = lkO and Re = 2kf2 we have a common multivibrator with real poles moving back and forth between RHP and LHP. The hysteresis is the second "memory component" needed for oscillations. Figure 1.6 shows the voltages as functions of time using a RC4136 operational amplifier. Figure 1.7 shows the resistance Rp = V2/(—I(CD)) as function of time. The time constant becomes r = RC = — ((RARC)/RB)CD = — 1ms for A very large and r = ReCr) = 1ms for A = 0. This is in agreement with Fig. 1.6.

1.3.3

W i e n bridge oscillator

If we replace ZA with RA in parallel with CA, ZB with RB in series with CB, ZC with RQ and Zp with RD we have a Wien bridge oscillator. Please note that the frequency determining components are placed in the positive feed-back

Oscillators

11

^:::p:: —r\'i**T--

^i!::::::

::hi^

rfv^::;::: ^ : : : : : ---i---:--J-j^>' f?*:!::**

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

D U(1)-u(2)

::i::£--±::Sr™j::j:::

^m\kkksm 6ns

4NS

P^^

1MS

I K

F I G U R E 1.6 Common multivibrator, PSpice analysis, V(l,2) and V(3) as functions of time.

!

•'

..j...j..

" J

U ;-} | .

k

S

2.M

A

U(2

i-

k! \

s.

.. ; !

N

I

6tt 5

H

fs,4-

X

H-N

. ; „ .

KS

I

N

vi

---

',

1

tins

/(-l(cd)>

F I G U R E 1.7 Common multvibrator, PSpice analysis, resistance Rp = V(2)/(—I(CD) function of time.

, as

path. The coefficients of the characteristic polynomial become 2 a

1 CARA

Re

1

+ CBRB

CARBRD

and 1 CACBRARB

The resistor Re is crucial for the sign of the loss coefficient 2 a. If Re is amended with a large resistor in series with a nonlinear element made from two diodes in antiparallel as shown in Fig. 1.8 you have a mechanism for controlling the movement of the poles between RHP and LHP so you can avoid making use of the nonlinear gain. In this way you may control both frequency and amplitude of the oscillator.

Chaotic Oscillators - Design Principles

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

12

FIGURE 1.8 Wien Bridge Oscillator. 1.3.4

M e c h a n i s m s b e h i n d chaos

All electrical and electronic engineers know that you should not try to abruptly interrupt the current in a coil or short circuit the voltage of a capacitor. If you try to do this you may expect nasty behavior of your circuit. Apparently the kernel of all chaotic oscillators is an oscillator of some kind [14]. If you disturb the performance of the unstable amplifier by adding some nasty circuit composite with local activity [15,16], you may create chaos. Chaos sets in when the circuit drifts out of synchronization, i.e. if you couple two circuits which are not in harmony you may create chaos. If you take a look at the many proposed implementations of chaotic oscillators [14,17-19], you will find that most of them may be classified according to the following list of "mechanisms": A: By means of an "ideal switch" do the following: 1. Interrupt the current in a coil. This is a well-known nasty operation in electrical circuits which may give rise to sparks and radiation of energy. 2. Short-circuit a capacitor with charge. Note that this well-known nasty operation is the dual of Al above. 3. Connect in parallel two capacitors having different voltages. It is a nasty operation to distribute the charge between the two capacitors in no time. 4. Connect in series two coils having different currents. Note that this wellknown nasty operation is the dual of A3 above. B: Introducing a circuit composite of a nonlinear conductive component with a variable negative slope characteristic in parallel with a capacitor may create a small signal Thevenin/Norton current source which try to charge the capacitor in a kind of "switching mode", Chua's Diode [20,21]. This mechanism seems

Oscillators

13

to be behind all the "Double Scroll" [22] and "Multi Scroll" oscillators [23-25].

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

C: Introducing some kind of hysteresis may give rise to chaos [26]. D: Implementation of an analogue computer model for a set of differential equations with chaotic performance e.g. the Lorenz equations [27,28]. E: Other implementations e.g. based on nonlinear coils and/or capacitors. 1.3.5

Chaotic oscillator, Example 1

vosc

F I G U R E 1.9 A linear coupling of an oscillator and a transistor. VDC = 12V, R12 = lMft, C 20 = 680pF, C34 = 4.7nF, R45 = lkfi, QNPN = BC1Q7, VOSC = (10kHz, 12V).

Figure 1.9 shows a coupling of an oscillator and a transistor [29]. It is an example of coupling of two electronic circuits which are not in harmony. If the oscillator Vosc is short-circuited the source VDC will charge the circuit as shown on Fig. 1.10. If the source VDC is short-circuited the oscillator Vosc will charge the circuit as shown on Fig. 1.11. Chaotic performance is seen on Fig. 1.12. The transistor operates as a local activity making it difficult for the oscillator Vosc to charge the capacitor C20. The ideal oscillator Vosc may of course be replaced with a complete oscillator circuit making the circuit autonomous with two independent DC sources as energy input. It is of course also possible to delete VDC and connect Rn between node 2 and node 3 instead. For this case typical chaotic attractors observed through PSpice simulations and experimental circuitry measurements are shown in Fig. 1.13 and Fig. 1.14 respectively. 1.3.6

Chaotic oscillator, Example 2

Figure 1.15 shows a coupling of two negative resistance oscillators with an irrational frequency ratio, i.e. the two circuits are not in harmony.

Chaotic Oscillators - Design Principles

14

^--'-;3::-:-::j::3:::i:-f--I--j-----[--V-1-h:iE:3J~£H^i::3;:;S::E:;H:- = = =2: = i i = 3 = = S : = SEl>>fc:;i^:;^:;;i:"j::d:::c;:t::i:::

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

"mN

o «(3.*)

£EEEEE;EE3E;EEEE

eu

EEEcEEiEE3EE3EEE

FIGURE 1.10 Voltages of C20 and C34 in Fig. 1.9, VOSC = 0.

1IAH

I

~T^~~Jr

j'

1

L

1

'

o u(3,4)

SEL»

FIGURE 1.11 Voltages of C20 and C34 in Fig. 1.9, VDC = 0.

•^m*ww^ www$

AM,:

o U(3,»)

-2.SUSEL»

i/p^f " - - . - — T- —;-—1 — '

FIGURE 1.12 Voltages of C20 and C34 in Fig. 1.9, VDC = 12V, VOSC = (10kHz, 12V), chaos is observed.

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

Oscillators

15

F I G U R E 1.13 PSpice simulation, x-axis: VOSC = V(5), y-axis: V(Csso) = V{2) of Pig. 1.9 where VDC is deleted and flx2 »s connected between node 2 and node 3. Component values: fl23 = 994kO, C 20 = l.lOnF, Q N P N = 2N2222A, C34 = 4.7nP, Rm = IkO

F I G U R E 1.14 Measured chaotic attractor, x-axis: VOSC = V(5), y-axis: VfC'go) = V(2) of Pig. 1.9 where VDC is deleted and R12 is connected between node 2 and node 3. Scale: x-axis = 2V, y-axis: 0.5V. Component values: B23 = 994kfl, C72o = l.lOnF, Q N P N = 2N2222A, C34 = 4.7BF, RiB - IkO

Chaotic Oscillators - Design Principles

16

L

A HI Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

3 =

D12 r\ 2

R2 A

D21 =

C1

AHNI

HN2

j LI

ft

C2

=

=j L2 :

JL FIGURE 1.15 A passive nonlinear coupling of two negative resistance oscillators. Cl = 2nF, LI = 126.6514795mH, / i = WI/(2TT) = 10kHz, CI = InF, LI •• 25.66495562mH, / 2 = * 7 i , RN1 = -lOkfi, i?AT2 = - 1 5 0 k O

The series connection of [two diodes in anti-parallel] and [one negative resistance oscillator] is a nasty nonlinear composite with local activity seen from the other oscillator. For small signals (voltage across the diodes) the oscillators operate independently. For large signals the capacitors of the two oscillators are coupled in parallel and the time constants of the circuit changes dramatically. The diode series resistance will damp the oscillations and maybe an extra resistance in series will be necessary. The crucial point in the design is how far out in RHP the unstable bias point should be placed.

FIGURE 1.16 Passive nonlinear coupling of two ideal negative resistance oscillators. V(2) as function of V ( l ) .

Figure 1.16 depict the chaotic attractor obtained through PSpice analysis for a coupling of two ideal negative resistance oscillators (i?l = R2 — 0). The circuit is started up with an initial voltage on capacitor Cl. The oscillations seem to be hyperchaotic ("two fighting strange attractors"). The initial charge of Cl determines the amplitude of the oscillations. If the initial charge is too large the circuit becomes unstable (amplitude goes to infinite). The two

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

Oscill&tors

17

negative resistances RN1 and RN2 were found by means of PSpice experiments. The model used for the diodes was: .MODEL DD D (IS=1E-15 RS=1). When one of the diodes is ON we have 2 real poles in LHP: —1.5G and —6.57 and a complex pole pair in RHP: +17.8k ± j 123.7k. As expected we observe a very large negative real pole [10]. For small signals the resistance of the diode composite is very large and we have two complex pole pairs in RHP: +25k ± j 57.6k and +3.33k ± j 197k. 01

X

0

—n—

3

JjRLI 110

RS 22 RA

s sC1

I

l^**.

4

D

4

= S C2

4

1

|L1

1

—CD

'

F I G U R E 1.17 Active nonlinear coupling of two passive oscillators. RL1 = 14.8ft, LI = 256mH, C I = 220nP, RL2 = 7.2SI, L2 = 84mH, C2 = 68nP, RS = 1SS.80, RA = 85.00, E B = 80.70, R N = 1901ft, D l = 1N4148, OpAmp = LM301AJ

F I G U R E 1.18 Measured chaotic attraetor. x-axis: V ( l ) , y-axis: V(2), Scale: x-unlt =s I V , y-untt = 2V. Circuit: Fig. 1.17.

1.8.7

C h a o t i c oscillator, E x a m p l e 3

Instead of a hyperchaotic oscillator based on nonlinear passive coupling of two active oscillators an oscillator based on nonlinear active coupling of two damped RLC oscillators was made [30].

Chaotic Oscillators - Design Principles

18

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

The coupling circuit was made from [a resistor] in series with [a negative resistor in parallel with a diode] as shown in Fig. 1.17. When the diode short circuit the negative resistor the mechanism with a large negative real pole is observed. Figure 1.18 shows the measured chaotic attractor.

1.4

Conclusions and a Question

Apparently a chaotic oscillator may be designed as follows: 1. Design an oscillator with a frequency and an amplitude adequate for your application. 2. Introduce an active nonlinear circuit composite which is not in harmony with your oscillator (i.e. the oscillator will try to synchronize with the composite and the composite will try to violate the synchronization). 3. Adjust component values so that possible limit cycle behavior is changed into chaotic behavior. Understanding the behavior of non chaotic oscillators is the base for the design of chaotic oscillators. We have investigated the design of oscillators from a simple electronic engineering point of view. An oscillator is just an amplifier with an unstable DC bias point. The mechanism behind the oscillations is explained by means of eigenvalue investigation of the linearized Jacobian of the nonlinear differential equations describing a model for the circuit. The concept of chaotic oscillators is investigated and a list of mechanisms behind chaos is given. The basic design principle behind chaotic oscillators is simple coupling of circuits which are not in harmony. Chaotic behavior is based on the addition of a circuit composite with local activity and memory to an oscillator. Memory can be made by means of capacitors, coils or hysteresis. Three simple chaotic oscillator design examples are given. Two complex pole pairs in RHP seems to be a necessary but not sufficient condition for hyperchaos. 7s it possible to find a necessary and sufficient condition for hyperchaos or is it only possible to confirm hyperchaos by means of Lyapunov exponents calculation ? [31-33].

References

19

References

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

[1] E. H. Armstrong, "Some recent developments of regenerative circuits," Proc. Inst. Radio Eng., Vol. 10, No. 8, pp. 244-260, 1922. [2] D. M. W. Leenaerts, "Chaotic behaviour in super regenerative detectors," IEEE Trans, on Circuits and Systems -I, Vol. 43, No. 3, pp. 169-176, March 1996. [3] B. van der Pol and J. Van der Mark, "Frequency demultiplication," Nature, London, Vol. 120, No. 3019, pp. 363-364, September 10, 1927. Also reprinted in [4] and [5]. [4] H. Bremmer and C. J. Bouwkamp (Eds.), Balthasar van der Pol - Selected Scientific Papers, Vol. I l l , North-Holland Pub. Co. pp. 391-393, 1960. [5] T. Kapitaniak (Ed.), Chaotic Oscillators - Theory and Applications, World Scientific 1992.

pp. 4-5,

[6] M. P. Kennedy and L. O. Chua, "Van der Pol and chaos", IEEE Trans, on Circuits and Systems, Vol. CAS-33, No. 10, pp. 974-980, October 1986. [7] H. Barkhausen, Lehrbuch der Elektronen-Rohre, lag S. Hirzel, 1935.

3.Band, "Ruckkopplung" , Ver-

[8] B. van der Pol, "Forced oscillations in a circuit with non-linear resistance. (Reception with reactive triode).", Philosophical Magazine, Vol. Ill, January 1927. Also reprinted in [4] pp. 361-376, p. 363. [9] J. R. Westra, C. J. M. Verhoeven and A. H. M. van Roermund, Oscillators and Oscillator Systems - Classification, Analysis and Synthesis, pp. 1-282, Kluwer 1999. [10] E. Lindberg, "Oscillators and eigenvalues", in Proceedings ECCTD'97 - The 1997 European Conference on Circuit Theory and Design, pp. 171-176, Budapest, September 1997. [11] L. Strauss, Wave Generation and Shaping, pp. 1-520, McGraw-Hill, 1960. [12] E. Lindberg, "Colpitts, eigenvalues and chaos", in Proceedings NDES'97 - the 5'th International Specialist Workshop on Nonlinear Dynamics of Electronic Systems, pp. 262-267, Moscow, June 1997. [13] E. Lindberg, K. Murali and A. Tamasevicius, "Design of chaotic oscillators", ECCTD'01 - the 15th European Conference on Circuit Theory and Design, Tutorial nr. T5, http://www.it.dtu.dk/ecs/tutor-01.htm, Helsinki, August 2001. [14] A. E. Elwakil, "A Design Methodology for Autonomous Chaotic Oscillators," Doctor of Philosophy Thesis, Department of Electronic and Electrical Engineering, National University of Ireland, Dublin, pp. 1-195, Ireland, May 2000. [15] L. O. Chua, "CNN: A paradigm for complexity", Chapter 13 in [16], pp. 529-837 (local activity, pp. 793-826), 1999. [16] J. L. Huertas, W.-K. Chen and R. N. Madan (Eds.), Visions of Nonlinear Science in the 21st Century", World Scientific, 1999.

20

References

[17] M. Lakshmanan and K. Murali, Chaos in Nonlinear Oscillators - Controlling and Synchronization, pp. 1-325, World Scientific 1996.

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

[18] M. J. Ogorzalek, Chaos and Complexity 1-276, World Scientific 1997.

in Nonlinear Electronic Circuits, pp.

[19] A. Tamasevicius, "Analog Techniques for Modelling, Control and Synchronization of Dynamical Chaos," Habiiitation Thesis, Physical Scienses, Physics (02P), Semiconductor Physics Institute, Vilnius, pp. 1-99, Lithuania, June 1999. [20] E. Lindberg, "A simple explanation of the physical behaviour of Chua's circuit" or "A route to the hearts of Chua's circuit", in A. C. Davies and W. Schwarz (Eds.), Nonlinear Dynamics of Electronic Systems, (Proceedings of the Workshop NDES'93, Dresden, July, 1993), pp. 80-88, World Scientific 1994. [21] R. N. Madan (Ed.), Chua's Circuit - A Paradigm for Chaos , World Scientific 1993. [22] L. O. Chua, M. Komuro and T. Matsumoto, "The double scroll family: parts I and II", IEEE Trans, on Circuits and Systems, Vol. CAS-33, No. 11, pp. 10721118, November 1986. [23] G. Chen, "Control and synchronization of chaotic systems (a bibliography)", ECE Dep. Univ. of Huston, Texas, USA, 1997. website: ftp://ftp.egr.uh.edu/pub/TeX/chaos.tex [24] J. Suykens and J. Vandewalle, "Quasilinear approach to nonlinear systems and the design of n-double scroll (n = 1,2,3,4, ...)", IEE Proceedings-G, Vol. 138, No. 5, pp. 595-603, October 1991. [25] K. S. Tang, G. Q. Zhong, G. R. Chen and K. F. Man, "Generation of n-scroll attractors via sine function", IEEE Trans, on Circuits and Systems, 2001, accepted. [26] E. Lindberg, A. Tamasevicius, A. Cenys, G. Mykolaitis and A. Namajunas, "Hyperchaos via x-diode", in Proceedings NDES'98 - the 6'th International Specialist Workshop on Nonlinear Dynamics of Electronic Systems, pp. 125-128, Budapest, July 1998. [27] R. Tokunaga, M. Komuro, T. Matsumoto and L. O. Chua, "LORENZ ATTRACTOR from an electrical circuit with uncoupled continuous piecewise-linear resistor", Int. J. of Circuit Theory and Applications, Vol. 17, pp. 71-85, 1989. [28] J. C. Sprott, "Simple chaotic systems and circuits", Am. J. Phys., Vol. 68, No. 8, August 2000, pp. 758-763. [29] K. Hoh, T. Irita, T. Tsujita and sets of semiconductor devices", on Knowledge-Based Intelligent (Eds.), Adelaide, Australia, pp.

M. Fujishima, "Generation of chaos with simple in Proc. 1998 Second International Conference Electronic Systems, L. C. Jain and R. K. Jain 21-23, April 1998.

[30] E. Lindberg, K. Murali and A. Tamasevicius, "Hyperchaotic circuit with damped harmonic oscillators", Proceedings ISCAS-2001, Sydney, Australia, Vol. Ill, pp.759-762, May 6-9 2001.

References

21

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM LIBRARY - INFORMATION SERVICES on 03/20/15. For personal use only.

[31] K. Murali, E. Lindberg and H. Leung, "Design principles of hyperchaotic circuits", Proceedings of the 6th Experimental Chaos Conference, ECC6, Potsdam, Germany, July 22-26 2001, to appear. [32] TISEAN - Nonlinear time series analysis, website: http://www.mpipks-dresden.mpg.de/" tisean/TISEAN_2.1/index.html [33] LET - Lyapunov Exponents Toolbox, website: ftp://ftp.mathworks.com/pub/contrib/v5/misc/let/

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

Design Methodology for Autonomous Chaotic Oscillators

Ahmed S. Elwakil 1

and

Micheal P. Kennedy 2

Department of Electronic Engineering University of Sharjah, P.O. Box 27272, Sharjah, Emirates elwakil@ee. ucd. ie 2

Department of Microelectronic Engineering University College Cork, Cork, Ireland [email protected]

Abstract In this chapter we describe a design cycle for autonomous chaotic oscillators. From a circuit-design perspective and since the linear circuit theory of design still dominates, we find it more appropriate to use the design techniques that have been developed using this theory to establish this design cycle, particularly for the following reasons: 1. The theory of nonlinear dynamics does not yet provide a set of necessary and sufficient conditions for chaos generation. 2. Geometrical interpretation techniques of nonlinear dynamics (Poincare sections, one dimensional return maps, recurrence plots, etc.) are analysis-biased tools that cannot be related to the design phase of a chaotic oscillator circuit. As for statistical measures, it is not clear whether the available measures are sufficient to identify different types of chaos or to provide comparison guidelines. It is not even known how to produce a system with a predefined dimension, set of eigen-values, Lyapunov exponents and power spectral density distribution. Mapping transformations from nonlinear dynamic concepts to circuitdesign concepts are also lacking.

23

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

24

Design Methodology for Autonomous

Chaotic

Oscillators

3. On the application front, and to the best of our knowledge, none of the reported applications for chaotic signals strictly refers to a specific type of chaos associated with certain statistical measures or qualitative dynamics. For a circuit designer, it seems that all types of chaos are equally useful. There is no evidence that the chaotic signal produced by a nonautonomous circuit is 'better' than that produced by an autonomous circuit. There is also no evidence that oscillators with active nonlinear devices produce 'better' chaos than those with passive nonlinear ones. The identification, classification, and comparison of different types of chaos from an application perspective is still lacking. 4. The linear circuit theory of design is well established. There are well-known design and optimization techniques, the advantages and disadvantages of which have been studied in detail. The points of weakness of this theory have been noted and are overcome in practice using CAD tools guided by experienced knowledge with an acceptable margin of trial and error. Therefore, our proposed design methodology aims primarily to minimize the knowledge of nonlinear dynamic concepts that is required by a circuit designer in order to design a chaotic oscillator in a systematic way.

Design Methodology 2.1

25

Design Methodology

To define a methodology, we introduce a set of design rules followed by a design procedure. We then introduce composite tools that help carry out this procedure systematically [1]. 2.1.1

Design rules

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

The following design rules are proposed: 1. The nonlinearity in a chaotic oscillator should be introduced only by means of a passive device. Equivalently, the sources of circuit energy should only be the active building blocks which must be confined to their linear regions of operation. As a consequence, the use of active nonlinear resistors, such as Chua's diode, should be avoided. Also, building blocks such as voltage-controlled voltage sources, integrators, negative impedance converters, etc., must operate linearly. 2. The nonlinear element should be separated from the linear building blocks such that the functionality of these blocks remains clear, ideal and independent of any parameters of the nonlinear element. For example, in the chaotic Colpitts oscillator [2], the nonlinear element cannot be separated from the gain device; both functions are combined in a single bipolar transistor. 3. It should be possible to reproduce the chaotic dynamics of the oscillator with a model that does not depend on any device-specific parasitic effect. Consider for example the family of chaotic oscillators proposed in [3]. Modeling the chaotic behavior from this family requires that the parasitic internal dominant pole of the op amp must be included in the analysis. Thus, the functionality of the linear building blocks cannot be reproduced other than by using a voltage op amp. 4. Simple two-terminal nonlinear resistors (diodes or diode-connected transistors) should be used where possible. The basic advantage of adopting these design rules is that one can design a chaotic oscillator which is circuit and technology independent. Since the active blocks operate linearly and ideally, it should be possible to use any implementation for these blocks. Furthermore, limitations on the operating bandwidth, supply voltage, and power dissipation of the chaotic oscillator are imposed only by the linear active blocks and not by the nonlinear element which is strictly passive. The type of signal processing (current-mode or voltagemode) is also defined by the linear element. Therefore, the benchmarks that are used to evaluate and compare linear designs become valid for chaotic oscillators.

26

Design

2.1.2

Methodology

for Autonomous

Chaotic

Oscillators

Design procedure

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

The following three-step design procedure is proposed: 1. A sinusoidal oscillator that meets a set of circuit-design constraints is designed. Typical constraints might be imposed on the passive element structure, tunability and sensitivity requirements, power dissipation, operating frequency or supply voltage. It might also be imposed on the type of active building block used (for example, voltage op amp (VOA), operational transconductance amplifier (OTA), current feedback op amp (CFOA), current conveyor (CCII), etc.) and the function it performs (for example, amplifier, integrator, impedance converter, current/voltage follower, etc.). The design of this sinusoidal oscillator is to be based on the normal linear design techniques. The formulae denning the necessary condition for oscillation and the frequency of oscillation are then derived. Researchers who are not acquainted with sinusoidal oscillator design can simply choose from available catalogues. 2. Guided by the derived condition for oscillation formula and by inspecting the structure of the oscillator, selection is made of a suitable position to insert a passive two-terminal switching device. The sinusoidal oscillator should remain undisturbed in one of the regions of operation of this nonlinear device. If the original sinusoidal oscillator is of order less than three, an additional energy storage element (inductor or capacitor) should also be added in a suitable position. This position should be chosen such that when the limiting case of the added inductor (L —> 0) or capacitor (C —> oo) is considered, the original sinusoidal oscillator is recovered. 3. The parameter responsible for controlling the condition for oscillation of the sinusoidal oscillator (for example, amplifier gain) is tuned around the same value that satisfies this condition 1 . If the above procedure is followed, it is most likely that a chaotic oscillator which inherits the features of the mother sinusoidal oscillator will result. The main achievements of this methodology are: • Identifying a robust starting point for the chaotic oscillator design cycle which can be attacked by a conventional designer using linear design techniques. The features required in a chaotic oscillator are automatically inherited from the mother sinusoidal oscillator once the proposed design rules are respected. With the aid of the nonlinear composite tools introduced in the following sections, it will become apparent that a tar1

In general, one moves this control parameter in the direction which results in the pair of complex conjugate eigenvalues moving further into the right-half plane.

The Diode-inductor Composite

27

get chaotic oscillator can be systematically obtained from a sinusoidal oscillator. • The comparison between different chaotic oscillator circuits can be made with the same benchmarks used for linear designs. Of course, this comparison is only concerned with evaluating the performance of the different circuits but not with the statistical features of the chaos which is produced.

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

An important remark should be noted: • Starting with a third-order sinusoidal oscillator, such as the Twin-T oscillator [4], the above procedure simplifies to choosing a suitable position to insert a passive nonlinear device and adjusting the tuning parameter associated with the condition of oscillation of the sinusoidal oscillator. However, since most of the sinusoidal oscillators in the literature are second-order, an additional energy storage element is required to permit chaotic behavior. Therefore, in the following sections we propose two composite tools to help carry out this procedure systematically for second-order oscillators.

2.2

The Diode-inductor Composite

Figure 2.1 (a) shows the diode-inductor (D-L) composite which is a parallel combination of a signal diode and an inductor. The switching action of the diode depends on the voltage developed across the inductor. This voltage appears across the parasitic transit capacitance [5] of the diode CQ. Hence, this composite is described by the following second-order system of equations: LIL = VCD

(2.2.1)

CDVCD = I-IL-ID

(2.2.2)

where I is the composite current, 1^ is the inductor current, and ID is the nonlinear diode current modeled by: ID=9D\

VCD - V1 n0

if VCD > Vy x, .T, VCD < Vn

iif f

(2.2.3)

go and V1 are the diode forward conduction transconductance and voltage drop respectively. Within a sinusoidal oscillator, the diode-inductor composite should be inserted in series with one of the resistors, as shown in Fig. 2.1 (b). In this case, the composite current / is given by V, - Vrn - Vo I - -i -££ li

(2.2.4)

Design Methodology for Autonomous Chaotic Oscillators

28

Clearly, grounding the composite (Vi = 0 or V2 = 0) is preferable. oVi

R

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

o

+

ID

1 I¥ -TCDL^IL

lD

D

D

M.C D L

l¥ -f

IL

6 v2

6

(b)

(a) FIGURE 2.1 The Diode-Inductor composite.

2.2.1

Generic chaotic oscillator structures based on the diode-inductor composite

We introduce two generic classes of chaotic oscillators that are based on the diode-inductor composite. These two classes are derived from generic RC sinusoidal oscillators. 2.2.1.1

Sinusoidal oscillators

A general second-order RC sinusoidal oscillator has the following linearized state-space representation: Vci VC2

«11

«12

«21

«22

Vci VC2

(2.2.5)

where VJI and Vc2 are the voltages across its two capacitors. The condition and frequency of oscillation are given respectively by a n + a22 = 0

and

WQ = \/ a ii a 22 — ^12021

(2.2.6)

The Diode-inductor Composite

29

Consider the structure shown in Fig. 2.2 (a) which represents a sinusoidal oscillator with a separate parallel R\C\ timing network. This is the only characteristic in which we are interested. Any other detail of the particular active network is not important. In general, the current J which supplies the timing network (see Fig. 2.2 (a)) can be expressed as

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

I± = ±giVciT92VC2

(2-2.7)

where g\ and g2 are constant transconductances. Since the oscillator is active, either g\ or g2 must be negative. Noting that the frequency of oscillation UQ is generally equal to ^/n/RlCl, where n is a multiplication factor, and by applying the conditions of (2.2.6), the state equations describing Fig. 2.2 (a) can be written in matrix form as

( £ K ( ^ .*)(£)

where g = 1/Ri and we have chosen C\ — C2 = CoFrom (2.2.8), it can be seen that the condition for oscillation is satisfied and the frequency of oscillation is given by LOQ = gy/n/Co. By introducing the following variables: r = tg2/Co, X = Vci/Vref, Y = Vc2/Vref,Ki = 9i/g2 and K2 = g/g2, where Vref is an arbitrary voltage normalization constant, the dimensionless form of (2.2.8) becomes

( Y J

=

{ ±[nK% + (±K1 -K2f]

ff2

T #x ) ( y )

(2 2 9)

' -

Note that the frequency of oscillation can be varied using n, without affecting the condition of oscillation. To guarantee that oscillations start, practical oscillators need to have a control parameter to compensate for any losses that may cause the condition for oscillation not to be satisfied. This control parameter is represented in (2.2.9) by the small error factor e. Next, we consider the structure shown in Fig. 2.2 (b) which is characterized by having a separate series R±Ci network. This network can either be driven by a current I, typically given by equation (2.2.7), or by a voltage Vs, given in general by: Vs — ±KiVa T ^2^C2- Consider the case when the series R\C\ port is current-driven. Figure 2.2 (b) can then be described by

(

&

)

-

*

(

where C\ = Ci = CQ and g = 1/R\.

&

*

)

(

&

)

< - »

Design Methodology for Autonomous

30

Chaotic

ACTIVE NETWORK

ACTIVE NETWORK

(first otder)

(first order)

ll

Oscillators

+

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

Rli Vs Ri-

=F C i Ci =

Sinusoidal oscillator with parallel timing network

Sinusoidal oscillator with series tinting network

(a)

(b)

F I G U R E 2.2 Generic RC sinusoidal oscillator structures: (a) structure with a current-driven parallel timing network and (b) with a current or voltage-driven series timing network.

Adopting the same dimensionless variables used t o derive (2.2.8), (2.2.10) becomes X \ ( ±K1±e T l \ f X ( 2 2 n ) ±{nK* + Kl) Y TKi Note t h a t K2 appears here as a frequency multiplication factor and does not affect the condition for oscillation. In the case when t h e series p o r t is voltage-driven, Fig. 2.2 (b) is described by X Y

±KX - l ± e ±K3

^K2 +

1

X Y

Here, t h e time normalization constant was taken as tg/Co instead of 2.2.1.2

(2.2.12) tgijCQ.

Class-I D-L chaotic oscillator structure

This class is based on the sinusoidal oscillator shown in Fig. 2.2 (a) and described by equations (2.2.8) and (2.2.9).

The Diode-inductor

Composite

31

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

Consider the configuration shown in Fig. 2.3 where we have inserted the D-L composite in series with the grounded resistor R\, which is actually the only resistor we know about in the sinusoidal oscillator. The current supplying the composite is equal to (Va — VCD)/R\We adopt the same normalization used to derive (2.2.9) and in addition we define Z = IL/92Vref, V = VCD/Vref, (5 = C/g22L, ec = CD/C, KD = gD/g2. For the choice of Vref — V7 and I = I+ [refer to equation (2.2.7)], the state space representation of Fig. 2.3 is given by * \

/

Y Z

v J V

0

K, K2±e nKl + [Kx - K2)2 0

K2-Kx 0

0 0

0

-X

Ko

K2 0 P

\

f*\

Y Z

f°\ +

0 0

-Kj-a

(2.2.13)

where the switching constant a is defined by:

-{

KD 0

if V > 1 if V 1) and dissipates the stored energy in the inductor. This strong dissipation of the accumulated energy causes oscillations in the X-Y plane to decay. Before these oscillations die completely, the diode switches off again (V < 1). The voltage across the diode (V) is sensed by the oscillator with a sensing coefficient equal to K 1. The eigenvalues calculated at the equilibrium point are (-1.005,-100,0.324 ± J0.585) in the region V < 1 and (-0.002,-5100, —0.165 ± jO.635) in the region V > 1. These eigenvalues indicate that the real equilibrium point at the origin is an unstable saddle-focus. 2.2.1.3

Class-II D-L chaotic oscillator structure

This class of chaotic oscillators, shown in Fig. 2.5, is based on the generic RC sinusoidal oscillator of Fig. 2.2 (b) which is described by equations (2.2.11) and (2.2.12), respectively, depending on whether the series RiCi port is current- or voltage-driven. The structure shown in Fig. 2.5 is obtained from that of Fig. 2.2 (b) simply by adding the D-L composite in parallel with C\. When the oscillator's port is current-driven by the current I, which is given in general by equation ( 2.2.7), Fig. 2.5 is described by (X\ ( Kl-a±e \ Y \ = \ nKl+Kl

\Z J

\

0

-1 -Kx

0

- 1 \ ( X \ 0 \\ Y

0 J \Z J

+

f a \ 0

\0 )

(2.2.15)

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

The Diode-inductor Composite

33

F I G U R E 2.4 X-Z projection of the chaotic attractor observed from the generic D-L chaotic oscillator structure in Fig. 2.3.

where a is as given by (2.2.14) with the variable X instead of V. The dimensionless variables in (2.2.15) are the same as those of (2.2.13). We have also chosen Vref = Vy and I = I+. Note that the voltage developed across the inductor also appears across C\. Thus, the role originally performed by the diode transit capacitance is now performed by C\. By comparing (2.2.11) and (2.2.15), one can identify clearly the role of the sinusoidal oscillator in the X-Y plane. Assuming that the diode is initially off (a = 0), oscillations will continue to grow in the X-Y plane transferring energy to the nonlinear subsystem via the state variable X with a transfer coefficient 8. This results in a continuous increase of Z. However, the oscillator in the X-Y plane is linked to the nonlinear subsystem through the term —Z. Thus, the continuous increase of Z tends to slow down the growth of the oscillation in the X-Y plane by reducing the amount of current charging C\. Eventually, when the voltage across C\ reaches Vy, the diode switches on dissipating strongly the energy transferred from the oscillator and rapidly discharging C\. The growth of the oscillation is thus reversed into decay until the diode switches off again. The system described by (2.2.15) has a single equilibrium point at the origin.

34

Design Methodology for Autonomous

Chaotic

Oscillators

ACTIVE NETWORK I

I

+

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

Ri

Vs

ID|VD

LJl 1 ^

ici

T FIGURE 2.5 Class-II generic structure based on the D-L composite.

One can show that (2.2.15) always admits a negative real eigenvalue. In addition, a complex conjugate pair of eigenvalues is always admitted in the right half plane when a = 0. The condition a > 1 guarantees a complex conjugate pair of eigenvalues in the left half plane. A simulation of the X-Z trajectory obtained by numerical integration of ( 2.2.15) is shown in Fig. 2.6. The parameter values in this case are: K\ = 2, K^ = 1, Kp — 3, n — 0.1, (5 = 1 and e = 0.35. The eigenvalues at the equilibrium point are (—0.362, —1.159 ±j2.047) in the region X > 1 and (-1.073,0.696 ±jl. 174) in the region X < 1. In the case when the sinusoidal oscillator's port is voltage-driven by V$± = ±K\Vci =f - ^ 2 ^ 2 , the chaotic oscillator structure is described by

(2.2.16)

where a is the same switching constant as before and we have chosen Vs = Vs+. A projection of the chaotic attractor similar to that shown in Fig. 2.6 can also be obtained from the above system with the same parameter values. Recall that in the derived models for these systems the sinusoidal oscillator is represented by the set of parameters (Ki,K2,n,e). Since the value of the

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

The Diode-inductor Composite

35

FIGURE 2.6 X-Z projection of the chaotic attractor observed in the class-II D-L chaotic oscillator structure with a current-driven port.

diode's forward conduction resistance is a constant, the value of KJJ follows automatically from the choice of a particular sinusoidal oscillator design. The same applies to ec for circuits belonging to class-I (e c is not defined for classII). The value of the inductor L appears only through /?, which is the coupling parameter between the oscillator engine and the nonlinear composite. Thus, the only trial and error effort that needs to be done in a chaotic oscillator based on this composite is the choice of a suitable value for the inductor. However, note that we have carried out the numerical simulations of (2.2.13), (2.2.15) and (2.2.16) with /3 — 1. Therefore, if no optimization is needed for the inductor value, one can fix the value of /J to 1. In this case, an inductor value results automatically for a particular selection of the sinusoidal oscillator resistors and capacitors. 2.2.1.4

Wien-bridge-based chaotic oscillators using the D-L composite

As a design example, consider the Wien-bridge oscillator shown in Fig. 2.7 (a). There are two possible positions within this oscillator to insert the D-L composite. One position is in series with Ri while the other is in series with R2. Of course, the position in series with Ri is highly preferred; it allows

Design Methodology for Autonomous

36

Chaotic

Oscillators

the D-L composite to be grounded. For this grounded position, the modified Wien-brldge circuit is described by [6]: R2CiVm

= (K-1-

~)Vci

- VC2 + ^VCD

iii

(2.2.17)

iii

R2C2V01 = (K - l ) F e i - Vet

(2.2.18)

LtL - VCD = Vci - VCD - RX{IL + ID)

(2.2.19) (2.2.20)

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

RICDVCD

For the choice of C\ = C% — C, R\ — R2 = R and by setting T = t/RC, X — F c i / F 7 ) Y = Vci/V^ Z = RIL/V.y, V = VCD/V^, ec = CD/C, KD = R/RD and /? = R2C/L, the above equations transform into /

X

\

l

Y

l

z

( K-2 K - 1 0 \ 1

-1 0 -1 0 0 0 0 -1

1

0

p

^

(o\

f Yx\

—1 — a j \

4

Z V

+

)

0 0

w

(2.2.21)

where a is given by (2.2.14).

F I G U R E 2.7 (a) Wlen-bridge oscillator (b) Experimental VCI-VL chaotic trajectory from Wien-based chaotic oscillator using the grounded D-L composite (K — 2.25). (Xaxis: 0.2 [V/div], Yaxiss 1 [V/div]).

We have constructed an experimental setup of the circuit taking C = 330 [pF], R = 510 [O], a physical inductor L = 1 [mH] and using a general purpose D1N4148 diode. A current to voltage converter with a 10 [kfl] load was used to convert the inductor current into a voltage Vj,.

The Diode-inductor

Composite

37

Figure 2.7 (b) shows the observed VCI-VL trajectory. Both the amplifier and the current to voltage converter were implemented using AD844 current feedback op amps. For the floating D-L position in series with R2, the modified configuration is described by

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

IV z \ ecV )

( K-2 K-l 0 \ K-l

-1 -1 0 -1

0 0 0 -1

-1

\(

V • l - o /

X

Y Z

+

0 0

w

(2.2.22)

and a is as given by (2.2.14). A typical Y-Z phase space trajectory for this system is shown in Fig. 2.8. Note that if it is required to optimize the value of

FIGURE 2.8 Y-Z trajectory of the Wien-bridge chaotic oscillator with a floating D-L composite (K = 3, e c = 0.01, KD = 100, (3 = 0.1)

the inductor, (2.2.22) can provide a maximum value for j3 which is necessary to observe chaos. Hence, a corresponding minimum value for L can be calculated. For example, assuming R, RD and Co are fixed to lfc [Q], 50 [Q] and 2 [pF], respectively, the calculated practical minimum values of L were found to increase approximately linearly with C. At C = 100 [pF], the minimum value for L was 450 [/iH] while it was 4.5 [mH] and 40 [mH] at C = 1 [nF] and C = 100 [nF] respectively.

38

2.3

Design Methodology for Autonomous Chaotic Oscillators The FET-Capacitor Composite

The FET-capacitor composite subcircuit is shown in Fig. 2.9 (a). It is a series combination of a FET, connected to operate as a two-terminal device, and a capacitor. The composite is described by the following equation: CVC = IN

(2.3.23)

where IN is the nonlinear FET current modeled by: /

VQS

if VGs >

VP

, 9 o 9/1\

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

(2 3 24)

IN=9N{ -Vp if vGS 0. In addition, when the condition K\ < 1 is satisfied, a pair of complex conjugate eigenvalues in the right half plane is always admitted. The observed trajectories from this system are similar to those shown in Figs. 2.11 (a) and (b), respectively2. However, we note that the change of Ki from 1 to —1 and vice versa produces a mirror image of the attractor without changing its dynamics. In fact, the characteristic equation of (2.3.31) is independent of K^, hence its eigenvalues are not affected by its value. In order to demonstrate this interesting observation, we modify (2.3.31) such that Ki continuously switches between 1 and — 1. K^ is set to 1 when the condition Y > 0 is satisfied and is set to —1 otherwise ( K2Y = \Y\ is an even-symmetrical nonlinearity). 2 Numerical simulations of (2.3.31) were performed with K\ = 0, Ki = ± 1 , K^ n = 0.7 and e = - 0 . 3 .

= 2,

42

Design Methodology for Autonomous Chaotic Oscillators

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

In addition, a constant term m is used to displace the trajectories along the Y axis such that they lie either in the negative half space or the positive half space when K2 is switched. Accordingly, X becomes X + m. The Y-Z trajectory observed after these modifications is shown in Fig. 2.12.

-30

-20

-10

0 -Y

10

20

30

F I G U R E 2.12 Y-Z projection of the chaotic attractor when K2 is a bipolar switching constant (Ki = 0, KN = 2, n = 0.9, m = 5, e = -0.2).

The similarity between this chaotic attractor and the well-known Lorenz butterfly attractor [7] is apparent. In fact, it can be argued that one of the state variables of the Lorenz system performs the same function as the constant K2.

2.3.2

FET-C circuit design examples

Consider the chaotic Wien-bridge oscillator shown in Fig. 2.13 (a), where we have used the FET-C structure of Fig. 2.9 (c). The amplifier forces the voltage across the structure V\ — V2 (see Fig. 2.9 (c)) to equal (K — l)Vc2- Hence, the gate-to-source voltage of the FET is equal to (1 — K)Vc2 — ^C3Guided by the Wien oscillator design equations, we choose to set R\ — R2 = 1/5JV = R and Ci = C2 = C3 = C. For this choice, we expect the chaotic frequency spectrum to be centered approximately around LOQ — 1/RC and the

The FET-Capacitor

Composite

43

gain required to start oscillations should be slightly greater than two 3 . Setting Cl Ri

Cl

-AA/V-

Rl

+ +J

C3

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

C3

C2:

C2:

R2

:R2

(a)

(b)

F I G U R E 2.13 C h a o t i c W i e n oscillators based o n t h e F E T - C c o m p o s i t e .

r = t/RC, X = Vci/Vp, Y = Vc2/Vp and Z = Vcz/Vp, type oscillator is described by -1 1 0

\-K

-2-a

0

+ (l+a)K o(l - K)

a -a

\ (

this chaotic Wien-

X Y

(2.3.32)

\ z

where (a,b)

(1,0)

if

(1-K)Y-Z\ From the above system with K = 2.38, we observe chaotic trajectories similar to those shown in Figs. 2.11 (a) and (b). Note that the only circuit parameter that appears in (2.3.32) is the amplifier gain K. We have constructed an experimental setup of the circuit, taking R\ = R2 = 750 [ft], Ci — Ci = C3 = 1 [nF], and implementing the amplifier using an AD844 CFOA. A J2N4338 JFET, which has a small signal resistance RN = 750 [Q] and a pinch-off voltage Vp — —0.7 [V], was used. A limit cycle is born at K = 2.48 and the chaotic attractors shown in Fig. 2.14 correspond to K — 2.59. 3 T h e condition for oscillation of the Wien oscillator is K = 1 + jf- + -^-, where Cp (Cg) and Rp (if 5) are the effective parallel (series) branch capacitance and resistance respectively. For the choice we have made, Cg is approximately equal to ICp while R§ is approximately equal to Rp/2. Therefore, the theoretical gain required to start oscillations is K = 2.

Design Methodology for Autonomous

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

44

Chaotic

Oscillators

(b)

(a) F I G U R E 2.14 Chaotic attractor from a constructed FET-C Wien-bridge oscillator (a) Vc2 ~ VC3 projection (b) VC2 - % i projection. (Xaxiss 0.1 [V/div], Yaxisi 0,1 [V/div]).

Note that we might also use the FET-C structure of Fig. 2.9 (b) to modify the Wien oscillator, as shown in Fig. 2.13 (b). By choosing the design set R% = RN = R, R2 = 2R, d = C3 = C and C 2 = C, it can be shown that this chaotic oscillator is described by •1 — a

1 a where

1-K K-\ 0

a \ 0 —a /

/ xY \ z

(2.3.34)

= {' (1,0) (0,1)

if X-Z 1 A PSpice simulation of this circuit is shown in Fig. 2.15 for C ~ I n F , R = 750 [0] and K = 3.18. Note that from our chosen design set we expect the center frequency of the limit cycle to be around 1/4RC and the gain required to start oscillations should be slightly higher than three. Another design example of a chaotic oscillator based on the FET-C composite is shown in Fig. 2.16 [9]. There are two clear advantages of this circuit. Firstly, all of its capacitors and linear resistors are grounded. Secondly, it offers multiple buffered outputs one of which directly represents the state variable VC2, Note that the small resistance Rx (see Fig. 2.16) is not an external resistor (although it could be) but it is the input resistance at the inverting terminal of the CFOA (typically 50-100 [fi]). When C3 -» oo and the F E T is replaced by a resistor Rt, the core sinusoidal oscillator engine is retrieved 4 . (a,b)

4

With equal capacitors, the condition for oscillation of this oscillator is if = R4/R3 =

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

The FET-Capacitor

Composite

45

F I G U R E 2.15 PSpice simulation of the chaotic Wien oscillator.

An attractor similar to t h a t of Fig. 2.15 can be observed when performing a PSpice simulation of this chaotic oscillator with the typical design set: C\ = C 3 = C,C2 = 2C, R2 = R4 = RN and R3 = RN/K.

2.3.3

FET-capacitor-inductor chaotic oscillators

T h e FET-capacitor composite can be used t o modify sinusoidal oscillators for chaos in conjunction with an additional inductor instead of a n additional capacitor. Several design examples have been given in [10] and [11]. Unlike t h e diode-inductor composite, there is no particular structure which simply combines the F E T - C composite with the additional inductor. Therefore, t h e choice of the position in which t o insert an inductor within the sinusoidal oscillator remains subject t o designer intuition. A guiding principle is t h a t t h e sinusoidal oscillator structure must not be destroyed by adding the inductor. This implies t h a t in t h e limiting case L —» 0, t h e oscillator remains undisturbed. In our experience, there are in general a limited number of candidate positions for the inductor. In most cases, a chaotic oscillator can be obtained with the inductor in any of these positions. As an example of this class of chaotic oscillators, we consider t h e chaotic 1 + R1/R2, which can be satisfied by choosing R\ = R2 = R and setting K = 2.

Design Methodology for Autonomous Chaotic Oscillators

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

46

FIGURE 2.16 Dual output chaotic oscillator with all grounded capacitors. Wien oscillator shown in Fig. 2.17 (a). Here, the inductor L has been placed in series with the resistor i?2- This configuration is described by CiVci — IN

(2.3.36)

C-iVci — IN - II

(2.3.37)

Lh

= VC2

-

R2IL

(2.3.38)

where IN is as given by (2.3.24) with VQS = (K — l)Vc2 — Vci- For the choice of d = C2 = C, R2 = RN = R and by setting r = t/RC, X = VCi/VP, Y = Vd/Vp, Z = Rh/Vp, (3 = R?C/L, (2.3.36) can be transformed into the normalized form:

-a -a 0

a{K-l) ° \ (X a(K - 1) - 1 (3 ~P

u Y

(2.3.39)

where (a,b)

(1,0) (0,1)

if if

{K-1)Y-X1

(2.3.40)

The X-Y projection of the attractor observed in this system is shown in Fig. 2.17 (b) for K = 2.49 and f3 = 0.5. The corresponding attractor from an experimental setup constructed with C\ = C2 = 82 [pF], R2 = 750 [fi], L = 100 [/xH] and using an AD844 CFOA is shown in Fig. 2.17 (c). We measured the

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by UNIVERSITY OF BIRMINGHAM on 09/02/15. For personal use only.

Conclusions

47

peak power of the chaotic spectrum at 1.312 [MHz]; the center frequency of the limit cycle was at 1.385 [MHz]. The system described by (2.3.39) has a single equilibrium point at the origin. It is clear that when a equals zero, i.e. in the region (K — 1)Y — X > 1, this system collapses into the two-dimensional Y-Z plane. The equilibrium point in this region is stable while it is unstable in the region (K — 1)Y — X4 =

8-y^2{a2X3-Xi),

(3.3.15)

58

A Design Method for Chaotic Circuit

where yd = xi - x3 - -(|a;i - z 3 - 1| - |xi - x3 + 1|).

(3.3.16)

In the case of — 1 < x\ — x3 < 1, eigenvalues are described as Qi ±jW\

and

Q2±jW2,

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 12/15/15. For personal use only.

where Q\ =

—,

J A - (- Q l 7 + 7 + i ) 2 ^

= "

Qi = w

2

- '

Pi^S + fojS -

_

-

1

tZ?* 1.5

•< ^

< -2

-1

(c) XI-X2

1

f

F I G U R E 3.5 Projections of attractors onto »t and us in Model 2. R\ ~ 100 [SI], C\ = 15.00 [nF], C 2 = 33.00 [nF], Ly - 10,0 [mH] and L2 = 20.0 [mH]. (a) R2 = 200 [n], (b) fi2 = 234 [fl], (c) i?2 = 329 P J , (d) H2 = 404 [0]. Projections of attractors onto x\ and 23 in Model 2. a = 0.10, 7 = 0.50, 5 = 0.50 and e = 10.0. /J is a control parameter, (a) 0 - 0.09, (b) 0 = 0.10, (c) P =•• 0.23, (d) /3 = 0.29.

Linearized Model

61

X1-X3

2

/

X1-X2 2

A _4

y

2 rp

-2

(a)

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 12/15/15. For personal use only.

pCl-X3

J2

0I

r

X1-X2 2

) -1



(b) Al

AO

^r

X1-X2 2!

1 it.

'2 (-2 (c)

'

-4

(d) F I G U R E 3.12 Left side: Projections of attractors onto v\ and V3 in Model 6. (Experimental results) C'a = 33[nF], £ a = 20[mH], flal = 0.115[fcn], Cb = 33.0[nF], Rb2 = 3.00[fcO] Ji 43 = 1.00[fen] Ru = 3.90ffeO]. (a) Rhl = 1.31 [left], (b) Rbl = 1.38 [kO], (c) fiw = 1.52 [kfi], (d) Ru — 1.61 [left]. Center and right side; Projections of attractors onto xi and S3 in Model 6. (Computer calculated results) a = 2.00, /? = 0.60, 7 = 1.70, e = 50.0 and C = 1-70. S is a control parameter, (a) S — 5.00, (b) =*& *-Wi?

(42 2)

'-

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 04/10/16. For personal use only.

74

FIGURE 4.1 Circuit model. Chaotic Wandering in Chaotic

v2 ± C

1 F ?R r«4

u* T C

H TC

-r

T JL2 -r

X

Circuits

Circuit Model

75

the normalized circuit equations are given as u,xk

dr

4

=

(i(xk + yk) - zk - 7 ^2 xi 5=1

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 04/10/16. For personal use only.

dr dzk *> dr

=

a{0(xk + yk) - zk - f(yk)}

=

xk + Vk

i • •J

where A;=l, 2, 3, 4 and f(yk) = 0.5 (6yk + l-\Syk-l\).

(4.2.4)

Note that when the coupling parameter 7, which is in proportion to R, is equal to zero, the coupling term in (4.2.3) vanishes. Figure 4.2 shows a typical example of chaotic attractors observed from the isolated sub-circuit. Throughout this chapter, we fix all the circuit parameters, except the coupling parameter (7 and R) for each sub-circuit, to produce the chaotic attractor in Fig. 4.2, where a=7.0, /?=0.14 and 5=100.0 for computer calculations and Iq=10O.7mH, L2=10.31mH, C=34.9nF and r=3340 for circuit experiments. Moreover, for all the computer calculations, the fourth-order Runge-Kutta method is used with step size h = 0.005.

(a)

(b)

FlCrlJiiJS 4.2 Typical example of chaotic attractors observed from each aub-circuit. (a) Computer calculated result. xk vs. zk. a = 7 . 0 , /J=0.14, 7=0.0 and 5=100.0. (b) Circuit experimental result. Ik vs. vk. Li=lO0.7mH, La—10.31mH, C=34.9n.F, r=334ft and U=Q.0Q, H: 0.8mA/div. Vs 1.3V/div.

Chaotic Wandering in Chaotic Circuits

76

4.3

Four-Phase Quasi-Synchronization and Chaotic W a n d e r i n g

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 04/10/16. For personal use only.

We can observe four-phase quasi-synchronization of chaos from the coupled circuits for a relatively wide range of 7 (or R). Because of chaotic oscillations, the signals cannot synchronize completely, but we can clearly see that the signals from the four sub-circuits are synchronized with about 90° phase differences. Figures 4.3 and 4.4 show an example of the observed four-phase quasi-synchronization of chaos. In the figures, the phase differences of X2, £3 and X4 with respect to x\ are almost 90°, 180° and 270°, respectively.

FIGURE 4.3 Four-phase quasi-synchronization of chaos (computer calculated result). 7=0.30. (a) xi vs. xi. (b) x\ vs. 13. (c) X\ vs. 14. (d) x\ vs. z\. (e) Time waveforms.

Because of the symmetry of the coupling structure, six different combinations of phase states coexist: Si

Sz S5

(0°, 90°, 180°, 270°), (0°, 180°, 90°, 270°), (0°, 270°, 90°, 180°),

S2 (0°, 90°, 270°, 180°), 5 4 (0°, 180°, 270°, 90°), Se (0°, 270°, 180°, 90°).

(4.3.5)

Note that one sub-circuit should be a reference for the phase difference since the system is autonomous. It is easy to observe all the phase states in (4.3.5) by giving proper initial conditions. (For circuit experiments, we may have to repeat the on and off switching operation of our power supply several times before we observe all of the phase states.)

Four-Phase Quasi-Syncbrooimtion

(a)

and Chaotic

Wandering

(b)

77

(c)

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 04/10/16. For personal use only.

mmMM

mmkm

lliliti! (d)

(e)

F I G U R E 4.4 Four-phase quaai-syDicfarontea.tioii of chaos (circuit experimental result). fl=198ft. R=tma, (a) h vs. h. (b) h vs. / 3 . (c) h vs. J 4 . (d) h vs. vi. (e) Time waveforms, (a)—(c) 0.8mA/div. (d) Hi 0.8mA/div. V: 1.8V/div. (e) H: O.lmsec/div. V: 2.0mA/div.

On increasing 7 (or R), we can observe chaotic wandering over the six phase states of the four-phase quasi-synchronization. For such parameter values, all the six phase states become unstable and the solution starts wandering over the six phase states. Although the wandering speed depends significantly on the parameter value, we could observe in the circuit experiments that one phase state switches to another within one second or after more than 10 seconds. The wandering is truly chaotic, namely we cannot predict when the next switching will occur or which phase state will appeal' next. In order to show that the chaotic wandering exists in the circuit, let us define the Poincare section as z\ = 0 and x\ < 0 and plot the values of a;* (k—1, 2, 3,4) on Xk — n (n denotes the number of iterations of the Poincare- map) plane when the solution hits the Poincare section. Figure 4.5 (a) shows time series Xk(n) corresponding to the four-phase quasi-synchronization of chaos in Figs. 4.3 and 4.4, while Fig. 4.5 (b) corresponds to chaotic wandering. In Fig. 4.5 (a), each Xk remains in a certain range, while in Fig. 4.5 (b), we can see a;* often changes its range in a complicated manner. Note that x± always remains in a certain range, because of the definition of the Poincare map.

Chaotic Wandering in Chaotic

78

Circuits

|

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 04/10/16. For personal use only.

.1

J x 3 (n)

P lV~ .

-2L

50000

1

. . ! . • .

100000

n : iteration (a)

xi(n)

*»(») | l w l l f l f | | ^ ^

100000

n : iteration

FIGURE 4.5 Time series Xk(n). (a) Four-phase quasi-synchronization of chaos. 7=0.30. (b) Chaotic wandering over different phase states of four-phase synchronization. 7=0.46.

Analysis of Chaotic Wandering 4.4

79

Analysis of Chaotic Wandering

4.4.1

Introduction of phase variables

Although one can see that the phase states in Fig. 4.5 (b) switch in an irregular manner, it is almost impossible to understand the generating phenomenon completely. Therefore, we introduce the following independent variables from the discrete data of Xk(n) and Zk{n) on the Poincare map:

Chaos in Circuits and Systems Downloaded from www.worldscientific.com by MONASH UNIVERSITY on 04/10/16. For personal use only.

n — tan 0 £fc+i(n) < 0 and Zfc+i(n) > 0 Xk+i{n) < 0 and Zk+i{n) < 0,

(4.4.6) where fc=l, 2, 3. Because the attractor observed from each sub-circuit is strongly constrained onto the plane yk — 0 when the diode is off, these variables can correspond to the phase differences between the sub-circuit 1 and the others. (Note that the argument of the point (xi(n), z\(n)) is always IT, because of the definition of the Poincare map.) Figure 4.6 shows the time evolution of v?fc(n) calculated from the data in Fig. 4.5. In Fig. 4.6 (a), fc(n) changes its range in a complicated manner. Using the independent variables in (4.4.6), we can give a precise definition of the six phase states in (4.3.5) as follows: Si